1 2015-03-16 Alan Mackenzie <acm@muc.de>
3 Make Edebug work with Follow Mode.
5 * emacs-lisp/edebug.el (edebug--display-1): Remove call to
7 (edebug--recursive-edit): Don't bind pre/post-command-hooks to nil
8 over the recursive edit.
9 (edebug-adjust-window): Remove.
11 2015-03-15 Michael Albinus <michael.albinus@gmx.de>
16 * net/tramp-smb.el: Set tramp-autoload cookie for all defcustoms.
18 * net/tramp.el (tramp-ssh-controlmaster-options)
19 (tramp-use-ssh-controlmaster-options): Move them to tramp-sh.el.
20 (tramp-default-method): Do not check for
21 `tramp-ssh-controlmaster-options'.
23 * net/tramp-sh.el (tramp-use-ssh-controlmaster-options):
24 New defcustom, moved from tramp.el.
25 (tramp-ssh-controlmaster-options): New defvar, moved from tramp.el
26 but with a nil initial value.
27 (tramp-ssh-controlmaster-options): New defun.
28 (tramp-do-copy-or-rename-file-out-of-band)
29 (tramp-maybe-open-connection): Use it. (Bug#20015)
31 2015-03-15 Tassilo Horn <tsdh@gnu.org>
33 * emacs-lisp/lisp-mode.el (lisp--el-macro-regexp): New defconst.
34 (lisp--el-update-macro-regexp, lisp--el-update-after-load)
35 (lisp--el-match-macro): New functions.
36 (lisp-mode-variables): Update lisp--el-macro-regexp and add
37 lisp--el-update-after-load to after-load-functions.
39 2015-03-15 Daniel Colascione <dancol@dancol.org>
41 * emacs-lisp/cl-indent.el
42 (lisp-indent-backquote-substitution-mode): New user option.
43 (common-lisp-indent-function-1, common-lisp-loop-part-indentation)
44 (common-lisp-indent-function): Support normally indenting
45 backquote substitutions.
46 (extended-loop-p): Rename to `lisp-extended-loop-p'.
48 2015-03-14 Michael R. Mauger <michael@mauger.com>
50 * progmodes/sql.el: Version 3.5
51 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): Match password prompts.
52 (sql-interactive-remove-continuation-prompt): Fixed regression. (Bug#6686)
54 2015-03-14 Daniel Colascione <dancol@dancol.org>
56 * widget.el (define-widget): Check that documentation is a string
57 or nil; prevent wailing and gnashing of teeth when users forget to
58 pass a docstring and wonder why their properties don't work.
60 * startup.el (command-line): Process "--no-x-resources".
62 2015-03-13 Kevin Ryde <user42_kevin@yahoo.com.au>
64 info-look fixes for Texinfo 5
65 * info-look.el (c-mode, bison-mode, makefile-mode)
66 (makefile-automake-mode, texinfo-mode, autoconf-mode, awk-mode)
67 (latex-mode, emacs-lisp-mode, sh-mode, cfengine-mode): Match
68 `foo' and 'foo' and ‘foo’ for @item and similar.
69 (latex-mode): Match multi-arg \frac{num}{den} or \sqrt[root]{n} in
72 2015-03-12 Juri Linkov <juri@linkov.net>
74 * simple.el (next-line-or-history-element)
75 (previous-line-or-history-element): Remember the goal column of
76 possibly multi-line input, and restore it afterwards. (Bug#19824)
78 2015-03-12 Rasmus Pank Roulund <emacs@pank.eu>
80 * ido.el (ido-add-virtual-buffers-to-list): Include bookmark-alist
83 2015-03-12 Eli Zaretskii <eliz@gnu.org>
85 * international/fontset.el (script-representative-chars): Add a
86 representative character for 'vai'.
88 2015-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
90 * international/quail.el (quail-input-method):
91 Use with-silent-modifications.
93 * simple.el (goto-history-element): Don't burp on t history.
95 2015-03-10 Paul Eggert <eggert@cs.ucla.edu>
97 Prefer "initialize" to "initialise"
98 * progmodes/js.el (js-indent-first-init):
99 Rename from js-indent-first-initialiser, to avoid worrying about
100 American vs British spelling. All uses changed.
102 2015-03-10 Glenn Morris <rgm@gnu.org>
104 * progmodes/js.el (js-indent-first-initialiser):
105 Fix doc, type, version.
107 2015-03-10 Jackson Ray Hamilton <jackson@jacksonrayhamilton.com>
109 * progmodes/js.el (js-indent-first-initialiser): New option.
110 (js--maybe-goto-declaration-keyword-end): New function.
111 (js--proper-indentation): Use js--maybe-goto-declaration-keyword-end.
113 2015-03-10 Thomas Fitzsimmons <fitzsim@fitzsim.org>
115 * net/ldap.el (ldap-attribute-syntaxes-alist): Add LDAP attributes
116 from RFC2798 Section 9.1.1. (Bug#8983)
118 2015-03-09 Nicolas Petton <nicolas@petton.fr>
120 * emacs-lisp/seq.el (seq-into): New function.
121 Bump seq.el version to 1.3.
123 2015-03-09 Dmitry Gutov <dgutov@yandex.ru>
125 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't consider
126 `=' a part of symbol when followed by `>'. (Bug#18644)
127 (ruby-syntax-before-regexp-re): Detect regexps after `!'.
130 2015-03-09 Eli Zaretskii <eliz@gnu.org>
132 * dired.el (dired-delete-file): Doc fix. (Bug#20021)
134 2015-03-06 Sergio Durigan Junior <sergiodj@sergiodj.net>
135 Thomas Fitzsimmons <fitzsim@fitzsim.org>
137 * net/eudcb-bbdb.el (eudc-bbdb-field): New function.
138 (eudc-bbdb-filter-non-matching-record): Call eudc-bbdb-field.
139 (eudc-bbdb-format-record-as-result): Likewise.
141 2015-03-08 Dmitry Gutov <dgutov@yandex.ru>
143 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
144 Use `font-lock-constant-face' for nil, true and false.
145 Highlight `self' as a keyword. (Bug#17733)
147 2015-03-08 Nobuyoshi Nakada <nobu@ruby-lang.org>
149 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
150 Expect beginning of regexp also after open brace or vertical bar.
153 2015-03-07 Stefan Monnier <monnier@iro.umontreal.ca>
155 * battery.el (battery-echo-area-format): Simplify default.
156 (battery-linux-sysfs): Standardize on energy&power. Accept ADP1
159 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Don't try to
160 unfold `closure's since byte-compile-unfold-lambda doesn't know how to
163 2015-03-06 Oscar Fuentes <ofv@wanadoo.es>
165 * net/browse-url.el (browse-url-firefox): Remove outdated
166 MS-Windows limitations.
168 2015-03-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
170 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple): Mark as
172 (eudc-ldap-cleanup-record-filtering-addresses): Add docstring.
173 Don't clean up postal addresses if ldap-ignore-attribute-codings
174 is set. Combine mail addresses into one field. (Bug#17720)
175 (eudc-ldap-simple-query-internal):
176 Call eudc-ldap-cleanup-record-filtering-addresses instead of
177 eudc-ldap-cleanup-record-simple.
178 (eudc-ldap-get-field-list): Likewise.
180 2015-03-05 Ivan Shmakov <ivan@siamics.net>
182 * net/eww.el (eww-html-p): New function (bug#20009).
183 (eww-render): Use it.
185 2015-03-05 Artur Malabarba <bruce.connor.am@gmail.com>
187 * desktop.el (desktop-buffer-info): Write docstring.
188 (desktop-buffer-info): Use `pushnew' instead of `add-to-list' and
191 * emacs-lisp/package.el (package-refresh-contents): Update doc.
193 2015-03-05 Dmitry Gutov <dgutov@yandex.ru>
195 * progmodes/js.el (js-mode-syntax-table): Add an entry for `.
197 2015-03-05 Stefan Monnier <monnier@iro.umontreal.ca>
199 Replace *-function vars with generic functions in cl-generic.
200 * emacs-lisp/cl-generic.el (cl--generic-generalizer): New struct.
201 (cl-generic-tagcode-function, cl-generic-tag-types-function): Remove.
202 (cl--generic-t-generalizer): New const.
203 (cl--generic-make-method): Rename from `cl--generic-method-make'.
204 (cl--generic-make): Change calling convention.
205 (cl--generic): Add `options' field.
206 (cl-generic-function-options): New function.
207 (cl-defgeneric): Rewrite handling of options. Add support for :method
208 options and allow the use of a default body.
209 (cl-generic-define): Save options in the corresponding new field.
210 (cl-defmethod): Fix ordering of qualifiers.
211 (cl-generic-define-method): Use cl-generic-generalizers.
212 (cl--generic-get-dispatcher): Change calling convention, and change
213 calling convention of the returned function as well so as to take the
214 list of methods separately from the generic function object, so that it
215 can receive the original generic function object.
216 (cl--generic-make-next-function): New function, extracted from
217 cl--generic-make-function.
218 (cl--generic-make-function): Use it.
219 (cl-generic-method-combination-function): Remove.
220 (cl--generic-cyclic-definition): New error.
221 (cl-generic-call-method): Take a generic function object rather than
223 (cl-method-qualifiers): New alias.
224 (cl--generic-build-combined-method): Use cl-generic-combine-methods,
225 don't segregate by qualifiers here any more.
226 (cl--generic-standard-method-combination): Segregate by qualifiers
227 here instead. Add support for the `:extra' qualifier.
228 (cl--generic-cache-miss): Move earlier, adjust to new calling convention.
229 (cl-generic-generalizers, cl-generic-combine-methods):
230 New generic functions.
231 (cl-no-next-method, cl-no-applicable-method, cl-no-primary-method):
232 Use the new "default method in defgeneric" functionality, change
233 calling convention to receive a generic function object.
234 (cl--generic-head-used): New var.
235 (cl--generic-head-generalizer, cl--generic-eql-generalizer)
236 (cl--generic-struct-generalizer, cl--generic-typeof-generalizer):
238 * emacs-lisp/eieio-core.el (eieio--generic-generalizer)
239 (eieio--generic-subclass-generalizer): New consts.
240 (cl-generic-generalizers): New methods.
241 * emacs-lisp/eieio-compat.el (eieio--generic-static-symbol-generalizer)
242 (eieio--generic-static-object-generalizer): New consts.
243 (cl-generic-generalizers) <(head eieio--static)>: New method.
244 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
245 Unfold closures like lambdas.
247 2015-03-04 Filipp Gunbin <fgunbin@fastmail.fm>
249 * autorevert.el (auto-revert-notify-add-watch):
250 Fix handler installation. (Bug#20000)
252 2015-03-04 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
254 * net/eww.el (eww-search-prefix, eww-open-file, eww-search-words)
255 (eww-same-page-p,eww-set-character-encoding): Fix docstring.
256 (eww): Do not end error messages with a period.
258 2015-03-04 Zhongwei Yao <ashi08104@gmail.com>
260 * net/tramp-adb.el (tramp-adb-connect-if-not-connected):
262 (tramp-adb-ls-toolbox-regexp): Fix regexp in order to support file
263 names starting with a space.
264 (tramp-methods): Add `tramp-default-port' for "adb".
265 (tramp-adb-parse-device-names): Add traces. Return device names
266 with port, if present.
267 (tramp-adb-handle-directory-files-and-attributes): Quote all
269 (tramp-adb-get-device): New defun.
270 (tramp-adb-execute-adb-command, tramp-adb-maybe-open-connection):
272 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
273 variables. Remove checks for listed devices.
275 2015-03-04 Michael Albinus <michael.albinus@gmx.de>
277 * net/tramp.el (tramp): Add :link property.
278 (tramp-login-prompt-regexp): Allow also "user", as required by
280 (tramp-autoload-file-name-handler): Use "/".
281 (tramp-handle-unhandled-file-name-directory): Return nil when
282 required by the spec.
284 * net/tramp-cache.el (tramp-dump-connection-properties):
285 Use `with-temp-file'.
287 * net/tramp-sh.el (tramp-perl-file-attributes)
288 (tramp-perl-directory-files-and-attributes): Escape apostrophes in
290 (tramp-do-file-attributes-with-stat): Quote file name.
291 (tramp-sh-handle-directory-files-and-attributes): Fall back to
292 `tramp-handle-directory-files-and-attributes' in case of problems.
293 (tramp-do-directory-files-and-attributes-with-stat)
294 (tramp-sh-handle-file-name-all-completions)
295 (tramp-sh-handle-delete-directory)
296 (tramp-sh-handle-expand-file-name, tramp-sh-handle-process-file):
297 Normalize use of "cd".
298 (tramp-do-directory-files-and-attributes-with-stat): Use the
299 `quoting-style' arg of `ls' if possible. Make it also working for
300 file names with apostrophes.
301 (tramp-sh-handle-file-name-all-completions): Use arguments of `ls'
303 (tramp-do-copy-or-rename-file-via-buffer)
304 (tramp-sh-handle-file-local-copy): Use `with-temp-file'.
305 (tramp-get-remote-locale): Accept also \r in output.
306 (tramp-get-ls-command-with-quoting-style): New defun.
307 (tramp-get-inline-coding): Set `default-directory' to a local
308 directory. Sporadically, `call-process-region' does not handle a
309 remote default directory properly.
311 * net/trampver.el: Update release number.
313 2015-03-03 Agustín Martín Domingo <agustin6martin@gmail.com>
315 * textmodes/ispell.el (ispell-aspell-find-dictionary): Make sure
316 .dat files for aspell dicts are also searched for in location
317 described by `ispell-aspell-dict-dir', matching aspell's dict-dir
320 2015-03-03 Agustín Martín Domingo <agustin6martin@gmail.com>
322 * textmodes/ispell.el (ispell-dicts-name2locale-equivs-alist)
323 (ispell-hunspell-fill-dictionary-entry)
324 (ispell-find-hunspell-dictionaries)
325 (ispell-set-spellchecker-params): New generic name for
326 `ispell-hunspell-dictionary-equivs-alist'.
327 (ispell-aspell-add-aliases): Also use
328 `ispell-dicts-name2locale-equivs-alist' to get aspell aliases for
331 2015-03-03 Glenn Morris <rgm@gnu.org>
333 * net/browse-url.el (browse-url-firefox-startup-arguments):
335 (browse-url-firefox): Doc fix. Remove -remote, which no longer
336 exists in Firefox 36. (Bug#19921)
337 (browse-url-firefox-sentinel): Remove function.
339 2015-03-03 Eli Zaretskii <eliz@gnu.org>
341 * frame.el (blink-cursor-timer-function): Don't increment
342 blink-cursor-blinks-done counter when a menu is active on a w32
345 2015-03-03 Juri Linkov <juri@linkov.net>
347 * comint.el (comint-line-beginning-position): Revert searching for
348 the prompt when comint-use-prompt-regexp is non-nil because it
349 doesn't distinguish input from output. Check the field property
350 `output' for the case when comint-use-prompt-regexp is nil.
353 2015-03-03 Jérémy Compostella <jeremy.compostella@gmail.com>
355 * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
356 with PAGER=cat. (Bug#19870)
358 2015-03-03 Glenn Morris <rgm@gnu.org>
360 * textmodes/flyspell.el (flyspell-duplicate-distance):
363 2015-03-03 Eli Zaretskii <eliz@gnu.org>
365 * textmodes/text-mode.el (text-mode-syntax-table): Make some
366 punctuation character behave as word-constituent, for more
367 compatibility with Unicode.
369 * simple.el (transient-mark-mode): Doc fix. (Bug#19841)
371 2015-03-03 Agustín Martín Domingo <agustin6martin@gmail.com>
373 Improve string search in `flyspell-word-search-*`. (Bug#16800)
374 * textmodes/flyspell.el (flyspell-duplicate-distance):
375 Limit default search distance for duplicated words to 40000.
376 (flyspell-word-search-backward, flyspell-word-search-forward):
377 Search as full word with defined casechars, not as substring.
379 2015-03-03 Juri Linkov <juri@linkov.net>
381 Better support for the case of typing RET on the prompt in comint.
382 * comint.el (comint-get-old-input-default): Go to the field end
383 when comint-use-prompt-regexp is nil.
384 (comint-line-beginning-position): Check if point is already
385 on the prompt before searching for the prompt when
386 comint-use-prompt-regexp is non-nil. (Bug#19710)
388 2015-03-03 Eli Zaretskii <eliz@gnu.org>
390 * frame.el (frame-notice-user-settings): Refresh the value of
391 frame parameters after calling tty-handle-reverse-video.
392 Call face-set-after-frame-default with the actual parameters, to avoid
393 resetting colors back to unspecified.
394 (set-background-color, set-foreground-color): Pass the foreground
395 and background colors to face-set-after-frame-default. (Bug#19802)
397 2015-03-03 Wolfgang Jenkner <wjenkner@inode.at>
399 * net/network-stream.el (network-stream-open-tls): Respect the
400 :end-of-capability setting.
402 2015-03-03 Juri Linkov <juri@linkov.net>
404 Revert the previous change of comint-line-beginning-position callers,
405 and modify comint-line-beginning-position instead.
407 * comint.el (comint-history-isearch-search)
408 (comint-history-isearch-message, comint-history-isearch-wrap):
409 Use comint-line-beginning-position instead of field-beginning.
410 (comint-send-input): Use either end-of-line or field-end
411 depending on comint-use-prompt-regexp.
412 (comint-line-beginning-position): Search backward
413 for comint-prompt-regexp if comint-use-prompt-regexp is non-nil.
414 Use field-beginning instead of line-beginning-position
415 if comint-use-prompt-regexp is nil. (Bug#19710)
417 2015-03-03 Robert Pluim <rpluim@gmail.com> (tiny change)
419 * calendar/todo-mode.el (todo-item-done): When done items are
420 hidden, restore point to its location prior to invoking this
423 2015-03-03 Eli Zaretskii <eliz@gnu.org>
425 * textmodes/artist.el (artist-ellipse-compute-fill-info):
426 Use mapcar, not mapc, to create the other half of fill-info.
429 2015-03-03 Nicolas Petton <nicolas@petton.fr>
431 * emacs-lisp/authors.el (authors-ignored-files)
432 (authors-renamed-files-alist): Additions.
434 2015-03-03 Michael Albinus <michael.albinus@gmx.de>
436 * net/tramp.el (tramp-ssh-controlmaster-options): Don't use a
437 tempfile for ControlPath. (Bug#19702)
439 2015-03-03 Michael Albinus <michael.albinus@gmx.de>
441 * net/tramp.el (tramp-ssh-controlmaster-options): Use "%C" for
442 ControlPath if possible. (Bug#19702)
444 2015-03-03 Glenn Morris <rgm@gnu.org>
446 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
447 (authors-valid-file-names, authors-renamed-files-alist): Additions.
449 2015-03-03 Alan Mackenzie <acm@muc.de>
451 CC Mode: Stop Font Lock forcing fontification from BOL. (Bug#19669)
452 * progmodes/cc-mode.el (c-font-lock-init):
453 Set font-lock-extend-region-functions to nil.
455 2015-03-03 Daniel Colascione <dancol@dancol.org>
457 * emacs-lisp/generator.el: Make globals conform to elisp
458 style throughout. Use more efficient font-lock patterns.
459 (cps-inhibit-atomic-optimization): Rename from
460 `cps-disable-atomic-optimization'.
461 (cps--gensym): New macro; replaces `cl-gensym' throughout.
462 (cps-generate-evaluator): Move the `iter-yield' local macro
464 (iter-defun, iter-lambda): from here.
466 (iter-defun): Use `macroexp-parse-body'.
468 2015-03-03 Daniel Colascione <dancol@dancol.org>
470 2015-03-03 Stefan Monnier <monnier@iro.umontreal.ca>
472 * progmodes/gud.el: Use lexical-binding (bug#19966).
474 * emacs-lisp/gv.el (gv-ref): Warn about likely problematic cases.
476 2015-03-03 Daniel Colascione <dancol@dancol.org>
478 * emacs-lisp/generator.el: Make globals conform to elisp
479 style throughout. Use more efficient font-lock patterns.
480 (cps-inhibit-atomic-optimization): Rename from
481 `cps-disable-atomic-optimization'.
482 (cps--gensym): New macro; replaces `cl-gensym' throughout.
483 (cps-generate-evaluator): Move the `iter-yield' local macro
485 (iter-defun, iter-lambda): ...from here.
487 2015-03-03 Artur Malabarba <bruce.connor.am@gmail.com>
489 * emacs-lisp/package.el (package-autoremove): Fix if logic.
491 2015-03-03 Martin Rudalics <rudalics@gmx.at>
493 * window.el (window--dump-frame): For pixel height return total
494 number of frame's lines.
496 2015-03-03 Daniel Colascione <dancol@dancol.org>
498 * emacs-lisp/cl-macs.el (cl-iter-defun): Add cl-iter-defun.
500 * emacs-lisp/generator.el (iter-defun): Correctly propagate
501 docstrings and declarations to underlying function.
503 2015-03-02 Daniel Colascione <dancol@dancol.org>
505 * emacs-lisp/generator.el: New file.
507 * vc/vc.el (vc-responsible-backend): Add autoload cookie for
508 `vc-responsible-backend'.
510 2015-03-01 Michael Albinus <michael.albinus@gmx.de>
512 * vc/vc-hooks.el (vc-state, vc-working-revision):
513 Use `vc-responsible-backend' in order to support unregistered files.
515 * vc/vc-rcs.el (vc-rcs-unregister): Support unregistered files.
517 * vc/vc-rcs.el (vc-rcs-fetch-master-state):
518 * vc/vc-sccs.el (vc-sccs-working-revision): Handle undefined
521 * vc/vc-src.el (vc-src-working-revision): Do not return an empty string.
523 2015-03-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
525 * net/shr.el (shr-insert): Remove soft hyphens.
526 (shr-insert): Also remove soft hypens from non-folded text.
528 2015-02-28 Eli Zaretskii <eliz@gnu.org>
530 * mail/rmailmm.el (rmail-mime-insert-html): Decode HTML payload
531 when the charset is only given by the HTML <head>, and allow to
532 specify the encoding with "C-x RET c".
534 2015-02-27 Mark Laws <mdl@60hz.org>
536 Support daemon mode on MS-Windows (bug#19688)
537 * server.el (server-process-filter): Force GUI frames on
538 MS-Windows in daemon mode, even if a TTY frame was requested.
540 * frameset.el (frameset-keep-original-display-p): Don't assume
541 windows-nt cannot be in daemon mode.
543 * frame.el (window-system-for-display): Don't assume windows-nt
544 cannot be in daemon mode.
546 2015-02-26 Ivan Shmakov <ivan@siamics.net>
548 * faces.el (face-list-p): Split from face-at-point.
549 (face-at-point): Use it.
550 * facemenu.el (facemenu-add-face): Likewise. (Bug#19912)
552 2015-02-26 Oscar Fuentes <ofv@wanadoo.es>
554 * vc/vc.el (vc-annotate-switches): New defcustom.
555 * vc/vc-bzr.el (vc-bzr-annotate-switches): New defcustom.
556 (vc-bzr-annotate-command): Use vc-switches.
557 * vc/vc-cvs.el (vc-cvs-annotate-switches): New defcustom.
558 (vc-cvs-annotate-command): Use vc-switches.
559 * vc/vc-git.el (vc-git-annotate-switches): New defcustom.
560 (vc-git-annotate-command): Use vc-switches.
561 * vc/vc-hg.el (vc-hg-annotate-switches): New defcustom.
562 (vc-hg-annotate-command): Use vc-switches.
563 * vc/vc-mtn.el (vc-mtn-annotate-switches): New defcustom.
564 (vc-mtn-annotate-command): Use vc-switches.
565 * vc/vc-svn.el (vc-svn-annotate-switches): New defcustom.
566 (vc-svn-annotate-command): Use vc-switches.
568 2015-02-26 Alan Mackenzie <acm@muc.de>
570 Handle "#" operator properly inside macro. Fix coding bug.
572 * progmodes/cc-mode.el (c-neutralize-syntax-in-and-mark-CPP):
573 On finding a "#" which looks like the start of a macro, check it
574 isn't already inside a macro.
576 * progmodes/cc-engine.el (c-state-safe-place): Don't record a new
577 "safe" position into the list of them when this is beyond our
580 2015-02-26 Martin Rudalics <rudalics@gmx.at>
582 * menu-bar.el (menu-bar-non-minibuffer-window-p): Return nil when
583 the menu frame is dead. (Bug#19728)
585 2015-02-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
587 python.el: Handle tabs in python-indent-dedent-line.
588 * progmodes/python.el (python-indent-dedent-line): Fixes for
589 indentation with tabs. Thanks to <dale@codefu.org> (Bug#19730).
591 2015-02-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
593 * progmodes/python.el (python-indent-context): Respect user
594 indentation after comment.
596 2015-02-26 Tassilo Horn <tsdh@gnu.org>
598 * textmodes/reftex-vars.el (featurep): Conditionalize value of
599 reftex-label-regexps in order to stay compatible with XEmacs 21.5
600 which has no explicitly numbered groups in regexps (bug#19714).
602 2015-02-26 Daiki Ueno <ueno@gnu.org>
604 * net/dbus.el (dbus-register-signal): Convert "N" of ":argN" to
605 integer before comparison.
607 2015-02-25 Nicolas Richard <theonewiththeevillook@yahoo.fr>
609 * progmodes/elisp-mode.el (elisp--eval-last-sexp): Document argument.
611 2015-02-25 Oleh Krehel <ohwoeowho@gmail.com>
613 * emacs-lisp/check-declare.el (check-declare-warn):
614 Use compilation-style warnings.
615 (check-declare-files): Make sure that
616 `check-declare-warning-buffer' is in `compilation-mode'.
618 2015-02-25 Oleh Krehel <ohwoeowho@gmail.com>
620 * emacs-lisp/check-declare.el (check-declare-ext-errors):
622 (check-declare): New defgroup.
623 (check-declare-verify): When `check-declare-ext-errors' is
624 non-nil, warn about an unfound function, instead of saying
625 "skipping external file".
627 2015-02-25 Tassilo Horn <tsdh@gnu.org>
629 * textmodes/reftex-vars.el (reftex-include-file-commands):
630 Call reftex-set-dirty on changes.
632 2015-02-25 Stefan Monnier <monnier@iro.umontreal.ca>
634 * emacs-lisp/edebug.el (edebug--display): Save-excursion (bug#19611).
635 * emacs-lisp/debug.el (debugger-env-macro): Remove redundant
638 2015-02-24 Glenn Morris <rgm@gnu.org>
640 * mail/rmailsum.el (rmail-summary-previous-all)
641 (rmail-summary-previous-msg): Simplify.
643 2015-02-25 Artur Malabarba <bruce.connor.am@gmail.com>
645 * simple.el (region-active-p): Fix doc to say non-nil.
647 2015-02-24 Samer Masterson <nosefrog@gmail.com>
649 * eshell/em-hist.el (eshell-hist-parse-word-designator):
650 Return args joined with " ".
651 * eshell/em-pred.el (eshell-parse-modifiers): Correct docstring.
652 (eshell-hist-parse-modifier): Pass mod a list instead of a string
655 2015-02-24 Karl Fogel <kfogel@red-bean.com> (tiny change)
657 * comint.el (comint-mode-map): Fix obvious typo.
659 2015-02-24 Johan Claesson <johanclaesson@bredband.net> (tiny change)
661 * filecache.el (file-cache-filter-regexps):
662 Add lock files. (Bug#19516)
664 2015-02-24 Glenn Morris <rgm@gnu.org>
666 * mail/rmailsum.el (rmail-summary-next-all)
667 (rmail-summary-previous-all, rmail-summary-next-msg):
668 Fix handling of optional argument. (Bug#19916)
670 * progmodes/f90.el (f90-beginning-of-subprogram)
671 (f90-end-of-subprogram, f90-match-end):
672 Handle continued strings where the continuation does not start
673 with "&" and happens to match our regexp. (Bug#19809)
675 2015-02-24 Bozhidar Batsov <bozhidar@batsov.com>
677 * comint.el (comint-clear-buffer): New command.
678 (comint-mode-map): Bind `comint-clear-buffer' to 'C-c M-o'.
680 2015-02-23 Pete Williamson <petewil0@googlemail.com> (tiny change)
682 Use ${EXEEXT} more uniformly in makefiles
683 * Makefile.in (EMACS): Append ${EXEEXT}.
685 2015-02-23 Sam Steingold <sds@gnu.org>
687 * files.el (recover-session): Handle `auto-save-list-file-prefix'
688 being a directory (empty non-directory part).
690 2015-02-23 Magnus Henoch <magnus.henoch@gmail.com>
692 * net/sasl.el (sasl-mechanism-alist): Refer to sasl-scram-rfc
693 instead of sasl-scram-sha-1, as the former is the name that can be
696 * net/sasl-scram-rfc.el (sasl-scram-sha-1-steps)
697 (sasl-scram-sha-1-client-final-message)
698 (sasl-scram-sha-1-authenticate-server): Move to end of file.
700 2015-02-23 Paul Eggert <eggert@cs.ucla.edu>
702 * bindings.el (ctl-x-map): Use [?\C-\;] to get the desired binding.
705 2015-02-23 Stefan Monnier <monnier@iro.umontreal.ca>
707 * emacs-lisp/macroexp.el (macroexp-parse-body): Handle cl-declare
708 and :documentation. Change return value format accordingly.
709 * emacs-lisp/cl-generic.el (cl--generic-lambda):
710 * emacs-lisp/pcase.el (pcase-lambda): Adjust accordingly.
711 * emacs-lisp/cl-macs.el (cl--transform-lambda): Use macroexp-parse-body.
713 2015-02-23 Dmitry Gutov <dgutov@yandex.ru>
715 Introduce `xref-etags-mode'.
716 * progmodes/xref.el (xref-etags-mode--saved): New variable.
717 (xref-etags-mode): New minor mode. (Bug#19466)
719 2015-02-22 Lars Magne Ingebrigtsen <larsi@gnus.org>
721 * dom.el (dom-previous-sibling): New function.
723 2015-02-21 Paul Eggert <eggert@cs.ucla.edu>
725 * bindings.el (ctl-x-map): There is no 'C-;'.
726 For now, make do with 'M-;'; this allows 'make bootstrap' to work.
727 Perhaps some other binding should be chosen. (Bug#19826)
729 2015-02-21 Artur Malabarba <bruce.connor.am@gmail.com>
731 * bindings.el (ctl-x-map): Fix `comment-line' binding. (Bug#19826)
733 2015-02-21 Michael Albinus <michael.albinus@gmx.de>
735 * autorevert.el (auto-revert-notify-add-watch)
736 (auto-revert-notify-handler, auto-revert-buffers): Handle also
737 buffers without an associated file, like dired buffers. (Bug#16112)
739 2015-02-21 Dima Kogan <dima@secretsauce.net>
741 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
742 (global-auto-revert-mode): Remove (let (auto-revert-use-notify) ... )
743 wrappers. Call (auto-revert-buffers) consequently in order to
746 2015-02-21 Wilson Snyder <wsnyder@wsnyder.org>
748 Sync with upstream verilog-mode revision 0d6420b.
749 * progmodes/verilog-mode.el (verilog-mode-version): Update.
750 (vector-skip-list): Remove.
751 (verilog-auto-inst-port, verilog-auto-inst-port-list)
752 (verilog-auto-inst, verilog-auto-inst-param):
753 Use arguments rather than vector-skip.
754 (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
755 modport if signal attachment is itself a modport.
756 Reported by Matthew Lovell.
758 2015-02-21 Reto Zimmermann <reto@gnu.org>
760 Sync with upstream vhdl mode v3.37.1. Add VHDL'08 support.
761 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp)
762 (vhdl-doc-release-notes): Update.
763 (vhdl-standard): Add VHDL'08 option.
764 (vhdl-sensitivity-list-all): New option.
765 (vhdl-directive-keywords): Add psl.
766 (vhdl-offsets-alist-default, vhdl-mode-abbrev-table-init)
767 (vhdl-template-construct-alist-init, vhdl-create-mode-menu):
768 (vhdl-imenu-generic-expression): Add context, directive.
769 (vhdl-offsets-alist, vhdl-mode, vhdl-doc-keywords): Doc fixes.
770 (vhdl-template-map-init): Add vhdl-template-context.
771 (vhdl-mode-syntax-table): Support VHDL'08 block comments.
772 (vhdl-create-mode-menu): Add some entries.
773 (vhdl-08-keywords, vhdl-08-types, vhdl-08-attributes)
774 (vhdl-08-functions, vhdl-08-packages, vhdl-08-directives):
776 (vhdl-directives): New variable.
777 (vhdl-words-init, vhdl-template-process)
778 (vhdl-template-replace-header-keywords): Support VHDL'08.
779 (vhdl-abbrev-list-init): Add vhdl-directives.
780 (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
781 (vhdl-forward-syntactic-ws, vhdl-get-syntactic-context)
782 (vhdl-lineup-comment): Handle block comments and directives.
783 (vhdl-beginning-of-directive, vhdl-template-context)
784 (vhdl-template-context-hook): New functions.
785 (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
786 (vhdl-corresponding-begin, vhdl-get-library-unit, vhdl-regress-line)
787 (vhdl-align-declarations, vhdl-beginning-of-block, vhdl-end-of-block)
788 (vhdl-font-lock-keywords-2, vhdl-get-end-of-unit)
789 (vhdl-scan-context-clause): Add context.
791 2015-02-20 Glenn Morris <rgm@gnu.org>
793 * calendar/solar.el (solar-sunrise-sunset-string):
794 Shorten message a little.
795 (sunrise-sunset): Use message rather than a window. (Bug#19859)
797 * progmodes/f90.el (f90-keywords-re, f90-procedures-re)
798 (f90-font-lock-keywords-2): Some F2008 additions.
800 2015-02-19 Dima Kogan <dima@secretsauce.net>
802 * autorevert.el (auto-revert-buffers-counter)
803 (auto-revert-buffers-counter-lockedout): New variables.
804 (auto-revert-buffers): Increase `auto-revert-buffers-counter'.
805 (auto-revert-notify-handler): Apply `auto-revert-handler' if not
806 suppressed by lockout. (Bug#18958)
808 2015-02-19 Stefan Monnier <monnier@iro.umontreal.ca>
810 * emacs-lisp/eieio-opt.el (eieio-help-class): `eieio-class-parents'
811 returns classes, not class names (bug#19891).
813 * emacs-lisp/cl-macs.el (cl-struct-slot-value): Handle a nil type.
815 * emacs-lisp/smie.el (smie-prec2->grammar): Fix corner case problem.
817 2015-02-18 Kelly Dean <kelly@prtime.org>
819 * register.el (jump-to-register):
820 * emacs-lisp/lisp.el (check-parens):
821 Push mark before goto-char so user doesn't lose his previous place.
823 2015-02-18 Kelly Dean <kelly@prtime.org>
825 * rect.el (rectangle-mark-mode):
826 Suppress superfluous "Mark set" message from push-mark.
828 2015-02-18 Kelly Dean <kelly@prtime.org>
830 * help-mode.el (help-go-back, help-go-forward, help-follow):
831 * simple.el (yank-pop, pop-to-mark-command, exchange-point-and-mark):
832 * winner.el (winner-redo):
833 * windmove.el (windmove-do-window-select):
834 * register.el (jump-to-register, increment-register, insert-register)
835 (append-to-register, prepend-to-register):
836 * files.el (find-alternate-file, abort-if-file-too-large, write-file)
837 (set-visited-file-name):
838 * emacs-lisp/lisp.el (kill-backward-up-list):
839 Use user-error instead of error. (Bug#14480)
841 2015-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
843 * emacs-lisp/checkdoc.el (checkdoc-show-diagnostics): Don't make bogus
844 assumptions about window ordering.
846 2015-02-16 Kelly Dean <kelly@prtime.org>
848 * files.el (insert-file-contents-literally): Fix docstring typo.
850 2015-02-16 Kelly Dean <kelly@prtime.org>
852 * emacs-lisp/easy-mmode.el (define-minor-mode): Process macro
853 arguments correctly. (Bug#19685)
854 (define-minor-mode): Clarify docstring.
855 Clarify mode switch messages for minor modes. (Bug#19690)
857 2015-02-16 Kelly Dean <kelly@prtime.org>
859 * emacs-lisp/package-x.el (package-upload-buffer-internal):
860 Create valid tar files. (Bug#19536)
862 2015-02-16 Kelly Dean <kelly@prtime.org>
864 * desktop.el (desktop-read): Conditionally re-enable desktop autosave.
867 2015-02-16 Kelly Dean <kelly@prtime.org>
869 * help-mode.el (help-do-xref): Prevent duplicated display of Info
870 buffer, and prevent interference with existing buffer. (Bug#13190)
872 2015-02-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
874 python.el: Do not deactivate mark on shell fontification. (Bug#19871)
876 * progmodes/python.el (python-shell-font-lock-post-command-hook):
877 Do not deactivate mark on fontification.
879 2015-02-16 Ivan Shmakov <ivan@siamics.net>
881 * net/eww.el: Fix desktop support. (Bug#19226)
882 (eww-mode): Add autoload cookie.
883 (eww-restore-desktop): Use inhibit-read-only.
885 * net/eww.el (eww-suggest-uris): Add autoload cookie, so that
886 add-hook works correctly even if the file is not yet loaded.
888 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
890 * emacs-lisp/eieio.el (defclass): Use make-instance rather than
892 (set-slot-value): Mark as obsolete.
893 (eieio-object-class-name): Improve call to eieio-class-name.
894 (eieio-slot-descriptor-name, eieio-class-slots): New functions.
895 (object-slots): Use it. Declare obsolete.
896 (eieio-constructor): Merge it with `make-instance'.
897 (initialize-instance): Use `dolist'.
898 (eieio-override-prin1, eieio-edebug-prin1-to-string):
899 Use eieio--class-print-name.
901 * emacs-lisp/eieio-core.el (eieio--class-print-name): New function.
902 (eieio-class-name): Make it do what the docstring claims.
903 (eieio-defclass-internal): Simplify since `prots' isn't used any more.
904 (eieio--slot-name-index): Simplify accordingly.
905 (eieio-barf-if-slot-unbound): Pass the class object rather than its
906 name to `slot-unbound'.
908 * emacs-lisp/eieio-base.el (make-instance): Add a method here rather
909 than on eieio-constructor.
911 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
913 * emacs-lisp/cl-macs.el (cl-defstruct): Keep type=nil by default.
914 * emacs-lisp/cl-preloaded.el (cl-struct-define): Add sanity checks
915 about relationship between `type', `named', and `slots'.
916 * emacs-lisp/cl-generic.el (cl--generic-struct-tagcode): Adjust to new
917 value of `cl-struct-type' property.
919 2015-02-15 Jérémy Compostella <jeremy.compostella@gmail.com>
921 * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
922 with PAGER=cat. (Bug#19870)
924 2015-02-14 Artur Malabarba <bruce.connor.am@gmail.com>
926 * emacs-lisp/package.el (package-read-all-archive-contents):
927 Don't build the compatibility table.
928 (package-refresh-contents, package-initialize): Do build the
930 (package--build-compatibility-table): New function.
931 (describe-package-1): Describe why a package is incompatible.
933 2015-02-14 Stefan Monnier <monnier@iro.umontreal.ca>
935 * emacs-lisp/cl-preloaded.el (cl-struct-define): Register as children
937 (cl--assertion-failed): New function.
938 (cl-assertion-failed): Move in from cl-lib.el.
940 * emacs-lisp/cl-macs.el (cl-defstruct): Don't generate code to register
941 as children of its parents.
942 (cl--make-type-test, cl--compiler-macro-typep): Remove functions.
943 (cl-typep): Reimplement using define-inline.
944 (cl-assert): Use cl--assertion-failed.
945 (cl-struct-slot-value): Use define-inline.
947 * emacs-lisp/cl-lib.el: Move autoloaded code to cl-preload.
949 * textmodes/flyspell.el (flyspell-word): Defvar (bug#19844).
950 (flyspell-generic-check-word-p): Mark as obsolete.
952 2015-02-13 Artur Malabarba <bruce.connor.am@gmail.com>
954 * emacs-lisp/package.el (package--compatibility-table): New var.
955 (package--add-to-compatibility-table): New function.
956 (package-read-all-archive-contents): Populate compatibility table.
957 (package--incompatible-p): Also look in dependencies.
958 (describe-package-1): Fix "incompat" handling.
960 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
962 * net/rfc2104.el: Moved here from lisp/gnus.
964 2015-02-13 Magnus Henoch <magnus.henoch@gmail.com>
966 * net/sasl-scram-rfc.el: New file.
968 * net/sasl.el (sasl-mechanisms): Remove SCRAM-MD5.
969 Add SCRAM-SHA-1 first.
970 (sasl-mechanism-alist): Remove SCRAM-MD5 entry. Add SCRAM-SHA-1
973 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
975 * net/shr.el (shr-tag-li): Speed up rendering pages with lots of
978 2015-02-12 Oleh Krehel <ohwoeowho@gmail.com>
980 * progmodes/gdb-mi.el (gdb-display-io-nopopup): New defcustom.
981 (gdb-inferior-filter): Don't pop up the buried output buffer when
982 `gdb-display-io-nopopup' is non-nil.
984 2015-02-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
986 python.el: Allow killing shell buffer if process is dead. (Bug#19823)
988 * progmodes/python.el (python-shell-font-lock-kill-buffer):
989 Don't require a running process.
990 (python-shell-font-lock-post-command-hook): Fontify only if the
991 shell process is running.
993 2015-02-11 Stefan Monnier <monnier@iro.umontreal.ca>
995 * hi-lock.el (hi-lock-unface-buffer): Don't call
996 font-lock-remove-keywords if not needed (bug#19796).
998 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
1000 * emacs-lisp/package.el (package-install): Invert the second
1001 argument, for better backwards compatibility.
1002 (package-install-button-action, package-reinstall)
1003 (package-menu-execute): Account for the change.
1005 2015-02-11 Nicolas Petton <nicolas@petton.fr>
1007 * emacs-lisp/seq.el (seq-reverse): Add a backward-compatible
1008 version of seq-reverse that works on sequences in Emacs 24.
1009 Bump seq.el version to 1.2.
1011 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
1013 * emacs-lisp/package.el (package--incompatible-p): New function.
1014 Return non-nil if PKG has no chance of being installable.
1015 (package--emacs-version-list): New variable.
1016 (describe-package-1, package-desc-status)
1017 (package-menu--print-info, package-menu--status-predicate):
1018 Account for the "incompat" status.
1020 2015-02-11 Martin Rudalics <rudalics@gmx.at>
1022 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
1023 Rename frame parameter `maximized' to `fullscreen-restore'.
1024 Restore fullwidth/-height after fullboth state. Update doc-strings.
1026 2015-02-11 Lars Ingebrigtsen <larsi@gnus.org>
1028 * net/shr.el (shr-insert): Make sure the space inserted has the
1029 right font (for width).
1030 (shr-fill-line): Preserve background colours when indenting/folding.
1031 (shr-ensure-paragraph): Don't insert a new paragraph as the first
1034 2015-02-10 Lars Ingebrigtsen <larsi@gnus.org>
1036 * net/shr.el (shr-use-fonts): New variable.
1037 (shr-fill-text): Rename from "fold".
1038 (shr-pixel-column, shr-pixel-region, shr-string-pixel-width):
1040 (shr-insert): Just insert, don't fill the text. Filling is now
1041 done afterwards per display unit.
1042 (shr-fill-lines, shr-fill-line): New functions to fill text on a
1044 (shr-find-fill-point): Take a "beginning" parameter.
1045 (shr-indent): Indent using the :width display parameter when using
1047 (shr-parse-style): Ignore "inherit" values, since we already do that.
1048 (shr-tag-img): Remove the insertion states.
1049 (shr-tag-blockquote): New-style filling.
1050 (shr-tag-dd): Ditto.
1051 (shr-tag-li): Ditto.
1052 (shr-mark-fill): New function to mark lines that need filling.
1053 (shr-tag-h1): Use a larger font.
1054 (shr-tag-table-1): Get the natural and suggested widths in one
1056 (shr-tag-table): Create the "fixed" version of the table only once
1057 so that we can cache data in the table.
1058 (shr-insert-table): Get colspan calculations right by having
1059 zero-width columns after colspan ones.
1060 (shr-expand-alignments): New function to make :align-to specs work
1061 right when rendered in one buffer and displayed in another one.
1062 (shr-insert-table-ruler): Use :align-to to get the widths right.
1063 (shr-make-table): Cache more.
1064 (shr-make-table-1): Use the new <td> data layout.
1065 (shr-pixel-buffer-width): New function.
1066 (shr-render-td): Add a caching layer.
1067 (shr-dom-max-natural-width): New function.
1068 (shr-tag-h1): Don't use variable-pitch fonts on fontless rendering.
1069 (shr-tag-tt): New function.
1070 (shr-tag-hr): Compute the right length when using fonts.
1071 (shr-table-widths): Off-by-one error in width computation.
1072 (shr-expand-newlines): Remove dead code.
1073 (shr-insert-table): Extend background colors to the end of the column.
1074 (shr-insert-table): Only copy the background, not underline and
1076 (shr-face-background): New function.
1078 2015-02-10 Fabián Ezequiel Gallina <fgallina@gnu.org>
1080 python.el: Improved shell font lock respecting markers. (Bug#19650)
1082 * progmodes/python.el
1083 (python-shell-font-lock-get-or-create-buffer): Use special buffer name.
1084 (python-shell-font-lock-with-font-lock-buffer): Enable font lock.
1085 (python-shell-font-lock-post-command-hook): Fontify by copying text
1086 properties from fontified buffer to shell, keeping markers unchanged.
1087 (python-shell-font-lock-turn-off): Fix typo.
1088 (python-util-text-properties-replace-name): Delete function.
1090 2015-02-09 Nicolas Petton <nicolas@petton.fr>
1092 * emacs-lisp/seq.el (seq-group-by): Improves seq-group-by to
1093 return sequence elements in correct order.
1095 2015-02-09 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
1097 * textmodes/css-mode.el (css-smie-rules): Fix paren indent (bug#19815).
1099 2015-02-09 Stefan Monnier <monnier@iro.umontreal.ca>
1101 * emacs-lisp/cl-generic.el (cl--generic-lambda): Use macroexp-parse-body.
1103 * emacs-lisp/eieio-core.el (eieio-oset-default): Catch the unexpected
1104 case where the default value would be re-interpreted as a form!
1106 2015-02-09 Christopher Genovese <genovese@cmu.edu> (tiny change)
1108 * help-fns.el (help-fns--signature): Keep doc for keymap.
1110 2015-02-09 Kelly Dean <kelly@prtime.org>
1112 * desktop.el: Save mark-ring less verbosely.
1113 (desktop-var-serdes-funs): New var.
1114 (desktop-buffer-info, desktop-create-buffer): Use it.
1115 (desktop-file-version): Update to 208.
1117 2015-02-09 Leo Liu <sdl.web@gmail.com>
1119 * emacs-lisp/pcase.el (pcase-lambda): New Macro. (Bug#19814)
1121 * emacs-lisp/lisp-mode.el (el-kws-re): Include `pcase-lambda'.
1123 * emacs-lisp/macroexp.el (macroexp-parse-body): New function.
1125 2015-02-08 Paul Eggert <eggert@cs.ucla.edu>
1127 Port to platforms lacking test -a and -o
1128 * Makefile.in (compile-clean):
1129 * net/tramp-sh.el (tramp-find-executable):
1130 Prefer '&&' and '||' to 'test -a' and 'test -o'.
1132 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
1134 * newcomment.el (comment-line): Fix missing paren.
1136 2015-02-08 Ulrich Müller <ulm@gentoo.org>
1138 * play/gamegrid.el: Update comment to reflect that the
1139 'update-game-score' helper program is now setgid by default.
1141 2015-02-08 David Kastrup <dak@gnu.org>
1143 * subr.el (apply-partially): Use lexical binding here.
1145 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
1147 * newcomment.el (comment-line): New command.
1149 * bindings.el (ctl-x-map): Bind to `C-x C-;'.
1151 2015-02-08 Oleh Krehel <ohwoeowho@gmail.com>
1153 * outline.el (outline-show-entry): Fix one invisible char for the
1154 file's last outline. (Bug#19493)
1156 2015-02-08 Stefan Monnier <monnier@iro.umontreal.ca>
1158 * subr.el (indirect-function): Change advertised calling convention.
1160 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
1162 python.el: Fix completion-at-point. (Bug#19667)
1164 * progmodes/python.el
1165 (python-shell-completion-native-get-completions): Force process buffer.
1166 (python-shell-completion-at-point): Handle case where call is not
1169 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
1171 python.el: Fix shell font-lock multiline input. (Bug#19744)
1173 * progmodes/python.el
1174 (python-shell-font-lock-post-command-hook): Handle multiline input.
1176 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
1178 python.el: Make shell font-lock respect markers. (Bug#19650)
1180 * progmodes/python.el (python-shell-font-lock-cleanup-buffer):
1182 (python-shell-font-lock-comint-output-filter-function):
1184 (python-shell-font-lock-post-command-hook): Respect markers on
1187 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
1189 python.el: Keep eldoc visible while typing args. (Bug#19637)
1190 * progmodes/python.el (python-eldoc--get-symbol-at-point):
1191 New function based on Carlos Pita <carlosjosepita@gmail.com> patch.
1192 (python-eldoc--get-doc-at-point, python-eldoc-at-point): Use it.
1194 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
1196 Fix hideshow integration. (Bug#19761)
1197 * progmodes/python.el
1198 (python-hideshow-forward-sexp-function): New function based on
1199 Carlos Pita <carlosjosepita@gmail.com> patch.
1200 (python-mode): Make `hs-special-modes-alist` use it and initialize
1201 the end regexp with the empty string to avoid skipping parens.
1203 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
1205 * progmodes/python.el (python-check-custom-command): Do not use
1206 defvar-local for compat with Emacs<24.3.
1208 2015-02-07 Martin Rudalics <rudalics@gmx.at>
1210 * frame.el (frame-notice-user-settings):
1211 Update `frame-size-history'.
1212 (make-frame): Update `frame-size-history'.
1213 Call `frame-after-make-frame'.
1214 * faces.el (face-set-after-frame-default): Remove call to
1215 frame-can-run-window-configuration-change-hook.
1217 2015-02-06 Dmitry Gutov <dgutov@yandex.ru>
1219 * vc/vc-cvs.el (vc-cvs-dir-status-files): Don't pass DIR to
1220 `vc-cvs-command' (bug#19732).
1222 2015-02-06 Nicolas Petton <nicolas@petton.fr>
1224 * emacs-lisp/seq.el (seq-mapcat, seq-partition, seq-group-by):
1226 * emacs-lisp/seq.el (seq-drop-while, seq-take-while, seq-count)
1227 (seq--drop-list, seq--take-list, seq--take-while-list):
1230 2015-02-06 Artur Malabarba <bruce.connor.am@gmail.com>
1232 * doc-view.el (doc-view-kill-proc-and-buffer): Obsolete. Use
1233 `image-kill-buffer' instead.
1235 2015-02-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1237 * net/ldap.el (ldap-search-internal): Fix docstring.
1239 2015-02-06 Lars Ingebrigtsen <larsi@gnus.org>
1241 * subr.el (define-error): The error conditions may be constant
1242 lists, so use `append' to concatenate them.
1244 2015-02-06 Wolfgang Jenkner <wjenkner@inode.at>
1246 * net/network-stream.el (network-stream-open-tls): Respect the
1247 :end-of-capability setting.
1249 2015-02-05 Artur Malabarba <bruce.connor.am@gmail.com>
1251 * emacs-lisp/package.el (package--sort-by-dependence):
1252 New function. Return PACKAGE-LIST sorted by dependencies.
1253 (package-menu-execute): Use it to delete packages in order.
1254 (package--sort-deps-in-alist): New function.
1255 (package-menu-mark-install): Can mark dependencies.
1256 (package--newest-p): New function.
1257 (package-delete): Don't deselect when deleting an older version of
1258 an upgraded package.
1260 * emacs-lisp/package.el: Add missing (require 'subr-x)
1262 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
1264 * textmodes/css-mode.el (scss-smie--not-interpolation-p): Vars can be
1265 hyphenated (bug#19263).
1267 * textmodes/css-mode.el (css-fill-paragraph): Fix filling in presence
1268 of variable interpolation (bug#19751).
1270 2015-02-05 Era Eriksson <era+emacs@iki.fi>
1272 * json.el (json-end-of-file): New error (bug#19768).
1273 (json-pop, json-read): Use it.
1275 2015-02-05 Kelly Dean <kelly@prtime.org>
1277 * help-mode.el (help-xref-interned): Pass BUFFER and FRAME to
1278 `describe-variable'.
1280 * help-fns.el (describe-function-or-variable): New function.
1282 * help.el (help-map): Bind `describe-function-or-variable' to o.
1283 (help-for-help-internal): Document o key.
1285 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
1287 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use new
1288 special (:documentation ...) feature.
1289 * emacs-lisp/eieio-core.el (eieio-make-class-predicate)
1290 (eieio-make-child-predicate): Same.
1291 (eieio-copy-parents-into-subclass): Remove unused arg.
1292 (eieio-defclass-internal): Adjust call accordingly and remove redundant
1294 (eieio--slot-name-index): Remove unused arg `obj' and adjust all
1295 callers accordingly.
1297 * emacs-lisp/cconv.el (cconv--convert-function):
1298 Add `docstring' argument.
1299 (cconv-convert): Use it to handle the new (:documentation ...) form.
1300 (cconv-analyze-form): Handle the new (:documentation ...) form.
1302 * emacs-lisp/bytecomp.el:
1303 (byte-compile-initial-macro-environment): Use macroexp-progn.
1304 (byte-compile-cl-warn): Don't silence use of cl-macroexpand-all.
1305 (byte-compile-file-form-defvar-function): Rename from
1306 byte-compile-file-form-define-abbrev-table.
1307 (defvaralias, byte-compile-file-form-custom-declare-variable): Use it.
1308 (byte-compile): Use byte-compile-top-level rather than
1309 byte-compile-lambda so we can compile non-values.
1310 (byte-compile-form): Add warnings for failed uses of lexical vars via
1312 (byte-compile-unfold-bcf): Improve message for failed inlining.
1313 (byte-compile-make-closure): Handle new format of internal-make-closure
1314 for dynamically-generated docstrings.
1316 * delsel.el: Deprecate the `kill' option. Use lexical-binding.
1317 (open-line): Delete like all other commands, instead of killing.
1318 (delete-active-region): Don't define any return any value.
1320 * progmodes/python.el: Try to preserve compatibility with Emacs-24.
1321 (python-mode): Don't assume eldoc-documentation-function has a non-nil
1324 2015-02-04 Sam Steingold <sds@gnu.org>
1326 * progmodes/python.el (python-indent-calculate-indentation):
1327 Avoid the error when computing top-level indentation.
1329 2015-02-04 Stefan Monnier <monnier@iro.umontreal.ca>
1331 * emacs-lisp/cl-generic.el (cl--generic-member-method): Fix paren typo.
1333 * textmodes/flyspell.el: Use lexical-binding and cl-lib.
1334 (mail-mode-flyspell-verify): Fix last change.
1335 (flyspell-external-point-words, flyspell-large-region):
1336 Avoid add-to-list on local vars.
1338 2015-02-04 Tassilo Horn <tsdh@gnu.org>
1340 * emacs-lisp/package.el (package-installed-p): Fix typo causing
1341 void-variable error.
1343 2015-02-04 Artur Malabarba <bruce.connor.am@gmail.com>
1345 * image-mode.el (image-kill-buffer): New command.
1346 (image-mode-map): Bind it to k.
1348 * emacs-lisp/package.el (package-delete): Remove package from
1349 `package-selected-packages' even if it can't be deleted.
1350 (package-installed-p): Accept package-desc objects.
1351 (package-install): Can be used to mark dependencies as
1352 selected. When given a package-desc object which is already
1353 installed, the package is not downloaded again, but it is marked
1354 as selected (if it wasn't already).
1355 (package-reinstall): Accept package-desc objects.
1357 2015-02-03 Artur Malabarba <bruce.connor.am@gmail.com>
1359 * emacs-lisp/package.el (package-delete): Document NOSAVE.
1360 (package--get-deps): delete-dups when ONLY is nil.
1361 (package-autoremove): Warn the user if `package-selected-packages'
1364 (package--user-selected-p): New function.
1365 (package-delete, package-install, package-install-from-buffer):
1367 (package-selected-packages): Mention it.
1369 (package-initialize): Don't populate `package-selected-packages'.
1370 (package-install-user-selected-packages, package-autoremove):
1371 Special handling for empty `package-selected-packages'.
1372 (package-install): Fix when PKG is a package-desc.
1374 (package-desc-status): Add "dependency" status to the Package
1376 (package-menu--status-predicate, package-menu--print-info)
1377 (package-menu-mark-delete, package-menu--find-upgrades)
1378 (package-menu--status-predicate, describe-package-1): Use it
1380 (package--removable-packages): New function.
1381 (package-autoremove): Use it.
1382 (package-menu-execute): Offer to remove unneeded packages.
1384 (package--read-pkg-desc, package-tar-file-info): Fix reference to
1387 2015-02-03 Thierry Volpiatto <thierry.volpiatto@gmail.com>
1389 * emacs-lisp/package.el (package-reinstall): Don't change package's selected status.
1390 (package-delete): New NOSAVE argument.
1392 2015-02-03 Michael Albinus <michael.albinus@gmx.de>
1394 * net/tramp-sh.el (tramp-histfile-override): Fix docstring.
1395 (tramp-open-shell, tramp-maybe-open-connection): Set also
1396 HISTFILESIZE and HISTSIZE when needed. (Bug#19731)
1398 2015-02-02 Artur Malabarba <bruce.connor.am@gmail.com>
1400 * emacs-lisp/package.el (package--find-non-dependencies):
1402 (package-initialize): Use it to populate `package-selected-packages'.
1403 (package-menu-execute): Clean unnecessary `and'.
1404 (package--get-deps): Fix returning duplicates.
1406 2015-02-02 Michael Albinus <michael.albinus@gmx.de>
1408 * net/tramp-sh.el (tramp-histfile-override): Add another choice t.
1410 (tramp-open-shell, tramp-maybe-open-connection): Support it.
1413 2015-02-02 Thierry Volpiatto <thierry.volpiatto@gmail.com>
1415 * emacs-lisp/package.el (package-delete): Remove package from
1416 package-selected-packages.
1417 (package-autoremove): Remove unneeded variable.
1419 2015-02-01 Artur Malabarba <bruce.connor.am@gmail.com>
1421 * emacs-lisp/package.el (package-selected-packages): Fix :type
1422 (package-install): Rename ARG to MARK-SELECTED.
1423 (package--get-deps): Fix for indirect dependencies.
1424 (package-used-elsewhere-p): Rename to
1425 (package--used-elsewhere-p): New function.
1426 (package-reinstall, package-user-selected-packages-install)
1427 (package-autoremove): Use sharp-quote.
1428 (package-user-selected-packages-install): Reindent and rename to
1429 (package-install-user-selected-packages): New function.
1431 2015-02-01 Thierry Volpiatto <thierry.volpiatto@gmail.com>
1433 * emacs-lisp/package.el: Don't allow deleting dependencies.
1435 (package-used-elsewhere-p): New function.
1436 (package-delete): Use it, return now an error when trying to
1437 delete a package used as dependency by another package.
1439 Add a reinstall package command.
1440 (package-reinstall): New function.
1442 Add a package-autoremove command.
1443 (package-selected-packages): New user var.
1444 (package-install): Add an optional arg to notify interactive use.
1445 Fix docstring. Save installed package to
1446 packages-installed-directly.
1447 (package-install-from-buffer): Same.
1448 (package-user-selected-packages-install): Allow installing all
1449 packages in packages-installed-directly at once.
1450 (package--get-deps): New function.
1451 (package-autoremove): New function.
1452 (package-install-button-action): Call package-install with
1454 (package-menu-execute): Same but only for only for not installed
1457 2015-01-31 Stefan Monnier <monnier@iro.umontreal.ca>
1459 * emacs-lisp/eieio.el (defclass): Use new eieio-make-class-predicate
1460 and eieio-make-child-predicate.
1461 (eieio-class-parents): Use eieio--class-object.
1462 (slot-boundp, find-class, eieio-override-prin1): Avoid class-p.
1463 (slot-exists-p): Use find-class.
1465 * emacs-lisp/eieio-opt.el (eieio-help-class, eieio-help-constructor):
1466 Use find-lisp-object-file-name, help-fns-short-filename and new calling
1467 convention for eieio-class-def.
1468 (eieio-build-class-list): Remove function, unused.
1469 (eieio-method-def): Remove button type, unused.
1470 (eieio-class-def): Inherit from help-function-def.
1471 (eieio--defclass-regexp): New constant.
1472 (find-function-regexp-alist): Use it.
1473 (eieio--specializers-apply-to-class-p): Handle eieio--static as well.
1474 (eieio-help-find-method-definition, eieio-help-find-class-definition):
1477 * emacs-lisp/eieio-core.el (eieio--check-type): Remove.
1478 Use cl-check-type everywhere instead.
1479 (eieio-class-object): Remove, use find-class instead when needed.
1480 (class-p): Don't inline.
1481 (eieio-object-p): Check more thoroughly, so we don't treat cl-structs,
1482 such as eieio classes, as objects. Don't inline.
1483 (object-p): Mark as obsolete.
1484 (eieio-defclass-autoload, eieio-defclass-internal, eieio-oref)
1485 (eieio--generic-tagcode): Avoid `class-p'.
1486 (eieio-make-class-predicate, eieio-make-child-predicate): New functions.
1487 (eieio-defclass-internal): Use current-load-list rather than
1490 * emacs-lisp/cl-generic.el (cl--generic-search-method): Fix regexp.
1492 2015-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
1494 * emacs-lisp/backquote.el (backquote-delay-process): Don't reuse `s'
1495 since it may be "equivalent" in some sense, yet different (bug#19734).
1497 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1499 * outline.el (outline-font-lock-face): Add docstring.
1500 (outline-invisible-p): Improve docstring.
1501 (outline-invent-heading): Add docstring.
1502 (outline-promote): Improve docstring.
1503 (outline-demote): Improve docstring.
1504 (outline-head-from-level): Improve docstring.
1505 (outline-end-of-heading): Add docstring.
1506 (outline-next-visible-heading): Improve docstring.
1507 (outline-previous-visible-heading): Improve docstring.
1508 (outline-hide-region-body): Improve docstring.
1509 (outline-flag-subtree): Add docstring.
1510 (outline-end-of-subtree): Add docstring.
1511 (outline-headers-as-kill): Improve docstring.
1513 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1515 * outline.el (outline-hide-entry): Rename from `hide-entry'.
1516 (hide-entry): Declare as obsolete.
1517 (outline-show-entry): Rename from `show-entry'.
1518 (show-entry): Declare as obsolete.
1519 (outline-hide-body): Rename from `hide-body'.
1520 (hide-body): Declare as obsolete.
1521 (outline-hide-region-body): Rename from `hide-region-body'.
1522 (hide-region-body): Declare as obsolete.
1523 (outline-show-all): Rename from `show-all'.
1524 (show-all): Declare as obsolete.
1525 (outline-hide-subtree): Rename from `hide-subtree'.
1526 (hide-subtree): Declare as obsolete.
1527 (outline-hide-leaves): Rename from `hide-leaves'.
1528 (hide-leaves): Declare as obsolete.
1529 (outline-show-subtree): Rename from `show-subtree'.
1530 (show-subtree): Declare as obsolete.
1531 (outline-hide-sublevels): Rename from `hide-sublevels'.
1532 (hide-sublevels): Declare as obsolete.
1533 (outline-hide-other): Rename from `hide-other'.
1534 (hide-other): Declare as obsolete.
1535 (outline-show-children): Rename from `show-children'.
1536 (show-children): Declare as obsolete.
1537 (outline-show-branches): Rename from `show-branches'.
1538 (show-branches): Declare as obsolete.
1540 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1542 * outline.el (outline-mode): Clean up docstring.
1543 (font-lock-warning-face): Remove obsolete declaration.
1544 (outline-font-lock-face): Remove obsolete comment.
1546 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1548 * lisp/custom.el (defface): Set `indent' to 1.
1550 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1552 * emacs-lisp/easy-mmode.el (define-minor-mode): Set `indent' to 1.
1554 2015-01-30 Michal Nazarewicz <mina86@mina86.com>
1556 * lisp/files.el (save-buffers-kill-emacs): If `confirm-kill-emacs'
1557 is set, but user has just been asked whether they really want to
1558 kill Emacs (for example with a ‘Modified buffers exist; exit
1559 anyway?’ prompt), do not ask them for another confirmation.
1561 2015-01-29 Jay Belanger <jay.p.belanger@gmail.com>
1563 * lisp/calc/calc-units.el (calc-convert-exact-units): New function.
1564 (calc-convert-units): Check for missing units.
1565 (math-consistent-units-p): Strengthen the test for consistent units.
1567 * lisp/calc/calc-ext.el (calc-init-extensions): Autoload
1568 `calc-convert-exact-units' and assign it a keybinding.
1570 * lisp/calc/calc-help (calc-u-prefix-help): Add help for the
1573 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
1575 * emacs-lisp/cl.el (cl--function-convert): Simplify.
1577 2015-01-28 Tassilo Horn <tsdh@gnu.org>
1579 * textmodes/reftex.el (reftex-syntax-table-for-bib): Give ( and )
1580 punctuation syntax since to allow bibtex fields with values such
1581 as {Test 1) and 2)} (bug#19205, bug#19707).
1582 (reftex--prepare-syntax-tables): New function.
1583 (reftex-mode): Use it.
1585 2015-01-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
1587 python.el: New non-global state dependent indentation engine.
1588 (Bug#18319, Bug#19595)
1589 * progmodes/python.el (python-syntax-comment-or-string-p):
1590 Accept PPSS as argument.
1591 (python-syntax-closing-paren-p): New function.
1592 (python-indent-current-level)
1593 (python-indent-levels): Mark obsolete.
1594 (python-indent-context): Return more context cases.
1595 (python-indent--calculate-indentation)
1596 (python-indent--calculate-levels): New functions.
1597 (python-indent-calculate-levels): Use them.
1598 (python-indent-calculate-indentation, python-indent-line):
1599 (python-indent-line-function): Rewritten to use new API.
1600 (python-indent-dedent-line): Simplify logic.
1601 (python-indent-dedent-line-backspace): Use `unless`.
1602 (python-indent-toggle-levels): Delete function.
1604 2015-01-28 Daniel Koning <dk@danielkoning.com> (tiny change)
1606 * subr.el (posnp): Correct docstring of `posnp'.
1607 (posn-col-row): Make it work with all mouse position objects.
1608 * textmodes/artist.el (artist-mouse-draw-continously):
1609 Cancel timers if an error occurs during continuous drawing. (Bug#6130)
1611 2015-01-28 Eli Zaretskii <eliz@gnu.org>
1613 * button.el (button-activate, push-button): Doc fix. (Bug#19628)
1615 2015-01-28 Michael Albinus <michael.albinus@gmx.de>
1617 * filenotify.el (file-notify-descriptors, file-notify-handle-event):
1619 (file-notify--descriptor): New defun.
1620 (file-notify-callback, file-notify-add-watch, file-notify-rm-watch):
1621 Adapt docstring. Handle multiple values for
1622 `file-notify-descriptors' entries. (Bug#18880)
1624 * net/tramp.el (tramp-handle-file-notify-rm-watch): Do not check
1625 `file-notify-descriptors', the implementation has been changed.
1627 2015-01-28 Eli Zaretskii <eliz@gnu.org>
1629 * net/net-utils.el (net-utils-run-program, net-utils-run-simple):
1630 On MS-Windows, bind coding-system-for-read to the console output
1631 codepage. (Bug#19458)
1633 2015-01-28 Dmitry Gutov <dgutov@yandex.ru>
1635 Unbreak `mouse-action' property in text buttons.
1636 * button.el (push-button): Fix regression from 2012-12-06.
1638 2015-01-28 Glenn Morris <rgm@gnu.org>
1640 * progmodes/sh-script.el (sh-mode): Doc fix.
1641 (sh-basic-indent-line): Handle electric newline. (Bug#18756)
1643 2015-01-28 Paul Eggert <eggert@cs.ucla.edu>
1645 Fix dired quoting bug with "Hit`N`Hide".
1646 * files.el (shell-quote-wildcard-pattern): Also quote "`". (Bug#19498)
1648 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
1650 Tighten up the tagcode used for eieio and cl-struct objects.
1651 * loadup.el: Load cl-preloaded.
1652 * emacs-lisp/eieio-core.el (eieio-defclass-internal): Set the function
1653 slot of the tag symbol to :quick-object-witness-check.
1654 (eieio-object-p): Use :quick-object-witness-check.
1655 (eieio--generic-tagcode): Use cl--generic-struct-tag.
1656 * emacs-lisp/cl-preloaded.el: New file.
1657 * emacs-lisp/cl-macs.el (cl--bind-inits): Remove, unused.
1658 (cl--transform-lambda, cl-destructuring-bind): Remove cl--bind-inits.
1659 (cl--make-usage-args): Strip away &aux args.
1660 (cl-case, cl-typecase, cl--parse-loop-clause): Use macroexp-let2.
1661 (cl-the, cl-check-type): Use macroexp-let2 and cl-typep.
1662 (cl-defstruct): Use `declare' and cl-struct-define.
1663 * emacs-lisp/cl-generic.el (cl--generic-struct-tag): New function.
1664 (cl--generic-struct-tagcode): Use it to tighten the tagcode.
1666 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
1668 * emacs-lisp/cl.el (cl--function-convert):
1669 Merge cache that cl--labels-convert adds (bug#19699).
1671 2015-01-27 Ivan Shmakov <ivan@siamics.net>
1673 * tar-mode.el: Allow for adding new archive members. (Bug#19274)
1674 (tar-new-regular-file-header, tar--pad-to, tar--put-at)
1675 (tar-header-serialize): New functions.
1676 (tar-current-position): Split from tar-current-descriptor.
1677 (tar-current-descriptor): Use it.
1678 (tar-new-entry): New command.
1679 (tar-mode-map): Bind it.
1681 2015-01-27 Sam Steingold <sds@gnu.org>
1683 * progmodes/python.el (python-check-custom-command): Buffer local
1684 because it usually includes the buffer name.
1685 (python-check-command): Set to epylint when pyflakes is not available.
1687 2015-01-27 Artur Malabarba <bruce.connor.am@gmail.com>
1689 * isearch.el (isearch-process-search-char): Add docstring.
1691 2015-01-27 Oleh Krehel <ohwoeowho@gmail.com>
1693 * emacs-lisp/derived.el (define-derived-mode): Declare indent 3.
1695 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
1697 * emacs-lisp/cl.el (cl--function-convert): Run cl--labels-convert
1698 for the case cl-flet or cl-labels form is wrapped with lexical-let
1701 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
1703 * emacs-lisp/cl-generic.el (cl--generic-method): New struct.
1704 (cl--generic): The method-table is now a (list-of cl--generic-method).
1705 (cl--generic-member-method): New function.
1706 (cl-generic-define-method): Use it.
1707 (cl--generic-build-combined-method, cl--generic-cache-miss):
1708 Adapt to new method-table.
1709 (cl--generic-no-next-method-function): Add `method' argument.
1710 (cl-generic-call-method): Adapt to new method representation.
1711 (cl--generic-cnm-sample, cl--generic-nnm-sample): Adjust.
1712 (cl-find-method, cl-method-qualifiers): New functions.
1713 (cl--generic-method-info): Adapt to new method representation.
1714 Return a string for the qualifiers.
1715 (cl--generic-describe):
1716 * emacs-lisp/eieio-opt.el (eieio-help-class): Adjust accordingly.
1717 (eieio-all-generic-functions, eieio-method-documentation):
1718 Adjust to new method representation.
1720 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use cl-find-method.
1722 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
1724 * emacs-lisp/cl-generic.el: Add a method-combination hook.
1725 (cl-generic-method-combination-function): New var.
1726 (cl--generic-lambda): Remove `with-cnm' arg.
1727 (cl-defmethod): Change accordingly.
1728 (cl-generic-define-method): Don't check qualifiers validity.
1729 Preserve all qualifiers in `method-table'.
1730 (cl-generic-call-method): New function.
1731 (cl--generic-nest): Remove (morph into cl-generic-call-method).
1732 (cl--generic-build-combined-method): Adjust to new format of method-table
1733 and use cl-generic-method-combination-function.
1734 (cl--generic-standard-method-combination): New function, extracted from
1735 cl--generic-build-combined-method.
1736 (cl--generic-cnm-sample): Adjust to new format of method-table.
1738 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use () qualifiers
1739 instead of :primary.
1741 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke):
1742 Remove obsolete function.
1744 2015-01-26 Lars Ingebrigtsen <larsi@gnus.org>
1746 * net/shr.el (shr-make-table-1): Fix colspan typo.
1747 (shr-make-table-1): Add comments.
1748 (shr-make-table-1): Make colspan display more sensibly.
1750 * net/eww.el (eww-add-bookmark): Fix prompt and clean up the code
1753 2015-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1755 * emacs-lisp/cl-generic.el (cl--generic-no-next-method-function): New fun.
1756 (cl--generic-build-combined-method, cl--generic-nnm-sample): Use it
1758 (cl--generic-typeof-types): Add support for `sequence'.
1759 (cl-defmethod): Add non-keywords in the qualifiers.
1761 2015-01-25 Dmitry Gutov <dgutov@yandex.ru>
1763 * emacs-lisp/find-func.el (find-function-regexp): Don't match
1764 `defgroup' (regression from the previous change here).
1766 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1768 * net/ldap.el (ldap-search-internal): Mention binddn in invalid
1769 credentials error message.
1771 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1773 * net/ldap.el (ldap-password-read): Validate password before
1775 (ldap-search-internal): Handle ldapsearch error conditions.
1777 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1779 * net/ldap.el (ldap-password-read): Handle password-cache being nil.
1781 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1783 * net/eudc.el (eudc-expand-inline): Always restore former server
1786 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1788 * net/eudcb-ldap.el: Don't nag the user in case a default base is
1789 provided by the LDAP system configuration file.
1791 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1793 * net/eudc.el (eudc-format-query): Preserve the
1794 eudc-inline-query-format ordering of attributes in the returned list.
1795 * net/eudcb-ldap.el (eudc-ldap-format-query-as-rfc1558):
1796 Append the LDAP wildcard character to the last attribute value.
1798 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1800 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple):
1801 Downcase field names of LDAP results.
1802 (eudc-ldap-cleanup-record-filtering-addresses): Likewise.
1804 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1806 * net/ldap.el (ldap-ldapsearch-password-prompt): New defcustom.
1807 (ldap-search-internal): Send password to ldapsearch through a pipe
1808 instead of via the command line.
1810 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1812 * net/ldap.el: Require password-cache.
1813 (ldap-password-read): New function.
1814 (ldap-search-internal): Call ldap-password-read when it is
1815 configured to be called.
1817 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1819 * net/eudc-vars.el (eudc-expansion-overwrites-query):
1820 Change default to nil.
1822 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1824 * net/eudc.el (eudc-expand-inline): Ignore text properties of
1827 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1829 * net/eudc-vars.el (eudc-inline-expansion-format): Default to a
1830 format that includes first name and surname.
1832 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1834 * net/eudc-vars.el (eudc-inline-query-format): Change default to
1835 query email and first name instead of surname.
1837 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1839 * net/ldap.el (ldap-search-internal): Support new-style LDAP URIs.
1841 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1843 * net/eudc-vars.el (eudc-server): Adjust docstring to mention
1844 eudc-server-hotlist.
1845 (eudc-server-hotlist): Move from eudc.el and make defcustom.
1846 * net/eudc.el (eudc-server-hotlist): Move to eudc-vars.el.
1847 (eudc-set-server): Allow setting protocol to nil.
1848 (eudc-expand-inline): Support hotlist-only expansions when server
1851 2015-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
1853 * emacs-lisp/cl-generic.el (cl-no-primary-method): New fun and error.
1854 (cl--generic-build-combined-method): Use it.
1856 2015-01-22 Paul Eggert <eggert@cs.ucla.edu>
1858 Don't downcase system diagnostics' first letters
1859 * emacs-lisp/bytecomp.el (byte-compile-file):
1860 * ffap.el (find-file-at-point):
1861 * files.el (insert-file-1):
1862 * net/ange-ftp.el (ange-ftp-barf-if-not-directory)
1863 (ange-ftp-copy-file-internal):
1864 * progmodes/etags.el (visit-tags-table):
1865 Keep diagnostics consistent with system's.
1866 * ffap.el (ffap-machine-p):
1867 Ignore case while comparing diagnostics.
1869 2015-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
1871 * help.el (help-make-usage): Don't turn a "_" arg into an empty-string
1873 * emacs-lisp/cl-generic.el (cl--generic-lambda): Don't confuse a string
1874 body with a docstring.
1876 2015-01-22 Dmitry Gutov <dgutov@yandex.ru>
1878 * progmodes/xref.el (xref-location-marker, xref-location-group):
1879 Use `cl-defgeneric' and `cl-defmethod' instead of the EIEIO
1882 * progmodes/etags.el (xref-location-marker): Same.
1884 * progmodes/xref.el (xref--current): Rename from `xref--selected'.
1885 (xref--inhibit-mark-current): Rename from
1886 `xref--inhibit-mark-selected'. Update the usages.
1887 (xref-quit): Reword the docstring. Kill buffers after quitting
1888 windows instead of before.
1889 (xref--insert-xrefs): Tweak help-echo.
1890 (xref--read-identifier-history, xref--read-pattern-history):
1892 (xref--read-identifier, xref-find-apropos): Use them.
1894 2015-01-21 Ulrich Müller <ulm@gentoo.org>
1896 * play/gamegrid.el (gamegrid-add-score-with-update-game-score):
1897 Allow the 'update-game-score' helper program to run suid or sgid.
1899 2015-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
1901 * emacs-lisp/eieio.el: Use cl-defmethod.
1902 (defclass): Generate cl-defmethod calls; use setf methods for :accessor.
1903 (eieio-object-name-string): Declare as obsolete.
1905 * emacs-lisp/eieio-opt.el: Adapt to cl-generic.
1906 (eieio--specializers-apply-to-class-p): New function.
1907 (eieio-all-generic-functions): Use it.
1908 (eieio-method-documentation): Use it as well as cl--generic-method-info.
1909 Change format of return value.
1910 (eieio-help-class): Adapt accordingly.
1912 * emacs-lisp/eieio-compat.el (eieio--defmethod): Avoid no-next-method
1913 errors when there's a `before' but no `primary' (bug#19645).
1914 (next-method-p): Return nil rather than signal an error.
1915 (eieio-defgeneric): Remove bogus (fboundp 'method).
1917 * emacs-lisp/eieio-speedbar.el:
1918 * emacs-lisp/eieio-datadebug.el:
1919 * emacs-lisp/eieio-custom.el:
1920 * emacs-lisp/eieio-base.el: Use cl-defmethod.
1922 * emacs-lisp/cl-generic.el (cl-defgeneric): Add support for `declare'.
1923 (cl--generic-setf-rewrite): Setup the setf expander right away.
1924 (cl-defmethod): Make sure the setf expander is setup before we expand
1926 (cl-defmethod): Silence byte-compiler warnings.
1927 (cl-generic-define-method): Shuffle code to change return value.
1928 (cl--generic-method-info): New function, extracted from
1929 cl--generic-describe.
1930 (cl--generic-describe): Use it.
1932 2015-01-21 Dmitry Gutov <dgutov@yandex.ru>
1934 * progmodes/xref.el (xref--xref-buffer-mode-map): Define before
1935 the major mode. Remap `quit-window' to `xref-quit'.
1936 (xref--xref-buffer-mode): Inherit from special-mode.
1938 xref: Keep track of temporary buffers (bug#19466).
1939 * progmodes/xref.el (xref--temporary-buffers, xref--selected)
1940 (xref--inhibit-mark-selected): New variables.
1941 (xref--mark-selected): New function.
1942 (xref--show-location): Maybe add the buffer to
1943 `xref--temporary-buffers', add `xref--mark-selected' to
1944 `buffer-list-update-hook' there.
1945 (xref--window): Add docstring.
1946 (xref-quit): Rename from `xref--quit'. Update both references.
1947 Add KILL argument. When it's non-nil, kill the temporary buffers
1948 that haven't been selected by the user.
1949 (xref--show-xref-buffer): Change the second argument to alist,
1950 extract the values for `xref--window' and
1951 `xref--temporary-buffers' from it. Add `xref--mark-selected' to
1952 `buffer-list-update-hook' to each buffer in the list.
1953 (xref--show-xrefs): Move the logic of calling `xref-find-function'
1954 here. Save the difference between buffer lists before and after
1955 it's called as "temporary buffers", and `pass it to
1956 `xref-show-xrefs-function'.
1957 (xref--find-definitions, xref-find-references)
1958 (xref-find-apropos): Update accordingly.
1960 2015-01-20 Artur Malabarba <bruce.connor.am@gmail.com>
1962 * emacs-lisp/package.el (package-dir-info): Fix `while' logic.
1964 2015-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
1966 * emacs-lisp/eieio-generic.el: Remove.
1967 (defgeneric, defmethod): Move to eieio-compat.el. Mark obsolete.
1968 * emacs-lisp/eieio-compat.el: New file.
1969 * emacs-lisp/eieio.el: Don't require eieio-generic any more.
1970 * emacs-lisp/eieio-core.el (eieio--slot-originating-class-p):
1971 Remove unused function.
1972 (eieio-defclass): Move to eieio-compat.el.
1973 * emacs-lisp/macroexp.el (macroexp-macroexpand): New function.
1974 (macroexp--expand-all): Use it.
1975 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): Here too.
1977 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1979 * emacs-lisp/eldoc.el (eldoc-documentation-function): Describe how
1980 major modes should use `add-function' to alter value of the variable.
1981 * hexl.el (hexl-mode):
1982 * ielm.el (inferior-emacs-lisp-mode):
1983 * progmodes/cfengine.el (cfengine3-mode):
1984 * progmodes/elisp-mode (emacs-lisp-mode):
1985 * progmodes/octave.el (octave-mode):
1986 * progmodes/python.el (python-mode):
1987 * simple.el (read--expression): Set `eldoc-documentation-function'
1988 using `add-function' so the default value is always used.
1990 * descr-text.el (describe-char-eldoc): New function returning
1991 basic Unicode codepoint information (e.g. name) about character
1992 at point. It is meant to be used as a default value of the
1993 `eldoc-documentation-function' variable.
1994 (describe-char-eldoc--format, describe-char-eldoc--truncate):
1995 New helper functions for `describe-char-eldoc' function.
1997 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1999 * textmodes/paragraphs.el (sentence-end-base): Include an
2000 ellipsis (…) and interrobang (‽) characters as end of a sentence,
2001 and a closing single quote (’) as an end of a quote.
2003 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
2005 * textmodes/tildify.el (tildify-double-space-undos): A new
2006 variable specifying whether pressing space in `tildify-mode' after
2007 a space has been replaced with hard space undos the substitution.
2008 (tildify-space): Add code branch for handling `tildify-doule-space'.
2010 * textmodes/tildify.el (tildify-space): A new function
2011 which can be used as a `post-self-insert-hook' to automatically
2012 convert spaces into hard spaces.
2013 (tildify-space-pattern): A new variable specifying pattern where
2014 `tildify-space' should take effect.
2015 (tildify-space-predicates): A new variable specifying list of
2016 predicate functions that all must return non-nil for
2017 `tildify-space' to take effect.
2018 (tildify-space-region-predicate): A new functions meant to be
2019 used as a predicate in `tildify-space-predicates' list.
2020 (tildify-mode): A new minor mode enabling `tildify-space' as a
2021 `post-self-insert-hook'
2023 2015-01-20 Daniel Colascione <dancol@dancol.org>
2025 * vc/vc-dir.el (vc-dir): Default to repository root, not
2028 2015-01-20 Dmitry Gutov <dgutov@yandex.ru>
2030 * progmodes/etags.el (xref-etags-location): New class.
2031 (xref-make-etags-location): New function.
2032 (etags--xref-find-definitions): Use it.
2033 (xref-location-marker): New method implementation.
2035 * progmodes/xref.el: Mention that xref-location is an EIEIO class.
2036 (xref--insert-xrefs): Expand help-echo string.
2038 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
2040 * ido.el: Update Customization instructions.
2042 2015-01-19 Jonas Bernoulli <jonas@bernoul.li>
2044 Define Ido keymaps once (bug#17000).
2045 * ido.el (ido-common-completion-map)
2046 (ido-file-dir-completion-map)
2047 (ido-file-completion-map, ido-buffer-completion-map): Set up key
2048 bindings when each variable is defined.
2049 (ido-completion-map): Move definition.
2050 (ido-init-completion-maps): Noop.
2051 (ido-common-initialization): Don't call it.
2052 (ido-setup-completion-map): Improve doc-string, cleanup.
2054 2015-01-19 Ivan Shmakov <ivan@siamics.net>
2056 * cus-dep.el (custom-make-dependencies): Ensure that
2057 default-directory is interpreted as a directory (see bug#19140.)
2059 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
2061 * progmodes/xref.el (xref--display-position):
2062 Set `other-window-scroll-buffer'.
2063 (xref-goto-xref): Use `user-error'.
2065 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
2067 * progmodes/xref.el (xref--display-history): New variable.
2068 (xref--window-configuration): Remove.
2069 (xref--save-to-history): New function.
2070 (xref--display-position): Use it. Add new argument.
2071 (xref--restore-window-configuration): Remove.
2072 (xref--show-location, xref-show-location-at-point):
2074 (xref--xref-buffer-mode): Don't use `pre-command-hook'.
2075 (xref--quit): New command.
2076 (xref-goto-xref): Use it.
2077 (xref--xref-buffer-mode-map): Bind `q' to it.
2079 2015-01-18 Dmitry Gutov <dgutov@yandex.ru>
2081 * progmodes/xref.el (xref-goto-xref): Perform the jump even inside
2082 indentation or at eol.
2084 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
2086 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
2087 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
2089 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
2091 * emacs-lisp/eieio.el (defclass): Add obsolescence warning for the
2094 * emacs-lisp/cl-generic.el (cl-generic-define-method): Correctly handle
2095 introduction of a new dispatch argument.
2096 (cl--generic-cache-miss): Handle dispatch on an argument which was not
2097 considered as dispatchable for this method.
2098 (cl-defmethod): Warn when adding a method to an obsolete generic function.
2099 (cl--generic-lambda): Make sure it works if cl-lib is not yet loaded.
2101 * emacs-lisp/eieio-generic.el (eieio--defgeneric-init-form): Use autoloadp.
2103 2015-01-18 Artur Malabarba <bruce.connor.am@gmail.com>
2105 * emacs-lisp/package.el (package--append-to-alist): Rename from
2106 `package--add-to-alist'
2107 Updated docstring due to new name.
2109 2015-01-18 Leo Liu <sdl.web@gmail.com>
2111 * emacs-lisp/cl-extra.el (cl-subseq): Use seq-subseq and fix
2112 multiple evaluation. (Bug#19519)
2114 * emacs-lisp/seq.el (seq-subseq): Throw bad bounding indices
2117 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
2119 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
2120 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
2122 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
2124 * emacs-lisp/cl-macs.el (cl-defstruct): Minor optimization when include
2126 (cl-struct-type-p): New function.
2128 * emacs-lisp/cl-generic.el: Add support for cl-next-method-p.
2129 (cl-defmethod): Add edebug spec.
2130 (cl--generic-build-combined-method): Fix call to
2131 cl-no-applicable-method.
2132 (cl--generic-nnm-sample, cl--generic-cnm-sample): New constant.
2133 (cl--generic-isnot-nnm-p): New function.
2134 (cl--generic-lambda): Use it to add support for cl-next-method-p.
2135 (cl-no-next-method, cl-no-applicable-method): Simplify arg list.
2136 (cl-next-method-p): New function.
2138 2015-01-17 Ulrich Müller <ulm@gentoo.org>
2140 * version.el (emacs-repository-get-version): Update docstring.
2142 2015-01-17 Ivan Shmakov <ivan@siamics.net>
2144 * files.el (find-file-other-window, find-file-other-frame):
2145 Use mapc instead of mapcar. (Bug#18175)
2147 * files.el (dir-locals-collect-variables): Use default-directory
2148 in place of the file name while working on non-file buffers, just
2149 like hack-dir-local-variables already does. (Bug#19140)
2151 * textmodes/enriched.el (enriched-encode):
2152 Use inhibit-point-motion-hooks in addition to inhibit-read-only.
2155 * desktop.el (desktop-read): Do not call desktop-clear when no
2156 desktop file is found. (Bug#18371)
2158 * misearch.el (multi-isearch-unload-function): New function.
2159 (misearch-unload-function): New alias. (Bug#19566)
2161 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
2163 * emacs-lisp/eieio-core.el (eieio--class-constructor): Rename from
2164 class-constructor, and make it an alias for `identity'.
2167 * emacs-lisp/eieio.el (eieio-constructor): Handle obsolete object name
2168 argument here (bug#19620)...
2169 (defclass): ...instead of in the constructor here.
2171 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
2173 * emacs-lisp/package.el (package-archive-priorities):
2174 Specify correct type.
2176 2015-01-17 Ulrich Müller <ulm@gentoo.org>
2178 * version.el (emacs-bzr-version-dirstate, emacs-bzr-version-bzr):
2180 (emacs-repository-get-version): Discard the Bazaar case.
2181 * vc/vc-bzr.el (vc-bzr-version-dirstate): Rename from
2182 emacs-bzr-version-dirstate and move from version.el to here.
2183 (vc-bzr-working-revision): Use it.
2185 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
2187 * emacs-lisp/eieio-generic.el (call-next-method): Don't bother checking
2188 eieio--scoped-class any more.
2190 * emacs-lisp/eieio-core.el (eieio--scoped-class-stack): Remove var.
2191 (eieio--scoped-class): Remove function.
2192 (eieio--with-scoped-class): Remove macro. Replace uses with `progn'.
2193 (eieio--slot-name-index): Don't check the :protection anymore.
2194 (eieio-initializing-object): Remove var.
2195 (eieio-set-defaults): Don't let-bind eieio-initializing-object.
2197 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
2199 Improve handling of doc-strings and describe-function for cl-generic.
2201 * help-mode.el (help-function-def): Add optional arg `type'.
2203 * help-fns.el (find-lisp-object-file-name): Accept any `type' as long
2205 (help-fns-short-filename): New function.
2206 (describe-function-1): Use it. Use autoload-do-load.
2208 * emacs-lisp/find-func.el: Use lexical-binding.
2209 (find-function-regexp): Don't rule out `defgeneric'.
2210 (find-function-regexp-alist): Document new possibility of including
2211 a function instead of a regexp.
2212 (find-function-search-for-symbol): Implement that new possibility.
2213 (find-function-library): Don't assume that `function' is a symbol.
2214 (find-function-do-it): Remove unused var `orig-buf'.
2216 * emacs-lisp/eieio-generic.el (eieio--defalias): Move from eieio-core.
2217 (eieio--defgeneric-init-form): Don't throw away a previous docstring.
2218 (eieio--method-optimize-primary): Don't mess with the docstring.
2219 (defgeneric): Keep the `args' in the docstring.
2220 (defmethod): Don't use the method's docstring for the generic
2221 function's docstring.
2223 * emacs-lisp/eieio-core.el (eieio--defalias): Move to eieio-generic.el.
2224 (eieio-defclass-autoload): Don't record the superclasses any more.
2225 (eieio-defclass-internal): Reuse the old class object if it was just an
2227 (eieio--class-precedence-list): Load the class if it's autoloaded.
2229 * emacs-lisp/cl-generic.el (cl-generic-ensure-function): It's OK to
2230 override an autoload.
2231 (cl-generic-current-method-specializers): Replace dyn-bind variable
2232 with a lexically-scoped macro.
2233 (cl--generic-lambda): Update accordingly.
2234 (cl-generic-define-method): Record manually in the load-history with
2235 type `cl-defmethod'.
2236 (cl--generic-get-dispatcher): Minor optimization.
2237 (cl--generic-search-method): New function.
2238 (find-function-regexp-alist): Add entry for `cl-defmethod' type.
2239 (cl--generic-search-method): Add hyperlinks for methods. Merge the
2240 specializers and the function's arguments.
2242 2015-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
2244 * emacs-lisp/package.el (package--read-pkg-desc):
2245 New function. Read a `define-package' form in current buffer.
2246 Return the pkg-desc, with desc-kind set to KIND.
2247 (package-dir-info): New function. Find package information for a
2248 directory. The return result is a `package-desc'.
2249 (package-install-from-buffer): Install packages from dired buffer.
2250 (package-install-file): Install packages from directory.
2251 (package-desc-suffix)
2252 (package-install-from-archive)
2253 * emacs-lisp/package-x.el (package-upload-buffer-internal):
2254 Ensure all remaining instances of `package-desc-kind' handle the 'dir
2257 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
2259 * emacs-lisp/package.el: Provide repository priorities.
2260 (package-archive-priorities): New variable.
2261 (package--add-to-alist): New function.
2262 (package--add-to-archive-contents): Use it.
2263 (package-menu--find-upgrades): Use it as well. Small clean up to
2264 make the use of the package name here explicit.
2265 (package-archive-priority): New function.
2266 (package-desc-priority-version): New function.
2268 2015-01-16 Daniel Colascione <dancol@dancol.org>
2270 * cus-start.el (all): Make `ring-bell-function' customizable.
2272 2015-01-16 Dmitry Gutov <dgutov@yandex.ru>
2274 * vc/vc-svn.el (vc-svn-dir-status-files): Pass t as
2275 vc-svn-after-dir-status's second argument. (Bug#19429)
2277 2015-01-16 Samer Masterson <samer@samertm.com>
2279 * pcomplete.el (pcomplete-parse-arguments): Parse arguments
2280 regardless of pcomplete-cycle-completions's value. (Bug#18950)
2282 2015-01-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
2284 * dom.el (dom-strings): New function.
2286 * files.el (directory-files-recursively): Don't use the word
2287 "path" for a file name.
2289 2015-01-15 Wolfgang Jenkner <wjenkner@inode.at>
2291 * calc/calc-units.el (math-units-in-expr-p)
2292 (math-single-units-in-expr-p, math-find-compatible-unit-rec)
2293 (math-extract-units): Handle the `neg' operator. (Bug#19582)
2295 2015-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
2297 * emacs-lisp/cl-macs.el (cl--labels-magic): New constant.
2298 (cl--labels-convert): Use it to ask the macro what is its replacement
2301 * emacs-lisp/cl-generic.el (cl--generic-build-combined-method):
2302 Return the value of the primary rather than the after method.
2304 * emacs-lisp/eieio-core.el: Provide support for cl-generic.
2305 (eieio--generic-tagcode): New function.
2306 (cl-generic-tagcode-function): Use it.
2307 (eieio--generic-tag-types): New function.
2308 (cl-generic-tag-types-function): Use it.
2309 (eieio-object-p): Tighten up the test.
2311 * emacs-lisp/cl-generic.el (cl-generic-define-method): Fix paren typo.
2313 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
2315 * emacs-lisp/cl-generic.el: New file.
2317 * emacs-lisp/cl-macs.el (cl-flet): Allow (FUN EXP) forms.
2318 (cl-load-time-value, cl-labels): Use closures rather than
2320 (cl-macrolet): Use `eval' to create the function value, and support CL
2321 style arguments in for the defined macros.
2323 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
2325 * net/eww.el: Use lexical-binding.
2326 (eww-links-at-point): Remove unused arg.
2327 (eww-mode-map): Inherit from special-mode-map.
2328 (eww-mode): Derive from special-mode. Don't use `setq' on a hook.
2330 2015-01-13 Alan Mackenzie <acm@muc.de>
2332 Allow compilation during loading of CC Mode-derived modes (bug#19206).
2333 * progmodes/cc-bytecomp.el (cc-bytecomp-compiling-or-loading):
2334 New function which walks the stack to discover whether we're compiling
2336 (cc-bytecomp-is-compiling): Reformulate, and move towards beginning.
2337 (cc-bytecomp-is-loading): New defsubst.
2338 (cc-bytecomp-setup-environment, cc-bytecomp-restore-environment):
2339 Use the above defsubsts.
2340 (cc-require-when-compile, cc-bytecomp-defvar)
2341 (cc-bytecomp-defun): Simplify conditionals.
2342 * progmodes/cc-defs.el (cc-bytecomp-compiling-or-loading):
2343 "Borrow" this function from cc-bytecomp.el.
2344 (c-get-current-file): Reformulate using the above.
2345 (c-lang-defconst): Prevent duplicate entries of file names in a
2346 symbol's 'source property.
2347 (c-lang-const): Use cc-bytecomp-is-compiling.
2348 * progmodes/cc-langs.el (c-make-init-lang-vars-fun):
2349 Use cc-bytecomp-is-compiling.
2351 2015-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
2353 * emacs-lisp/eieio-core.el (eieio-defclass): Fix call to `defclass'
2356 2015-01-13 Dmitry Gutov <dgutov@yandex.ru>
2358 * menu-bar.el (menu-bar-goto-menu): Before calling
2359 `xref-marker-stack-empty-p', first check that `xref' is loaded.
2362 2015-01-12 Martin Rudalics <rudalics@gmx.at>
2364 * progmodes/xref.el (xref-marker-stack-empty-p): Add autoload
2367 * frame.el (frame-notice-user-settings): Remove code dealing with
2368 frame-initial-frame-tool-bar-height. Turn off `tool-bar-mode'
2369 only if `window-system-frame-alist' or `default-frame-alist' ask
2371 (make-frame): Update frame-adjust-size-history if needed.
2373 2015-01-12 Paul Eggert <eggert@cs.ucla.edu>
2375 Have 'make' output better GEN names
2376 * Makefile.in (PHONY_EXTRAS): New macro.
2377 (.PHONY): Depend on it, and on $(lisp)/loaddefs.el, so that the
2378 relevant files' time stamps are ignored.
2379 (custom-deps, $(lisp)/cus-load.el, finder-data)
2380 ($(lisp)/finder-inf.el): Use PHONY_EXTRAS.
2381 (custom-deps, $(lisp)/cus-load.el, finder-data)
2382 ($(lisp)/finder-inf.el, autoloads, $(lisp)/loaddefs.el)
2383 ($(lisp)/subdirs.el, update-subdirs):
2384 Output more-accurate destination names with GEN.
2386 Say "ELC foo.elc" instead of "GEN foo.elc"
2387 * Makefile.in (AM_V_ELC, am__v_ELC_, am__v_ELC_0, am__v_ELC_1):
2389 ($(THEFILE)c, .el.elc): Use them.
2391 2015-01-11 Michael Albinus <michael.albinus@gmx.de>
2393 * files.el (directory-files-recursively): Do not include
2394 superfluous remote file names.
2396 2015-01-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
2398 * net/eww.el (eww): Interpret anything that looks like a protocol
2399 designator as a full URL.
2401 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2403 * net/shr.el (shr-urlify): Don't bother the user about
2404 invalidly-encoded display strings.
2406 2015-01-10 Ivan Shmakov <ivan@siamics.net>
2408 * net/shr.el (shr-urlify): Decode URLs before using them as titles
2411 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2413 * net/eww.el (eww): Always interpret URLs that start with https?:
2414 as plain URLs, even if they have spaces in them (bug#19556).
2415 (eww): Also interpret things like "en.wikipedia.org/wiki/Free
2416 software" as an URL.
2417 (eww): Don't interpret "org/foo" as an URL.
2418 (eww): Clear the title when loading so that we don't display
2419 misleading information.
2421 2015-01-10 Daniel Colascione <dancol@dancol.org>
2423 * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
2424 by analogy with dired.
2426 2015-01-09 Daniel Colascione <dancol@dancol.org>
2428 * progmodes/js.el (js--function-heading-1-re)
2429 (js--function-prologue-beginning): Parse ES6 generator function
2430 declarations. (That is, "function* name()").
2432 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2434 * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
2435 that creates functions, and most of the sanity checks.
2436 Mark as obsolete the <class>-child-p function.
2437 * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
2438 (eieio--class, eieio--object): Use cl-defstruct.
2439 (eieio--object-num-slots): Define manually.
2440 (eieio-defclass-autoload): Use eieio--class-make.
2441 (eieio-defclass-internal): Rename from eieio-defclass. Move all the
2442 `(lambda...) definitions and most of the sanity checks to `defclass'.
2443 Mark as obsolete the <class>-list-p function, the <class> variable and
2444 the <initarg> variables. Use pcase-dolist.
2445 (eieio-defclass): New compatibility function.
2446 * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
2447 (eieio-class-speedbar): Don't use eieio-default-superclass var.
2449 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2451 * emacs-lisp/eieio-generic.el: New file.
2452 * emacs-lisp/eieio-core.el: Move all generic function code to
2454 (eieio--defmethod): Declare.
2456 * emacs-lisp/eieio.el: Require eieio-generic. Move all generic
2457 function code to eieio-generic.el.
2458 * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
2460 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
2461 to eieio--generic-call.
2462 * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
2465 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2467 * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
2468 Don't use <class> as a variable.
2470 * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
2471 (call-next-method): Simplify.
2472 (clone): Obey eieio-backward-compatibility.
2474 * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
2475 (eieio-read-generic): Use `generic-p' instead.
2477 * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
2478 (eieio-defclass-autoload): Obey it.
2479 (eieio--class-object): Improve error behavior.
2480 (eieio-class-children-fast, same-class-fast-p): Remove. Inline at
2482 (eieio--defgeneric-form-primary-only): Rename from
2483 eieio-defgeneric-form-primary-only; update all callers.
2484 (eieio--defgeneric-form-primary-only-one): Rename from
2485 eieio-defgeneric-form-primary-only-one; update all callers.
2486 (eieio-defgeneric-reset-generic-form)
2487 (eieio-defgeneric-reset-generic-form-primary-only)
2488 (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
2489 (eieio--method-optimize-primary): New function to replace them.
2490 (eieio--defmethod, eieio-defmethod): Use it.
2491 (eieio--perform-slot-validation): Rename from
2492 eieio-perform-slot-validation; update all callers.
2493 (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
2494 Change `class' to be a class object. Update all callers.
2495 (eieio--validate-class-slot-value): Rename from
2496 eieio-validate-class-slot-value. Change `class' to be a class object.
2498 (eieio-oset-default): Accept class object as well.
2499 (eieio--generic-call-primary-only): Rename from
2500 eieio-generic-call-primary-only. Update all callers.
2502 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
2503 Improve error messages.
2504 (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
2505 well as user-defined types. Emit errors for legacy types like
2506 <class>-child and <class>-list, if not eieio-backward-compatibility.
2508 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2510 * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
2511 (eieio--class-slot-initarg): Rename from class-slot-initarg.
2512 Change `class' arg to be a class object. Update all callers.
2513 (call-next-method): Adjust to new return value of `eieio-generic-form'.
2514 (eieio-default-superclass): Set var to the class object.
2515 (eieio-edebug-prin1-to-string): Fix recursive call for lists.
2516 Change print behavior to affect class objects rather than
2519 * emacs-lisp/eieio-core.el (eieio-class-object): New function.
2520 (eieio-class-parents-fast): Remove macro.
2521 (eieio--class-option-assoc): Rename from class-option-assoc.
2523 (eieio--class-option): Rename from class-option. Change `class' arg to
2524 be a class object. Update all callers.
2525 (eieio--class-method-invocation-order): Rename from
2526 class-method-invocation-order. Change `class' arg to be a class
2527 object. Update all callers.
2528 (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
2529 a list of class objects rather than names.
2530 (eieio-defclass): Remove redundant quotes. Use `eieio-oref-default'
2531 for accessors to class allocated slots.
2532 (eieio--perform-slot-validation-for-default): Rename from
2533 eieio-perform-slot-validation-for-default. Update all callers.
2534 (eieio--add-new-slot): Rename from eieio-add-new-slot.
2535 Update all callers. Use push.
2536 (eieio-copy-parents-into-subclass): Adjust to new content of
2537 `parent' field. Use dolist.
2538 (eieio-oref): Remove support for providing a class rather than
2540 (eieio-oref-default): Prefer class objects over class names.
2541 (eieio--slot-originating-class-p): Rename from
2542 eieio-slot-originating-class-p. Update all callers. Use `or'.
2543 (eieio--slot-name-index): Turn check into assertion.
2544 (eieio--class-slot-name-index): Rename from
2545 eieio-class-slot-name-index. Change `class' arg to be a class object.
2547 (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
2548 (eieio--c3-candidate): Rename from eieio-c3-candidate.
2550 (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
2552 (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
2554 (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
2556 (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
2557 Update all callers. Adjust to new `parent' content.
2558 (eieio--class-precedence-list): Rename from -class-precedence-list.
2560 (eieio-generic-call): Use autoloadp and autoload-do-load.
2561 Slight simplification.
2562 (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
2563 return value of `eieio-generic-form'.
2564 (eieiomt-add): Index the hashtable with class objects rather than
2566 (eieio-generic-form): Accept class objects as well.
2568 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
2569 Adjust to new convention for eieio-persistent-validate/fix-slot-value.
2570 (eieio-persistent-validate/fix-slot-value):
2571 Change `class' arg to be a class object. Update all callers.
2573 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2575 * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
2576 additionally to class names.
2578 * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
2579 (object): Remove first (constant) slot; rename second to `class-tag'.
2580 (eieio--object-class-object, eieio--object-class-name): New funs
2581 to replace eieio--object-class.
2582 (eieio--class-object, eieio--class-p): New functions.
2583 (same-class-fast-p): Make it a defsubst, change its implementation
2584 to check the class objects rather than their names.
2585 (eieio-object-p): Rewrite.
2586 (eieio-defclass): Adjust the object initialization according to the new
2588 (eieio--scoped-class): Declare it returns a class object (not a class
2589 name any more). Adjust calls accordingly (along with calls to
2590 eieio--with-scoped-class).
2591 (eieio--slot-name-index): Rename from eieio-slot-name-index and change
2592 its class arg to be a class object. Adjust callers accordingly.
2593 (eieio-slot-originating-class-p): Make its start-class arg a class
2594 object. Adjust all callers.
2595 (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
2596 Make its `class' arg a class object. Adjust all callers.
2598 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
2599 Use eieio--slot-name-index rather than eieio-slot-name-index.
2601 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2603 * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
2605 (eieio-object-name): Use eieio-object-name-string.
2606 (eieio--object-names): New const.
2607 (eieio-object-name-string, eieio-object-set-name-string): Re-implement
2608 using a hashtable rather than a built-in slot.
2609 (eieio-constructor): Rename from `constructor'. Remove `newname' arg.
2610 (clone): Don't mess with the object's "name".
2612 * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
2613 (eieio-object-value-get): Use eieio-object-set-name-string.
2615 * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
2616 (eieio--object): Remove `name' field.
2617 (eieio-defclass): Adjust to new convention where constructors don't
2618 take an "object name" any more.
2619 (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
2620 (eieio-validate-slot-value, eieio-oset-default)
2621 (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
2622 (eieio-generic-call-primary-only): Simplify.
2624 * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
2625 Use call-next-method.
2626 (eieio-constructor): Rename from `constructor'.
2627 (eieio-persistent-convert-list-to-object): Drop objname.
2628 (eieio-persistent-validate/fix-slot-value): Don't hardcode
2629 eieio--object-num-slots.
2630 (eieio-named): Use a normal slot.
2631 (slot-missing) <eieio-named>: Remove.
2632 (eieio-object-name-string, eieio-object-set-name-string, clone)
2633 <eieio-named>: New methods.
2635 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2637 * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
2638 (method-*): Add a "eieio--" prefix to those constants.
2640 * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
2642 * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
2644 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2646 * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
2647 `eieio-default-superclass'.
2649 * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
2651 * emacs-lisp/eieio-custom.el: Use lexical-binding.
2652 (eieio-object-value-to-abstract): Simplify.
2654 * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
2655 (eieio-build-class-alist): Use dolist.
2656 (eieio-all-generic-functions): Adjust to use of hashtables.
2658 * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
2659 symbol-hashtable. It contains a hashtable instead of an obarray.
2660 (generic-p): Use symbol property `eieio-method-hashtable' instead of
2661 `eieio-method-obarray'.
2662 (generic-primary-only-p, generic-primary-only-one-p):
2663 Slight optimization.
2664 (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
2665 (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
2666 (eieio-class-un-autoload): Use autoload-do-load.
2667 (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
2668 Use new cl-deftype-satisfies. Adjust to use of hashtables.
2669 Don't hardcode the value of eieio--object-num-slots.
2670 (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
2671 Use a closure rather than a backquoted lambda.
2672 (eieio--defmethod): Adjust call accordingly. Set doc-string via the
2673 function-documentation property.
2674 (eieio-slot-originating-class-p, eieio-slot-name-index)
2675 (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
2676 (eieio-generic-form): Adjust to use of hashtables.
2677 (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
2678 additional class argument.
2679 (eieio-generic-call-methodname): Remove, unused.
2681 * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
2684 2015-01-08 Eli Zaretskii <eliz@gnu.org>
2686 * simple.el (line-move-visual): When converting X pixel coordinate
2687 to temporary-goal-column, adjust the value for right-to-left
2688 screen lines. This fixes vertical-motion, next/prev-line, etc.
2690 2015-01-08 Glenn Morris <rgm@gnu.org>
2692 * files.el (file-tree-walk): Remove; of unknown authorship. (Bug#19325)
2694 2015-01-07 K. Handa <handa@gnu.org>
2696 * international/ccl.el (define-ccl-program): Improve the docstring.
2698 2015-01-06 Sam Steingold <sds@gnu.org>
2700 * shell.el (shell-display-buffer-actions): Remove,
2701 use `display-buffer-alist' instead.
2703 2015-01-05 Dmitry Gutov <dgutov@yandex.ru>
2705 * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
2708 2015-01-05 Stefan Monnier <monnier@iro.umontreal.ca>
2710 * minibuffer.el (completion-category-defaults): New var.
2711 Set unicode-name to use substring completion.
2712 (completion-category-defaults): Set it to nil.
2714 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
2716 Add mouse interaction to xref.
2717 * progmodes/xref.el (xref--button-map): New variable.
2718 (xref--mouse-2): New command.
2719 (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
2720 the inserted references.
2722 2015-01-04 Paul Eggert <eggert@cs.ucla.edu>
2724 Less 'make' chatter for lisp dir
2725 * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
2726 to make it clearer that the caller must specify it.
2727 (compile-onefile): Remove, replacing by ...
2728 ($(THEFILE)c): ... new rule. This lets us use AM_V_GEN here.
2729 ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
2730 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
2731 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
2732 Use AM_V_GEN to lessen 'make' chatter.
2733 (.el.elc): Omit duplicate comment.
2735 Less 'make' chatter in batch mode
2736 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
2737 * emacs-lisp/bytecomp.el (byte-compile-file):
2738 * files.el (save-buffer, basic-save-buffer):
2739 * international/quail.el (quail-update-leim-list-file):
2740 Don't output messages like "Generating ..." in batch mode.
2742 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
2744 Unbreak `mouse-action' property in text buttons.
2745 * button.el (push-button): Fix regression from 2012-12-06.
2747 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2749 * progmodes/xref.el (xref-marker-stack-empty-p): New function.
2751 * menu-bar.el (menu-bar-goto-menu): Use it.
2753 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2755 * progmodes/xref.el (xref--window-configuration): New variable.
2756 (xref-show-location-at-point): New command.
2757 (xref--restore-window-configuration): New function.
2758 (xref-next-line, xref-prev-line): Delegate to
2759 `xref-show-location-at-point'.
2760 (xref--location-at-point): Don't signal the error.
2761 (xref-goto-xref): Do that here instead.
2762 (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
2763 to `pre-command-hook'.
2764 (xref--xref-buffer-mode-map): Don't remap `next-line' and
2765 `previous-line'. Additionally bind `xref-next-line' and
2766 `xref-prev-line' to `n' and `p' respectively.
2767 Bind `xref-show-location-at-point' to `C-o'.
2769 2015-01-01 Eli Zaretskii <eliz@gnu.org>
2771 * tool-bar.el (tool-bar-local-item)
2772 (tool-bar-local-item-from-menu): Call force-mode-line-update to
2773 make sure the tool-bar changes show on display.
2775 2015-01-01 Michael Albinus <michael.albinus@gmx.de>
2777 Sync with Tramp 2.2.11.
2779 * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
2780 Make an alias for `default-toplevel-value' if it doesn't exist.
2782 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
2783 Use `tramp-compat-delete-directory'.
2785 * net/trampver.el: Update release number.
2787 2015-01-01 Filipp Gunbin <fgunbin@fastmail.fm>
2789 * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
2790 for remote files. (Bug#19449)
2792 2015-01-01 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
2794 * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
2796 2014-12-31 Paul Eggert <eggert@cs.ucla.edu>
2798 Less 'make' chatter in lisp directory
2799 * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
2800 (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
2801 (custom-deps, finder-data, autoloads, update-subdirs): Use them.
2803 2014-12-31 Filipp Gunbin <fgunbin@fastmail.fm>
2805 * info.el (info-display-manual): Limit the completion alternatives
2806 to currently visited manuals if prefix argument is non-nil.
2808 2014-12-30 Paul Eggert <eggert@cs.ucla.edu>
2810 * Makefile.in (semantic): Simplify.
2812 2014-12-30 Juri Linkov <juri@linkov.net>
2814 * net/eww.el (eww-isearch-next-buffer): New function.
2815 (eww-mode): Set multi-isearch-next-buffer-function to it.
2817 2014-12-30 Dmitry Gutov <dgutov@yandex.ru>
2819 * progmodes/xref.el (xref-find-definitions): Mention "no
2820 identifier at point" case in the docstring.
2822 * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
2823 (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
2824 and `separator-tag-file' items.
2826 2014-12-29 Paul Eggert <eggert@cs.ucla.edu>
2828 * obsolete/pc-select.el (pc-selection-mode): Use system-type.
2829 This is instead of system-name, which is both wrong here and obsolete.
2830 * desktop.el (desktop-save-frameset):
2831 * dnd.el (dnd-get-local-file-uri):
2832 * nxml/rng-uri.el (rng-uri-file-name-1):
2833 Prefer (system-name) to system-name, and avoid naming
2834 locals 'system-name'.
2835 * startup.el (system-name): Now an obsolete variable. (Bug#19438)
2837 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2839 * menu-bar.el (menu-bar-next-tag-other-window)
2840 (menu-bar-next-tag): Remove.
2842 2014-12-29 K. Handa <handa@gnu.org>
2844 * international/mule.el (make-translation-table-from-alist):
2845 Accept nil or zero-length vector for FROM and TO.
2847 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
2849 * net/eww.el (eww-mode): Truncate overlong lines for prettier
2850 display when resizing.
2852 * net/shr.el (shr-width): Default to using the window width when
2855 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2857 Unbreak jumping to an alias's definition.
2858 * emacs-lisp/find-func.el (find-function-library): Return a pair
2859 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
2860 (find-function-noselect): Use it.
2861 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
2862 `elisp--xref-identifier-location', incorporate logic from
2863 `elisp--xref-find-definitions', use the changed
2864 `find-function-library' return value.
2866 2014-12-29 Juri Linkov <juri@linkov.net>
2868 * comint.el (comint-history-isearch-message): Use field-beginning
2869 instead of comint-line-beginning-position - that's more fixes for
2870 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
2871 (comint-history-isearch-message): Fix args of isearch-message-prefix.
2873 2014-12-29 Juri Linkov <juri@linkov.net>
2875 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
2876 (vc-dir-mode-map): Bind it to "\C-o".
2877 (vc-dir-menu-map): Add it to menu.
2879 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2881 * progmodes/etags.el (find-tag-other-window)
2882 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
2883 (tags-apropos): Declare obsolete.
2885 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
2888 2014-12-28 Eli Zaretskii <eliz@gnu.org>
2890 * international/mule.el (define-coding-system): Fix typos in the
2893 2014-12-28 Kenichi Handa <handa@gnu.org>
2895 * international/mule.el (define-coding-system): Improve the doc
2898 2014-12-28 Ivan Shmakov <ivan@siamics.net>
2900 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
2901 elements in tables (bug#19444).
2903 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
2906 2014-12-28 Juri Linkov <juri@linkov.net>
2908 * vc/compare-w.el: Require diff-mode for diff faces.
2909 (compare-windows-removed, compare-windows-added): New faces
2910 inheriting from diff faces.
2911 (compare-windows): Define obsolete face alias.
2912 (compare-windows-highlight): Replace face `compare-windows' with
2913 new faces `compare-windows-added' and `compare-windows-removed'
2915 (compare-windows-get-recent-window): Signal an error when
2916 no other window is found (bug#19170).
2918 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2920 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
2921 Skip features that have no sources.
2923 * simple.el (execute-extended-command):
2924 When `suggest-key-bindings' is nil, don't.
2926 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2928 python.el: Native readline completion.
2929 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
2930 (python-shell-completion-native-enable)
2931 (python-shell-completion-native-output-timeout): New defcustoms.
2932 (python-shell-completion-native-interpreter-disabled-p)
2933 (python-shell-completion-native-try)
2934 (python-shell-completion-native-setup)
2935 (python-shell-completion-native-turn-off)
2936 (python-shell-completion-native-turn-on)
2937 (python-shell-completion-native-turn-on-maybe)
2938 (python-shell-completion-native-turn-on-maybe-with-msg)
2939 (python-shell-completion-native-toggle): New functions.
2940 (python-shell-completion-native-get-completions): New function.
2941 (python-shell-completion-at-point): Use it.
2943 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2945 python.el: Enhance shell user interaction and deprecate
2946 python-shell-get-or-create-process.
2947 * progmodes/python.el (python-shell-get-process-or-error):
2949 (python-shell-with-shell-buffer): Use it.
2950 (python-shell-send-string, python-shell-send-region)
2951 (python-shell-send-buffer, python-shell-send-defun)
2952 (python-shell-send-file, python-shell-switch-to-shell): Use it.
2953 Add argument MSG to display user-friendly message when no process
2955 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
2956 (python-shell-make-comint): Rename argument SHOW from POP.
2957 Use display-buffer instead of pop-to-buffer.
2958 (run-python): Doc fix. Return process.
2959 (python-shell-get-or-create-process): Make obsolete.
2961 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2963 * progmodes/python.el (python-shell-buffer-substring):
2964 Handle cornercase when region sent starts at point-min.
2966 2014-12-27 Eli Zaretskii <eliz@gnu.org>
2968 * language/misc-lang.el (composition-function-table): Add Syriac
2969 characters and also ZWJ/ZWNJ.
2970 See http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
2973 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2975 python.el: Fix message when sending region.
2976 * progmodes/python.el (python-shell-send-region): Rename argument
2977 send-main from nomain. Fix message.
2978 (python-shell-send-buffer): Rename argument send-main from arg.
2980 python.el: Cleanup temp files even with eval errors.
2981 * progmodes/python.el (python-shell-send-file): Make file-name
2982 mandatory. Fix temp file removal in the majority of cases.
2984 python.el: Handle file encoding for shell.
2985 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
2986 (python-shell--save-temp-file): Write file with proper encoding.
2987 (python-shell-buffer-substring): Add coding cookie for detected
2988 encoding to generated content. Fix blank lines when removing
2990 (python-shell-send-file): Handle file encoding.
2991 (python-info-encoding-from-cookie)
2992 (python-info-encoding): New functions.
2994 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2996 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
2997 Use `tramp-rsh-end-of-line', it ought to be more robust.
2999 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3001 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
3004 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3006 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
3008 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
3009 as end-of-line delimeter for passwords, when running on MS Windows.
3011 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3013 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
3014 of indent-line-function (bug#19433).
3016 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3018 Fix line numbers on Python shell.
3019 * progmodes/python.el (python-shell--save-temp-file): Do not
3020 append coding cookie.
3021 (python-shell-send-string): Generalize for
3022 python-shell-send-region.
3023 (python--use-fake-loc): Delete var.
3024 (python-shell-buffer-substring): Cleanup fake-loc logic.
3025 (python-shell-send-region): Remove fake-loc logic, simplify.
3027 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3029 * progmodes/python.el (python-indent-post-self-insert-function):
3030 Make colon to re-indent only for dedenters, handling
3031 multiline-statements gracefully.
3033 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3035 * net/tramp.el (tramp-handle-insert-file-contents):
3036 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
3038 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3040 * net/tramp-sh.el (tramp-send-command-and-read): New optional
3042 (tramp-get-remote-path): Use it.
3044 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3046 * subr.el (redisplay-dont-pause): Mark as obsolete.
3048 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3050 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
3051 (tramp-accept-process-output): Use nil as argument for
3052 `accept-process-output', when there is a gateway prepended.
3054 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
3056 (tramp-gw-open-connection): Set process coding system 'binary.
3057 (tramp-gw-open-network-stream): Handle HTTP error 403.
3059 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
3061 (tramp-maybe-open-connection): Set connection property "gateway".
3063 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3065 * subr.el (sit-for): Tweak docstring (bug#19381).
3067 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
3069 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
3070 stage to after `diff-index' (bug#19386).
3072 2014-12-27 João Távora <joaotavora@gmail.com>
3074 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
3075 `electric-pair-mode' (bug#19356).
3077 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
3079 elisp-xref-find: Don't create buffers eagerly.
3081 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
3082 into `elisp--company-location'.
3083 (elisp--identifier-completion-table): Rename to
3084 `elisp--identifier-completion-table', and do not include just any
3085 symbols with a property list.
3086 (elisp-completion-at-point): Revert the 2014-12-25 change.
3087 (elisp--xref-identifier-file): New function.
3088 (elisp--xref-find-definitions): Use it.
3090 * emacs-lisp/find-func.el (find-function-library): New function,
3091 extracted from `find-function-noselect'.
3093 * progmodes/xref.el (xref-elisp-location): New class.
3094 (xref-make-elisp-location): New function.
3095 (xref-location-marker): New implementation.
3097 2014-12-27 Juri Linkov <juri@linkov.net>
3099 * minibuffer.el (minibuffer-completion-help):
3100 Use shrink-window-if-larger-than-buffer in window-height
3101 when temp-buffer-resize-mode is nil.
3103 * window.el (with-displayed-buffer-window): Remove window-height
3104 from the action alist in the temp-buffer-window-show call
3105 when window-height is handled explicitly afterwards (bug#19355).
3107 2014-12-27 Juri Linkov <juri@linkov.net>
3109 Support subdirectories when saving places in dired.
3110 * saveplace.el (toggle-save-place, save-place-to-alist)
3111 (save-places-to-alist, save-place-dired-hook):
3112 Use dired-current-directory instead of dired-directory (bug#19436).
3113 (save-place-dired-hook): Add check for alist to make the new
3114 format future-proof to allow other possible formats.
3116 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
3118 python.el: Generate clearer shell buffer names.
3119 * progmodes/python.el (python-shell-get-process-name)
3120 (python-shell-internal-get-process-name): Use `buffer-name`.
3121 (python-shell-internal-get-or-create-process): Simplify.
3123 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
3125 Add basic xref apropos implementation to elisp-mode.
3127 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
3128 Filter out nil results.
3129 (elisp--xref-find-apropos): New function.
3130 (elisp-xref-find): Use it.
3132 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
3134 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
3136 * dired-aux.el (dired-maybe-insert-subdir):
3137 Make dired-maybe-insert-subdir always skip trivial files.
3139 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
3140 Dmitry Gutov <dgutov@yandex.ru>
3142 Consolidate cross-referencing commands.
3144 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
3145 `C-x 5 .' from etags.el to xref.el.
3147 * progmodes/xref.el: New file.
3149 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
3150 (elisp--identifier-location): New function, extracted from
3151 `elisp--company-location'.
3152 (elisp--company-location): Use it.
3153 (elisp--identifier-completion-table): New variable.
3154 (elisp-completion-at-point): Use it.
3155 (emacs-lisp-mode): Set the local values of `xref-find-function'
3156 and `xref-identifier-completion-table-function'.
3157 (elisp-xref-find, elisp--xref-find-definitions)
3158 (elisp--xref-identifier-completion-table): New functions.
3160 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
3161 favor of `xref--marker-ring'.
3162 (tags-lazy-completion-table): Autoload.
3163 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
3164 (find-tag-noselect): Use `xref-push-marker-stack'.
3165 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
3166 (etags--xref-limit): New constant.
3167 (etags-xref-find, etags--xref-find-definitions): New functions.
3169 2014-12-25 Martin Rudalics <rudalics@gmx.at>
3171 * cus-start.el (resize-mini-windows): Make it customizable.
3173 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
3175 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
3176 to (info "(emacs)Contributing"). (Bug#19299)
3178 2014-12-24 Martin Rudalics <rudalics@gmx.at>
3180 * window.el (mouse-autoselect-window-position-1): New variable.
3181 (mouse-autoselect-window-cancel)
3182 (mouse-autoselect-window-select, handle-select-window):
3183 With delayed autoselection select window only if mouse moves after
3184 selecting its frame.
3186 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
3188 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
3189 remote file names. (Bug#18782)
3191 2014-12-23 Sam Steingold <sds@gnu.org>
3193 * shell.el (shell-display-buffer-actions): New user option.
3194 (shell): Pass it to `pop-to-buffer' instead of hard-coding
3195 `pop-to-buffer-same-window'.
3197 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
3199 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
3200 (js-syntax-propertize-regexp): Use it to recognize "slash in
3201 a character class" (bug#19397).
3203 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
3205 * completion.el: Use post-self-insert-hook (bug#19400).
3206 (completion-separator-self-insert-command)
3207 (completion-separator-self-insert-autofilling): Remove.
3208 (completion-separator-chars): New var.
3209 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
3210 of changing the keymap.
3211 (completion--post-self-insert): New function.
3212 (dynamic-completion-mode): Use it instead of rebinding keys.
3213 (cmpl--completion-string): Rename from completion-string.
3214 (add-completion-to-head, delete-completion): Let-bind it explicitly.
3216 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
3218 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
3219 by leveraging `syntax-ppss'.
3221 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
3223 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
3225 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
3227 * net/tramp-sh.el (tramp-histfile-override): Add :version.
3229 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
3231 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
3233 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
3235 * let-alist.el (let-alist): Enable access to deeper alists by
3236 using dots inside the dotted symbols.
3238 2014-12-19 Alan Mackenzie <acm@muc.de>
3240 Make C++11 uniform init syntax work.
3241 New keywords "final" and "override".
3242 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
3244 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
3246 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
3247 carefully for "are we at a declarator?" using
3248 c-back-over-member-initializers.
3249 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
3250 and "override" in the C++ value.
3252 2014-12-19 Martin Rudalics <rudalics@gmx.at>
3254 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
3256 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
3258 * net/nsm.el (nsm-save-host): Don't save the host name twice
3261 2014-12-18 Sam Steingold <sds@gnu.org>
3263 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
3264 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
3265 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
3266 * menu-bar.el (menu-bar-buffer-vector): Extract from
3267 `menu-bar-update-buffers'.
3268 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
3269 (buffer-menu-open): New user command, bound globally to C-f10,
3270 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
3271 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
3272 convert the value returned by `mouse-buffer-menu-map' to a list
3273 acceptable to `popup-menu' for `buffer-menu-open'.
3275 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
3277 * let-alist.el (let-alist): Evaluate the `alist' argument only once.
3279 2014-12-18 Sam Steingold <sds@gnu.org>
3281 * emacs-lisp/package.el: Avoid compilation warning by declaring
3282 the `find-library-name' function.
3283 (package-activate-1): Fix the `with-demoted-errors' calls:
3284 the first argument must be a string literal.
3286 2014-12-18 Martin Rudalics <rudalics@gmx.at>
3288 Add code for "preserving" window sizes.
3289 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
3291 (dired-mark-pop-up): Preserve size of window showing marked files.
3292 * electric.el (Electric-pop-up-window):
3293 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
3294 with `preserve-size' t.
3295 * minibuffer.el (minibuffer-completion-help):
3296 Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
3297 (Bug#19355). Preserve size of completions window.
3298 * register.el (register-preview): Preserve size of register
3300 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
3301 with `preserve-size' t (Bug#1291).
3302 * window.el (with-displayed-buffer-window): Add calls to
3303 `window-preserve-size'.
3304 (window-min-pixel-size, window--preservable-size)
3305 (window-preserve-size, window-preserved-size)
3306 (window--preserve-size, window--min-size-ignore-p): New functions.
3307 (window-min-size, window-min-delta, window--resizable)
3308 (window--resize-this-window, split-window-below)
3309 (split-window-right): Amend doc-string.
3310 (window--min-size-1, window-sizable, window--size-fixed-1)
3311 (window-size-fixed-p, window--min-delta-1)
3312 (frame-windows-min-size, window--max-delta-1, window-resize)
3313 (window--resize-child-windows, window--resize-siblings)
3314 (enlarge-window, shrink-window, split-window): Handle preserving
3316 (adjust-window-trailing-edge): Handle preserving window
3317 sizes. Signal user-error instead of an error when there's no
3318 window above or below.
3319 (window--state-put-2): Handle horizontal scroll bars.
3320 (window--display-buffer): Call `preserve-size' if asked for.
3321 (display-buffer): Mention `preserve-size' alist member in doc-string.
3322 (fit-window-to-buffer): New argument PRESERVE-SIZE.
3323 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
3324 scroll bar on ispell's windows. Don't count window lines and
3325 don't deal with dedicated windows.
3326 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
3327 do the window handling.
3328 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
3329 (ispell-display-buffer): New function to reuse, create and fit
3330 window to ispell's buffers. (Bug#3413)
3332 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
3334 * emacs-lisp/package.el (package-activate): Do not re-activate or
3335 reload the dependencies (bug#19390).
3337 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
3339 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
3340 (c-update-modeline):
3341 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
3342 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
3343 (c-mode-base-map): Use c-subword-mode.
3345 2014-12-18 Eli Zaretskii <eliz@gnu.org>
3347 * international/mule-diag.el (describe-font-internal):
3348 Display additional info returned by font-info.
3350 * linum.el (linum--face-width): Rename from linum--face-height,
3351 and use the new functionality of font-info.
3352 (linum-update-window): Use linum--face-width and frame-char-width,
3353 instead of approximating with height.
3355 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
3357 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
3358 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
3360 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
3362 (package-activate): Call itself on dependencies on PACKAGE with
3363 the same FORCE argument. Pass FORCE as RELOAD into
3364 `package-activate-1' (bug#19390).
3366 2014-12-17 Sam Steingold <sds@gnu.org>
3368 * emacs-lisp/package.el (package--list-loaded-files):
3369 Handle `(nil ...)' elements in `load-history'.
3371 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
3373 * net/tramp-sh.el (tramp-histfile-override): New variable.
3374 (tramp-open-shell, tramp-maybe-open-connection): Use it.
3376 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
3378 * vc/vc.el: Improve `dir-status-files' description.
3380 * emacs-lisp/package.el (package--list-loaded-files): Don't call
3381 file-truename on load-history elements (bug#19390).
3383 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
3385 * emacs-lisp/seq.el: New file.
3387 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
3389 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
3390 is 0 and there is no input pending.
3392 2014-12-15 Juri Linkov <juri@linkov.net>
3394 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
3395 only on the return value (bug#19383).
3397 2014-12-15 Juri Linkov <juri@linkov.net>
3399 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
3400 the wrapped search by the length of the search string to be able
3401 to lazy-highlight the whole search string at point (bug#19353).
3403 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
3405 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
3407 2014-12-14 Alan Mackenzie <acm@muc.de>
3409 * cus-start.el (all): Add fast-but-imprecise-scrolling.
3411 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
3413 * let-alist.el: Add lexical binding.
3415 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
3417 * emacs-lisp/package.el (package-menu-mode): Use an extra column
3418 for the "Version" column, to accomodate date-and-time-based versions.
3420 2014-12-14 Cameron Desautels <camdez@gmail.com>
3422 * cus-edit.el (custom-unsaved-options): New function, extracted
3423 from `customize-unsaved'.
3424 (custom-unsaved): Use it.
3425 (custom-prompt-customize-unsaved-options): New function.
3428 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
3430 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
3432 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
3434 Move ASYNC argument to the `diff' VC command to the fifth
3435 position, for better compatibility with existing third-party code,
3438 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
3439 backend `diff' command in the last position.
3441 * vc/vc-svn.el (vc-svn-diff):
3442 * vc/vc-src.el (vc-src-diff):
3443 * vc/vc-sccs.el (vc-sccs-diff):
3444 * vc/vc-rcs.el (vc-rcs-diff):
3445 * vc/vc-mtn.el (vc-mtn-diff):
3446 * vc/vc-hg.el (vc-hg-diff):
3447 * vc/vc-git.el (vc-git-diff):
3448 * vc/vc-dav.el (vc-dav-diff):
3449 * vc/vc-cvs.el (vc-cvs-diff):
3450 * vc/vc-bzr.el (vc-bzr-diff):
3451 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
3453 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
3455 * emacs-lisp/cconv.el (cconv--analyze-use):
3456 Rename from cconv--analyse-use.
3457 (cconv--analyze-function): Rename from cconv--analyse-function.
3458 (cconv-analyze-form): Rename from cconv-analyse-form.
3460 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
3462 * net/shr.el (shr-next-link): Don't error out at eob.
3464 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3466 * isearch.el (isearch-open-necessary-overlays): Open overlay
3467 ending at point (bug#19333).
3469 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
3471 * net/shr.el (shr-fold-text): New function.
3472 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
3474 (shr-fold-text): Inhibit state from being altered.
3476 * files.el (directory-files-recursively): Really check whether
3478 (directory-name-p): New function.
3479 (directory-files-recursively): Use it.
3481 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
3483 * emacs-lisp/package.el (package--list-loaded-files): New function
3484 to list files in a given directory which correspond to already
3486 (package-activate-1): Reload files given by `package--list-loaded-files'.
3487 Fix bug#10125, bug#18443, and bug#18448.
3489 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
3491 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
3493 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
3495 * simple.el (password-word-equivalents): Add "passcode", used for
3496 numeric secrets like PINs or RSA tokens.
3498 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
3500 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
3501 order to determine `tramp-own-remote-path'.
3503 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
3505 * progmodes/python.el (python-shell-parse-command):
3506 Quote `python-shell-interpreter`. (Bug#19289)
3508 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
3510 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
3511 (python-indent-levels): Document extra value.
3512 (python-indent-calculate-indentation): Return `noindent' in strings.
3513 (python-indent-post-self-insert-function)
3514 (python-indent-calculate-levels): Handle new value.
3516 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
3518 * net/network-stream.el (network-stream-open-starttls): No need to
3519 check for the availability of `gnutls-available-p'.
3521 * files.el (directory-files-recursively): Don't follow symlinks to
3524 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
3526 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
3527 * vc/vc.el: latest-on-branch-p is no longer a public method.
3529 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
3530 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
3531 Remove `rollback' method, to be replaced in the future by uncommit.
3533 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
3535 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
3536 that there is empty output.
3538 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
3540 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
3541 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
3543 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
3545 * let-alist.el: Add new package and macro.
3547 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
3549 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
3550 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
3551 it's a shoot-self-in-foot archaism. Workfiles are always kept.
3553 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
3555 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
3556 trouble with ls over ftp. These flags result in ls returning no
3557 output, causing Tramp-breakage. (bug#19192)
3559 2014-12-10 Andreas Schwab <schwab@suse.de>
3561 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
3563 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
3565 * files.el (directory-files-recursively):
3566 Use `file-name-all-completions' instead of `directory-files' for
3569 * net/shr.el (shr-tag-object): Don't bug out on text elements in
3572 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
3574 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
3576 (ruby-toggle-string-quotes): New command that allows you to quickly
3577 toggle between single-quoted and double-quoted string literals.
3579 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
3581 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
3582 list, avoids problems witt names containing hyphens.
3584 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
3586 Sync with upstream verilog-mode revision aa4b777.
3587 * progmodes/verilog-mode.el (verilog-mode-version): Update.
3588 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
3589 (verilog-set-auto-endcomments): Automatically comment property/
3590 endproperty blocks to match other similar blocks like sequence/
3591 endsequence, function/endfunction, etc. Reported by Alex Reed.
3592 (verilog-set-auto-endcomments): Fix end comments for functions of
3593 type void, etc. Detect the function- or task-name when
3594 auto-commenting blocks that lack an explicit portlist.
3595 Reported by Alex Reed.
3596 (verilog-nameable-item-re): Fix nameable items that can have an
3597 end-identifier to include endchecker, endgroup, endprogram,
3598 endproperty, and endsequence. Reported by Alex Reed.
3599 (verilog-preprocessor-re, verilog-beg-of-statement):
3600 Fix indentation of property/endproperty around pre-processor
3601 directives. Reported by Alex Reed.
3602 (verilog-label-be): When auto-commenting a buffer, consider
3603 auto-comments on all known keywords (not just a subset thereof).
3604 Reported by Alex Reed.
3605 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
3606 Reported by Alex Reed.
3607 (verilog-beg-of-statement-1, verilog-at-constraint-p):
3608 Fix hanging with many curly-bracket pairs, bug663.
3609 (verilog-do-indent): Fix electric tab deleting form-feeds.
3610 Note caused by indent-line-to deleting tabls pre 24.5.
3611 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
3612 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
3613 (verilog-read-always-signals, verilog-auto-sense-sigs)
3614 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
3615 bug844. Reported by Greg Hilton.
3617 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
3619 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
3620 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
3621 'foreach', and 'do' keywords.
3622 (verilog-endcomment-reason-re, verilog-beg-of-statement):
3623 Fix labeling do-while blocks, bug842.
3624 (verilog-backward-token): Fix indenting sensitivity lists with
3625 named events, bug840.
3627 2014-12-09 Reto Zimmermann <reto@gnu.org>
3629 Sync with upstream vhdl mode v3.36.1.
3630 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
3631 (vhdl-compiler-alist): Anchor all error regexps.
3632 (vhdl-compile-use-local-error-regexp): Change default to nil.
3633 (vhdl-asort, vhdl-anot-head-p): Remove.
3634 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
3635 Remove optional argument of vhdl-aget and update all callers.
3636 (vhdl-import-project): Also set `vhdl-compiler'.
3638 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
3640 * files.el (find-files): New function.
3642 * net/shr.el (shr-dom-print): Don't print comments.
3643 (shr-tag-svg): Give inline SVG images the right type.
3645 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
3646 certificates in the header line.
3647 (eww-invalid-certificate, eww-valid-certificate): New faces.
3649 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
3651 * progmodes/python.el (inferior-python-mode):
3652 Set `comint-prompt-read-only` to `t` only locally.
3654 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3656 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
3657 (nsm-format-certificate): Include more data about the connection.
3658 (nsm-query): Fill the text to that it looks nicer.
3659 (nsm-check-protocol): Also warn if using SSL3 or older.
3661 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
3663 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
3665 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
3667 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
3669 * info.el (Info-mode-map): Remove left-over binding.
3671 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
3672 (avl-tree--root): Remove redundant defsetf.
3674 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3676 * net/nsm.el (network-security-level): Remove the detailed
3677 description, which was already outdated, and refer the users to
3679 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
3682 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
3684 * net/eww.el (eww-buffers-mode): New major mode.
3685 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
3686 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
3687 New commands/functions (bug#19131).
3689 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3691 * net/gnutls.el (gnutls-negotiate): Ignore files found via
3692 'file-name-handler-alist' since the gnutls library can't use those
3695 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
3697 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
3698 when FILES is non-nil (bug#19304).
3700 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
3702 * vc/vc-arch.el: Move to obsolete directory so a test framework
3703 won't trip over bit-rot in it. There has been no Arch snapshot
3706 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3708 * net/eww.el (eww-follow-link): Revert prefix behaviour to
3710 (eww-copy-page-url): Add doc string.
3712 2014-12-07 Ivan Shmakov <ivan@siamics.net>
3714 * net/eww.el (eww): Move history recording here...
3715 (eww-browse-url): ... from here (bug#19253).
3717 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
3718 iterating over possible buffer names.)
3720 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3722 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
3723 (eww-current-buffer): Compilation fix for bug#18550 patch.
3725 2014-12-07 Ivan Shmakov <ivan@siamics.net>
3727 * net/eww.el (eww-list-histories): Restore the history in the
3728 correct buffer (bug#18550).
3730 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3732 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
3733 displaying shortened titles first (bug#16398).
3735 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
3737 * progmodes/python.el: Recognize docstrings.
3738 (python-docstring-at-p, python-font-lock-syntactic-face-function):
3740 (python-mode): Use them.
3742 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
3744 * net/newst-treeview.el (newsticker--treeview-list-add-item)
3745 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
3746 (newsticker--treeview-create-groups-menu)
3747 (newsticker--treeview-create-tree-menu): Remove.
3748 (newsticker--treeview-tree-open-menu): New.
3749 (newsticker-treeview-tree-click): Pass event to
3750 `newsticker-treeview-tree-do-click'.
3751 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
3753 2014-12-05 Juri Linkov <juri@linkov.net>
3755 * comint.el (comint-history-isearch-search)
3756 (comint-history-isearch-wrap): Use field-beginning instead of
3757 comint-line-beginning-position.
3758 (comint-send-input): Go to the end of the field instead of the end
3759 of the line to accept whole multi-line input.
3760 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
3762 2014-12-05 Juri Linkov <juri@linkov.net>
3764 * minibuffer.el (minibuffer-completion-help):
3765 Compare selected-window with minibuffer-window to check whether
3766 completions should be displayed near the minibuffer. (Bug#17809)
3767 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
3769 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
3771 * vc/vc-mtn.el (vc-mtn-root):
3772 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
3774 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3776 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
3777 of the whole pipe when indenting an opening keyword after a |.
3778 Generalize this treatment to opening keywords like "while" (bug#18031).
3780 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3782 * simple.el (newline): Place the hook buffer-locally,
3783 to make sure it's first.
3785 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
3786 Fix handling of symbols with different syntax at beginning/end or with
3787 symbol rather than word syntax.
3789 2014-12-05 Eli Zaretskii <eliz@gnu.org>
3791 * simple.el (line-move): If noninteractive, call line-move-1, not
3792 forward-line, since the former is compatible with line-move-visual
3793 both in terms of the column to which it moves and the return
3796 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3798 * vc/ediff-init.el (ediff-odd-p): Remove.
3799 (ediff-background-face): Use cl-oddp instead.
3800 (ediff-buffer-live-p): Make it a defsubst.
3802 * tooltip.el (tooltip-region-active-p): Remove.
3804 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
3805 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
3807 * fringe.el (fringe-bitmap-p): Make it a plain function.
3809 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
3810 (class-p, generic-p, eieio-object-p, class-abstract-p):
3811 Make them defsubst, so as to avoid corner case problems where
3812 the arg might be evaluated in the condition-case, or it can't be passed
3813 to higher-order functions like `cl-some'.
3815 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3817 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
3818 and remove old menu-related code.
3820 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
3822 * net/eww.el (eww-display-pdf): Let mailcap determine how to
3823 display PDF files (bug#19270).
3825 2014-12-05 Juri Linkov <juri@linkov.net>
3827 Compare with the most recent window by default.
3828 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
3829 (compare-windows-get-recent-window)
3830 (compare-windows-get-next-window): New functions.
3831 (compare-windows, compare-windows-sync-default-function):
3832 Use `compare-windows-get-window-function' instead of `next-window'.
3833 (compare-windows): Add diff/match messages with region boundaries.
3836 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
3838 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
3840 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
3842 * net/shr.el (shr--extract-best-source): Ignore non-text children.
3844 2014-12-04 Eli Zaretskii <eliz@gnu.org>
3846 Implement copying of a buffer portion while preserving visual order.
3847 * simple.el (bidi-directional-controls-chars)
3848 (bidi-directional-non-controls-chars): New variables.
3849 (squeeze-bidi-context-1, squeeze-bidi-context)
3850 (line-substring-with-bidi-context)
3851 (buffer-substring-with-bidi-context): New functions.
3853 * files.el (file-tree-walk): Doc fix.
3855 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
3856 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3858 * autoinsert.el (auto-insert-alist): Update C/C++ header and
3859 program support to match more extensions. Replace non-alnum
3860 characters when generating include guards (headers) and check for
3861 more extensions when generating includes (programs)
3864 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
3866 * files.el (file-tree-walk): Fix docstring.
3868 2014-12-03 Karl Fogel <kfogel@red-bean.com>
3870 Fix bug whereby saving files hung in VC hook.
3872 Saving a buffer visiting a file under SVN control would hang if
3873 the remote repository were unreachable, because the VC hooks tried
3874 to run "svn status -u" on the file, where the "-u" tells svn to
3875 get update information from the remote repository.
3876 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
3878 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
3879 argument and always pass "-v" to "svn status", never "-u".
3881 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
3883 * emacs-lisp/inline.el: Fix up copyright header.
3884 (inline-quote, inline-const-p, inline-const-val, inline-error):
3885 Silence compiler warnings.
3886 (inline-letevals): Fix edebug spec.
3887 (inline--testconst-p): Consider lambda expressions as const-p.
3888 (inline--getconst-val): Use inline--testconst-p.
3890 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
3891 and change default to stay in the minibuffer when called from
3892 the minibuffer (bug#19250).
3893 (lazy-completion-table): Use this new argument to preserve the
3896 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
3897 incorrect lexical elements (bug#19250).
3899 2014-12-03 A. N. Other <none@example.com>
3901 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
3903 2014-12-02 Glenn Morris <rgm@gnu.org>
3905 * whitespace.el (whitespace-big-indent-regexp): Add :version.
3907 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
3909 * subr.el (filter): New macro. Because it's just silly for a Lisp
3910 not to have this in 2014. And VC needs it.
3912 * vc.el: All backends: API simplification: Abolish dir-status.
3913 It's replaced by dir-status-files.
3915 * vc.el: All backends: API simplification: Remove 4th
3916 'default-state' argument from vc-dir-status files and its backend
3917 methods - no backend method ever set it. It was used only in the
3918 fallback method to to set a default of 'up-to-date, though a
3919 convoluted call chain obscured this.
3921 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
3923 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
3924 improving behavior on directories using multiple file-oriented VCSes.
3926 * vc/vc.el: All backends: API simplification; clear-headers
3927 is no longer a public method. It is now local to the one place
3928 it's used, in the RCS steal-lock method.
3930 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3932 * vc/vc.el: In all backends: API simplification; could-register
3933 is no longer a public method. (vc-cvs.el still has a private
3936 * vc/vc.el: In all backends: API cleanup; the backend diff method
3937 takes an explicit async flag. This eliminates a particularly ugly
3940 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
3941 VC randomly/unpredictably fails without it; cause not yet established.
3943 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3945 Merge some of the differences from the standalone CC-mode.
3946 The main change is to only use the `category' text-property only when
3947 available. For that many calls are changed to use c-get-char-property,
3948 c-next-single-property-change, c-sc-scan-lists,
3949 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
3951 * progmodes/cc-mode.el (c-just-done-before-change): New var.
3952 (c-basic-common-init): Initialize it.
3953 (c-common-init): Only use mode-require-final-newline when available.
3954 (c-before-change): Check and set c-just-done-before-change.
3955 (c-after-change): Re-set c-just-done-before-change.
3956 (c-advise-fl-for-region): New macro.
3957 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
3958 (font-lock-after-change-function, jit-lock-after-change):
3961 * progmodes/cc-langs.el (c-modified-constant): New lang var.
3962 (c-known-type-key): Don't make a list just to throw it away.
3964 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
3965 Handle the case where categories are not available.
3966 (c-record-parse-state-state, c-replay-parse-state-state):
3967 Handle marker values.
3968 (c-before-change-check-<>-operators): Look for the `syntax-table'
3969 property rather than for the corresponding `category'.
3970 (c-looking-at-decl-block): Remove unused var
3971 `c-disallow-comma-in-<>-arglists'.
3972 (c-forward-<>-arglist-recur): Remove unused var
3973 `orig-record-found-types'.
3975 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
3976 (c-use-category): New const.
3977 (c-next-single-property-change): New macro.
3978 (c-region-is-active-p): Prefer region-active-p when available.
3979 (c-search-backward-char-property): Fix old min/max typo; probably
3981 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
3982 Turn them into macros that obey c-use-category.
3983 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
3984 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
3985 (c-sc-scan-lists, c-sc-parse-partial-sexp)
3986 (c-looking-at-non-alphnumspace): New macros.
3987 (c-sc-parse-partial-sexp-no-category): New function.
3988 (c-emacs-features): Add `category-properties' element.
3990 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
3991 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
3993 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
3994 (c-indent-line-or-region): Use c-region-is-active-p.
3996 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
3997 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
3998 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
3999 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
4000 (cc-bytecomp-obsolete-fun): Delete unused functions.
4002 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
4004 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
4006 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
4009 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
4011 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
4012 current "project" rather than just the current directory.
4013 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
4014 the file names make sense.
4016 * vc/smerge-mode.el (smerge-swap): New command.
4018 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
4020 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
4022 * net/newst-treeview.el (newsticker--treeview-item-show):
4023 Check window liveliness before measuring its width.
4025 * net/newst-backend.el (newsticker--get-news-by-url-callback):
4026 Pass correct status to `newsticker--sentinel-work'.
4027 (newsticker--sentinel-work): Use "newsticker--download-error" as
4028 guid in order to prevent multiple "Could not download..."
4029 messages. (Bug#19166)
4031 2014-12-01 Ivan Shmakov <ivan@siamics.net>
4033 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
4034 correct buffer (bug#19225).
4036 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
4038 * net/nsm.el (network-security-level): Change the default to `medium'.
4040 * net/eww.el (eww): Leave point in a place that doesn't cause
4041 scrolling when displaying "Loading...".
4043 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
4045 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
4046 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
4047 'merge-branch'. Prompting for merge revisions is pushed down to
4048 the back ends; this fixes a layering violation that caused bad
4051 * vc/vc.el, vc-hooks.el: All backends: API simplification;
4052 vc-stay-local-p and repository-hostname are no longer public
4053 methods. Only the CVS and SVN backends used these, and the SVN
4054 support was conditioned out because svn status -v is too slow.
4055 The CVS back end retains this machinery and the vc-stay-local
4056 configuration variable now only affects it.
4058 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
4060 * emacs-lisp/inline.el: New file.
4062 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
4064 * vc/vc.el, vc-hooks.el: All backends: API simplification;
4065 vc-state-heuristic is no longer a public method, having been
4066 removed where it is redundant, unnecessary, or known buggy.
4067 This eliminated all backends except CVS. Eliminates bug#7850.
4069 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
4070 Eliminate vc-mistrust-permissions. It was only relevant to the
4071 RCS and SCCS back ends and defaulted to t. Code now always
4072 mistrusts permissions - by actual measurement the effect on
4073 performance is negligible. As a side effect bug#11490 is now
4076 * vc/vc.el, vc-hooks.el: All backends: API simplification;
4077 vc-workfile-unchanged-p is no longer a public method (but the RCS
4078 and SCCS back ends retain it as a private method used in state
4079 computation). This method was redundant with vc-state and usually
4080 implemented as a trivial call to same. Fixes the failure mode
4081 described in bug#694.
4083 * vc/vc.el: All backends: API simplification; init-revision is
4084 gone, and vc-registered functions no longer take an
4085 initial-revision argument.
4087 2014-11-29 Glenn Morris <rgm@gnu.org>
4089 * vc/vc-src.el (vc-src, vc-src-diff-switches)
4090 (vc-src-master-templates): Fix :version tags.
4092 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
4094 * outline.el (outline-move-subtree-down): Refactor and improve code.
4096 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
4097 Stefan Monnier <monnier@iro.umontreal.ca>
4099 * outline.el (outline-move-subtree-down): Make sure we can move
4100 forward to find the end of the subtree and the insertion point
4103 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
4105 * progmodes/python.el (python-shell-completion-setup-code):
4106 Use __builtin__ module (or builtins in Python 3) and catch all errors
4107 when importing readline and rlcompleter.
4109 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
4111 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
4112 (todo-revert-buffer): New function.
4113 (todo-modes-set-1): Use it as the buffer-local value of
4114 revert-buffer-function.
4116 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
4118 * calendar/todo-mode.el (todo-mode): If called interactively, just
4119 display a message saying to call todo-show to enter Todo mode
4122 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
4124 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
4127 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
4130 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
4132 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
4133 remote `buffer-file-name'.
4135 2014-11-29 Leo Liu <sdl.web@gmail.com>
4137 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
4139 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
4141 Set PYTHONUNBUFFERED on shell startup.
4143 * progmodes/python.el (python-shell-unbuffered): New var.
4144 (python-shell-calculate-process-environment): Use it.
4146 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
4148 * net/tramp.el (tramp-action-password): Clean password on subsequent
4149 attempts even if there was no wrong password indication. (Bug#19047)
4151 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
4153 (tramp-open-connection-setup-interactive-shell): No need to check
4154 for nil as `tramp-get-remote-locale' return value.
4156 2014-11-29 Eli Zaretskii <eliz@gnu.org>
4158 * vc/vc-git.el (vc-git-command, vc-git--call):
4159 Bind coding-system-for-read and coding-system-for-write to
4160 vc-git-commits-coding-system.
4161 (vc-git-previous-revision): Use "~1" instead of "^", since the
4162 latter is a special character for MS-Windows system shells.
4164 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
4166 Improve XEmacs compatibility.
4168 * net/tramp.el (tramp-autoload-file-name-handler):
4169 Wrap `temporary-file-directory' by `symbol-value', it doesn't
4171 (tramp-read-passwd): Don't use `with-timeout-suspend' and
4172 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
4173 (tramp-time-less-p, tramp-time-subtract): Remove functions.
4174 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
4175 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
4176 * net/tramp-cache.el (tramp-get-file-property):
4177 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
4178 Use `time-less-p' and `time-subtract, respectively.
4180 * net/tramp-adb.el (top): Do not require time-date.el.
4182 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
4184 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
4185 Check, whether `utf-8' is a valid coding system.
4187 2014-11-29 Eli Zaretskii <eliz@gnu.org>
4189 * vc/vc.el (vc-retrieve-tag): Doc fix.
4191 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
4193 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
4196 2014-11-28 Martin Rudalics <rudalics@gmx.at>
4198 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
4199 * help.el (help-window-old-frame): New variable.
4200 (help-window-select): Default to nil (Bug#11039).
4202 (help-window-setup): When the help window appears on another
4203 frame and `help-window-select' is non-nil, give that frame input
4204 focus too (Bug#19012).
4205 (with-help-window): Store selected frame in
4206 help-window-old-frame.
4208 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
4210 * net/newst-treeview.el (newsticker--treeview-load): Take care of
4211 nil value for `newsticker-groups-filename'.
4213 2014-11-28 Daiki Ueno <ueno@gnu.org>
4215 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4216 (epa-sign-region, epa-encrypt-region):
4217 Use `epg-context-set-{passphrase,progress}-callback', instead of
4218 `setf'. This partially reverts commit 9e48a95c (bug#19150).
4219 Reported by José A. Romero L.
4221 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
4223 * net/eww.el (eww-restore-history):
4224 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
4226 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
4228 * net/newst-backend.el (newsticker--parse-atom-1.0):
4229 Handle embedded (x)html in summary node.
4231 2014-11-27 Sam Steingold <sds@gnu.org>
4233 * menu-bar.el (menu-bar-open): When everything else fails,
4234 use (mouse-menu-bar-map).
4236 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
4238 * net/newst-treeview.el (newsticker-groups-filename):
4239 Change default value to nil. Point out that variable is obsolete in doc
4241 (newsticker--treeview-load): Change wording of the questions the
4242 user is asked when `newsticker-groups-filename' is found to be
4243 used and we offer to read and remove the groups file. (Bug#19165)
4245 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
4247 * net/eww.el (eww): Record the new URL immediately, so that if the
4248 HTTP fetch fails, we have the right URL in the buffer.
4249 (eww-process-text-input): Don't shorten the input field if
4250 deleting at the last character (bug#19085).
4251 (eww-restore-history): Inhibit change functions while restoring
4253 (eww-process-text-input): Fix deletion at the start of the field, too.
4254 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
4255 (eww-process-text-input): Try to keep track of the size more reliably.
4257 * dom.el (dom-pp): New function.
4259 2014-11-27 Eli Zaretskii <eliz@gnu.org>
4261 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
4262 Don't assume --long is the default for "bzr log", always specify
4263 it explicitly, in case the user defined an alias for 'log' that
4264 uses some other format.
4266 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
4268 * progmodes/python.el (python-eldoc--get-doc-at-point):
4269 Strip shell output before returning. (bug#18794)
4271 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
4273 Fix indentation before `!=' and after `+='. Originally reported
4274 in https://github.com/mooz/js2-mode/issues/174.
4275 * progmodes/js.el (js--indent-operator-re): Make assignments and
4276 (in)equality operator a separate case.
4277 (js--continued-expression-p): Escape the second `+' in the regexp.
4279 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
4281 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
4283 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
4285 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
4286 when querying about new certificates.
4288 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
4290 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
4292 (dom-elements): Protect against non-text nodes.
4293 (dom-non-text-children): New function.
4295 * net/eww.el (eww-tag-title): Use `dom-text'.
4297 2014-11-26 Sam Steingold <sds@gnu.org>
4299 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
4301 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
4303 * net/eww.el (eww-highest-readability): More dom.el fixes.
4305 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
4307 * net/newst-backend.el (newsticker--parse-generic-items):
4308 Take care of UIDs when adding elements to cache.
4310 2014-11-26 Alan Mackenzie <acm@muc.de>
4312 Remove spurious reference to symbol category_properties.
4313 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
4315 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
4317 * net/eww.el: Use the new dom.el accessors throughout.
4319 * net/shr.el: Ditto.
4323 2014-11-26 Glenn Morris <rgm@gnu.org>
4325 * arc-mode.el (archive-visit-single-files): Add :version.
4327 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
4329 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
4331 (nsm-warnings-ok-p): The new version of this function always
4332 returned nil when everything was OK.
4334 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
4336 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
4338 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
4339 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
4341 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
4343 * emacs-lisp/byte-run.el (function-put): Match argument names to
4346 2014-11-24 Sam Steingold <sds@gnu.org>
4348 * vc/vc-hooks.el (vc-directory-exclusion-list):
4349 Fix a trivial typo (bug#19171).
4351 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
4353 * vc/vc-hooks.el (vc-state-base-face): Don't override
4356 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
4358 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
4359 (eww-process-text-input): Inhibit read only so that input fields
4360 don't get shortened (bug#19085).
4362 2014-11-24 Leo Liu <sdl.web@gmail.com>
4364 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
4366 * window.el (with-temp-buffer-window)
4367 (with-current-buffer-window, with-displayed-buffer-window):
4368 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
4369 * emacs-lisp/cl-lib.el (substring):
4370 * emacs-lisp/cl-extra.el (cl-getf): Use it.
4372 2014-11-24 Eli Zaretskii <eliz@gnu.org>
4374 * isearch.el (isearch-update): Don't assume
4375 pos-visible-in-window-p will return nil when point is hscrolled
4376 out of view. (Bug#19157)
4378 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
4380 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
4381 (eww-follow-link): Follow in new buffer in case of prefix
4382 argument, open externally with double prefix (bug#19130).
4384 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
4386 * net/eww.el (eww-display-html): Decode the document-defined charset.
4387 (eww): Pop to the *eww* buffer immediately after executing the
4388 `M-x eww' command to avoid having buffers pop up later.
4389 (eww-display-html): Don't pop the *eww* buffer.
4390 (eww-display-raw): Ditto.
4391 (eww-display-image): Ditto.
4392 (eww-follow-link): Make going to #targets in the page work again.
4394 2014-11-23 Ivan Shmakov <ivan@siamics.net>
4396 * net/eww.el (eww-suggest-uris): New variable.
4397 (eww-suggested-uris): New function.
4398 (eww): Default to URL under point.
4399 (eww-links-at-point): New function.
4401 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
4403 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
4405 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
4407 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
4409 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
4411 * net/eww.el (eww-set-character-encoding): New command and keystroke.
4412 (eww-display-raw): Use it (bug#16225).
4414 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
4416 * net/nsm.el (network-security-level): Rename from
4417 `nsm-security-level' and documented.
4419 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
4420 we're sending a password.
4422 * net/nsm.el: New file that implements a Network Security Manager.
4424 * net/network-stream.el (open-network-stream): Add a new
4425 :warn-unless-encrypted parameter.
4426 (network-stream-open-plain): Allow warning unless encrypted.
4427 (network-stream-open-starttls): Call the Network Security Manager.
4428 (network-stream-open-tls): Ditto.
4430 2014-11-23 Leo Liu <sdl.web@gmail.com>
4432 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
4433 (calendar-chinese-to-absolute-for-diary)
4434 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
4435 Handle leap months in Chinese calendar. (Bug#18953)
4437 2014-11-22 Alan Mackenzie <acm@muc.de>
4439 Fix error with `mark-defun' and "protected:" in C++ Mode.
4440 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
4441 return code of (label) from c-beginning-of-decl-1. (Bug#19134)
4443 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
4445 * net/newst-backend.el (newsticker--sentinel-work):
4446 Tell `libxml-parse-xml-region' to discard comments. (Bug#18787)
4448 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
4450 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
4451 (tramp-sh-handle-process-file): Propagate `process-environment'.
4453 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
4454 Tramp propagates environment variables now.
4456 2014-11-22 Eric S. Raymond <esr@snark>
4458 * vc/vc-filewise.el: New file to isolate code used only by the
4459 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
4460 live in vc.el and certainly not in vc-hooks.el.
4462 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
4463 This is preparatory to isolating all the 'master' functions
4464 used only by the file-oriented back ends. With this done first,
4465 the substantive diffs will be easier to read.
4467 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4469 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
4470 that is recognized by `help-mode'.
4472 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
4474 * desktop.el (desktop-create-buffer): Use activate-mark to set
4475 `mark-active' (bug#19058).
4477 2014-11-21 Eric S. Raymond <esr@snark>
4479 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
4482 2014-11-21 Eli Zaretskii <eliz@gnu.org>
4484 * vc/vc.el (vc-deduce-fileset): Support invocation from
4485 *vc-change-log* buffer. (Bug#19084)
4487 2014-11-13 Matthew Leach <matthew@mattleach.net>
4489 * arc-mode.el (archive-visit-single-files): New.
4490 (archive-mode): Visit file if archive contains a single file.
4493 2014-11-21 Ulrich Müller <ulm@gentoo.org>
4495 * vc/vc.el: Fix a typo in the commentary.
4497 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
4499 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
4500 testing and a real log-view mode.
4502 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
4503 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
4504 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
4505 checkout methods; where it matters (which is only in SCCS and RCS)
4506 files are always checked out editable. This may actually have
4507 been dynamically true already - it looks like the vc-next-action
4508 code evolved past visiting the other case. Tested with RCS.
4510 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
4511 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
4512 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
4513 argument from the backend checkin methods. Only the RCS, SCCS,
4514 and CVS back ends tried to do anything with it, and that code was
4515 never exercised. Chiseling away the cruft of decades...
4517 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
4519 * net/eww.el (eww-render): Remove a no-op :title setting.
4521 2014-11-19 Ivan Shmakov <ivan@siamics.net>
4523 * net/eww.el (eww-history-limit): New variable.
4524 (eww-save-history): Use it (bug#19105).
4525 (eww-reload): Reload the page in the right buffer.
4527 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
4529 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
4531 2014-11-19 Ivan Shmakov <ivan@siamics.net>
4533 * net/eww.el (eww-desktop-remove-duplicates)
4534 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
4535 (eww-mode): Set up desktop mode (bug#18010).
4536 (eww-desktop-data-save, eww-desktop-data-1)
4537 (eww-desktop-history-duplicate, eww-desktop-misc-data)
4538 (eww-restore-desktop): New functions.
4540 2014-11-19 Eli Zaretskii <eliz@gnu.org>
4542 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
4543 correct buffer. (Bug#19101)
4545 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4547 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
4548 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
4550 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
4552 * ido.el (ido-bury-buffer-at-head): New command.
4553 (ido-buffer-completion-map): Bind it to C-S-b.
4555 2014-11-18 Juri Linkov <juri@linkov.net>
4557 * simple.el (next-line-or-history-element): Wrap next-line
4558 in with-no-warnings.
4559 (previous-line-or-history-element): Wrap previous-line
4560 in with-no-warnings.
4562 2014-11-18 Juri Linkov <juri@linkov.net>
4564 * progmodes/grep.el (grep-compute-defaults):
4565 Compute grep-highlight-matches before its use.
4567 2014-11-18 Juri Linkov <juri@linkov.net>
4569 * replace.el (query-replace-from-to-separator): Turn defvar into
4570 defcustom. Wrap char-displayable-p in ignore-errors because an
4571 attempt to autoload char-displayable-p fails during pre-loading.
4572 Move (propertize "\0" ... 'separator t) out of customizable part
4573 to query-replace-read-from.
4574 (query-replace-read-from): Call custom-reevaluate-setting on
4575 query-replace-from-to-separator to reevaluate the separator
4576 depending on the return value of char-displayable-p.
4577 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
4579 2014-11-18 Juri Linkov <juri@linkov.net>
4581 * bindings.el (minibuffer-local-map): Rebind [down] from
4582 next-history-element to next-line-or-history-element, and [up]
4583 from previous-history-element to previous-line-or-history-element.
4585 * simple.el (next-line-or-history-element)
4586 (previous-line-or-history-element): New commands.
4587 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
4589 2014-11-18 Leo Liu <sdl.web@gmail.com>
4591 * emacs-lisp/nadvice.el (define-advice): New macro.
4592 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
4594 (lisp-font-lock-keywords-1): Add define-advice.
4596 2014-11-18 Daiki Ueno <ueno@gnu.org>
4598 * epg.el (epg-context): New slot EDIT-CALLBACK.
4599 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
4600 (epg-reset): Reset EDIT-CALLBACK of the context.
4601 (epg-start-edit-key): New function.
4602 (epg-edit-key): New function.
4604 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
4606 Port new time stamp handling to Emacs 23.2.
4607 This fix is for Gnus. Reported by Katsumi Yamaoka.
4608 * calendar/time-date.el (time-add, time-subtract, time-less-p):
4609 Use eval-and-compile, not eval-when-compile.
4611 2014-11-18 Daiki Ueno <ueno@gnu.org>
4613 * epg.el (epg-context-set-passphrase-callback)
4614 (epg-context-set-progress-callback): Check if the CALLBACK
4615 argument is a function, instead of a cons.
4617 2014-11-18 Daiki Ueno <ueno@gnu.org>
4619 * epa-file.el (epa-file-insert-file-contents)
4620 (epa-file-write-region): Remove redundant check of
4622 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4623 (epa-sign-region, epa-encrypt-region): Remove redundant check of
4626 2014-11-18 Daiki Ueno <ueno@gnu.org>
4628 * epa-file.el (epa-file-insert-file-contents): Don't show
4629 "*Error*" buffer if input file does not exist.
4630 Reported by Herbert J. Skuhra.
4632 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
4633 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4635 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
4636 An alternative version of the patch from bug#13871.
4637 (c-operators): Add "alignof".
4638 (c-primitive-type-kwds): Add "char16_t", "char32_t".
4639 (c-type-modifier-kwds): Add "constexpr", "noexcept".
4640 (c-modifier-kwds): Add "thread_local".
4641 (c-constant-kwds): Add "nullptr".
4643 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
4645 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
4646 New variables for specifying tildify pattern and representation of
4647 a hard space -- a no-break space by default -- respectively.
4648 Being buffer-local they are much easier to handle than
4649 `tildify-string-alist' and `tildify-pattern-alist' respectively
4650 that have been used so far. They also works better with derived
4652 (tildify-foreach-region-function): New variable specifying
4653 a function determining portions of buffer that should be
4654 tildified. It allows major modes to create a filtering function
4655 more elaborate than a set of regular expressions. Initialized to
4656 `tildify--deprecated-ignore-evironments' by default to handle now
4657 deprecated `tildify-ignored-environments-alist' variable.
4658 (tildify--foreach-region): A new function that takes
4659 `tildify-foreach-region-function' into account and calls callback
4660 for regions of the buffer that should be tildified.
4661 (tildify-foreach-ignore-environments): A new function which can be
4662 partially applied and used as `tildify-foreach-region-function'.
4663 (tildify-ignored-environments-alist, tildify-pattern)
4664 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
4665 (tildify--find-env): Rename from `tildify-find-env' and mark as
4667 (tildify--deprecated-ignore-evironments): New function,
4668 immediately marked as obsolete, used to handle deprecated
4669 `tildify-ignored-environments-alist'.
4671 * textmodes/tex-mode.el (tex-common-initialization):
4672 Set `tildify-space-string' and `tildify-foreach-region-function'
4673 variables in all variants of TeX mode since `tildify-string-alist'
4674 and `tildify-ignored-environments-alist' are now empty by default.
4676 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
4677 If encoding supports it use no-break space instead of character
4678 entity; this changes previous default which used a numeric
4681 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
4682 If encoding does not support no-break space, use numeric reference;
4683 this changes previous default which used named entity (“ ”)
4686 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
4688 * calendar/icalendar.el (icalendar-export-alarms):
4689 New customizable variable. (Bug#5433)
4690 (icalendar-export-region): Export alarms as specified in
4691 `icalendar-export-alarms'.
4692 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
4693 New functions for exporting alarms.
4695 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
4697 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
4699 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
4701 Port new time stamp handling to old Emacs and to XEmacs.
4702 This is needed for Gnus, which copies time-date.el and which
4703 runs on older Emacs implementations.
4704 * calendar/time-date.el (with-decoded-time-value):
4705 Handle 'nil' and floating-point arg more compatibly with new Emacs.
4706 (encode-time-value, with-decoded-time-value):
4707 Obsolete only if new Emacs.
4708 (time-add, time-subtract, time-less-p): Define if not new Emacs.
4710 Improve time stamp handling, and be more consistent about it.
4711 This implements a suggestion made in:
4712 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
4713 Among other things, this means timer.el no longer needs to
4714 autoload the time-date module.
4715 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
4716 * arc-mode.el (archive-ar-summarize):
4717 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
4718 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
4719 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
4720 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
4721 * proced.el (proced-time-lessp):
4722 * timezone.el (timezone-time-from-absolute):
4723 * type-break.el (type-break-schedule, type-break-time-sum):
4724 Simplify by using new functionality.
4725 * calendar/cal-dst.el (calendar-next-time-zone-transition):
4726 Do not return time values in obsolete and undocumented (HI . LO)
4727 format; use (HI LO) instead.
4728 * calendar/time-date.el (with-decoded-time-value):
4729 Treat 'nil' as current time. This is mostly for XEmacs.
4730 (encode-time-value, with-decoded-time-value): Obsolete.
4731 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
4732 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
4733 * ldefs-boot.el: Update to match new time-date.el
4734 * proced.el: Do not require time-date.
4736 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4738 * net/eww.el (eww-mode): Make the buffer read-only.
4739 (eww-form-text): Inhibit read-only-ness in text input fields
4742 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4744 * simple.el (execute-extended-command--shorter): Cut search here.
4745 (execute-extended-command): Instead of here.
4747 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4749 * progmodes/python.el (python-mode): Avoid use of set-local to
4750 keep Emacs 24.x compatibility.
4752 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4754 * net/shr.el (shr): Move to the new defgroup `web'.
4756 * net/eww.el (eww): Ditto.
4758 * simple.el (execute-extended-command): Don't show the help
4759 message if the binding isn't significantly shorter than the
4760 M-x command the user typed (bug#19013).
4762 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
4764 * calendar/icalendar.el (icalendar--convert-tz-offset):
4765 Return complete cons when offsets of standard time and daylight saving
4767 (icalendar-export-region): Fix unbound variable warning.
4769 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4771 * progmodes/python.el (run-python): Allow CMD to be optional and
4772 default it to a safe command, even for Windows. (bug#18596)
4774 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4776 * progmodes/python.el (python-shell-calculate-command):
4777 Rename from python-shell-parse-command. Cleanup.
4778 (run-python, run-python-internal): Use it.
4779 (python-shell-calculate-pythonpath): Rename from
4780 python-new-pythonpath.
4781 (python-shell-calculate-process-environment): Use it.
4782 (python-shell-calculate-exec-path): Add comment.
4784 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
4786 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
4789 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
4791 * version.el (emacs-repository-get-version): Use git rev-parse
4794 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4796 * progmodes/python.el (python-indent-calculate-levels):
4797 Fix indentation behavior multiline dedenter statement. (Bug#18432)
4799 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4801 * progmodes/python.el (python-indent-region):
4802 Use python-indent-line and skip special cases. (Bug#18843)
4804 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
4806 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
4807 envelope-from when reporting through sendmail (bug#19054).
4809 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
4811 Add faces for the VC modeline state indicator.
4813 (vc-state-faces, vc-state-base-face)
4814 (vc-up-to-date-state, vc-needs-update-state)
4815 (vc-locked-state, vc-locally-added-state)
4816 (vc-conflict-state, vc-removed-state)
4817 (vc-missing-state, vc-edited-state):
4819 (vc-default-mode-line-string): Use them
4821 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4823 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
4825 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
4827 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
4829 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
4831 * progmodes/python.el (python-eldoc-setup-code): Enhance string
4832 type checks, simplify printing. (Bug#18962)
4834 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
4836 * progmodes/python.el (python-shell-font-lock-kill-buffer):
4837 (python-shell-font-lock-with-font-lock-buffer)
4838 (python-shell-get-buffer, python-ffap-module-path):
4839 Use `derived-mode-p' instead of equality test on `major-mode'.
4841 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
4843 * progmodes/python.el (python-shell-virtualenv-root): Rename from
4844 python-shell-virtualenv-path.
4845 (python-shell-internal-get-process-name)
4846 (python-shell-calculate-process-environment)
4847 (python-shell-calculate-exec-path): Use it.
4849 2014-11-14 Eli Zaretskii <eliz@gnu.org>
4851 * bindings.el (search-map): Fix last change: don't use 'kbd' in
4852 bindings.el, since it is not yet loaded when bindings.el is
4855 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
4857 * progmodes/python.el (python-shell-completion-get-completions):
4860 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
4862 * net/eww.el (eww-render): Don't set the title to the URL.
4864 2014-11-13 Ulrich Müller <ulm@gentoo.org>
4866 * version.el (emacs-repository-get-version): Call `git log'
4867 command with proper format argument (bug#19049).
4869 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
4871 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
4873 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
4875 * net/eww.el (eww-search-words): New command (bug#16258).
4877 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4879 * net/shr.el (shr-inhibit-images): Add a doc string.
4881 * net/eww.el (eww-after-render-hook): New variable.
4882 (eww-render): Use it.
4884 * net/shr.el (shr-descend): Don't descend further than
4885 `max-specpdl-size' allows (bug#16587).
4886 (shr-depth): New variable.
4887 (shr-warning): New variable.
4889 2014-11-13 Ivan Shmakov <ivan@siamics.net>
4891 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
4892 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
4894 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4896 * net/eww.el (eww): Add comment to clarify.
4898 * net/shr.el (shr-parse-image-data): Remove blocked bits from
4899 external SVG images.
4900 (shr-tag-object): Display images in <object> forms (bug#16244).
4901 (shr-tag-table): Also insert <objects> after the tables.
4903 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
4905 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
4907 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4909 * net/eww.el (eww-form-file): Fix version number.
4911 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4913 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
4915 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
4917 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
4918 (eww-submit-file): New key map of file upload.
4919 (eww-form-file): New file upload button and file name context.
4920 (eww-select-file): Select file and display selected file name.
4921 (eww-tag-input): Handle input tag of file type.
4922 (eww-update-field): Add point offset.
4923 (eww-submit): Add submit with multipart/form-data.
4925 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4927 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
4928 Allow taking a buffer to render data in. This allows using several
4929 eww buffers (bug#16211).
4931 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
4933 * net/eww.el (eww-download-callback): Save only the file contents,
4936 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4938 * net/eww.el (eww-data): New plist to store all the data relevant
4939 to a single page, used throughout the file instead of the
4940 variables `eww-current-url', `eww-current-dom',
4941 `eww-current-source', and `eww-current-title'.
4942 (eww-readable): Copy over pertinent data from the parent page.
4943 (eww-save-history): Don't let the history grow infinitely.
4945 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
4946 `eww-up-url', `eww-home-url', `eww-start-url' and
4947 `eww-contents-url' and put the data into the `eww-data' plist.
4948 This allow restoring these values after going back in the history.
4950 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
4952 Allow VTIMEZONE where daylight and standard time zones are equal.
4953 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
4954 * calendar/icalendar.el (icalendar--convert-tz-offset):
4955 Support timezone without daylight saving time.
4957 2014-11-10 Glenn Morris <rgm@gnu.org>
4959 * startup.el (command-line): Handle nil elements in load-path.
4961 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
4963 * help.el (view-lossage): Include the actual commands run.
4965 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
4967 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
4968 no state is specified. (Bug#18964)
4970 2014-11-09 Eric Ludlam <zappo@gnu.org>
4972 * emacs-lisp/eieio-custom.el (eieio-customize-object):
4973 Set eieio-cog (current group) to g, which is an improved form of input
4976 2014-11-09 Juri Linkov <juri@jurta.org>
4978 * isearch.el (isearch-message-prefix): Show "Multi-file" and
4979 "Multi-buffer" instead of "Multi". (Bug#13592)
4981 * misearch.el (multi-isearch-file-list):
4982 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
4983 (multi-isearch-end): Reset multi-isearch-buffer-list and
4984 multi-isearch-file-list to nil.
4986 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
4988 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
4989 Don't call byte-compile-preprocess since the result will go through
4991 (byte-compile-output-docform): Handle uninterned `name' correctly.
4992 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
4993 to circumvent byte-compiler bug.
4995 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
4996 (macroexp--compiler-macro): Remove left-over debug code.
4998 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
5000 2014-11-08 Juri Linkov <juri@jurta.org>
5002 * simple.el (shell-command): Use buffer-name when output-buffer is
5003 a buffer. (Bug#18096)
5005 2014-11-08 Juri Linkov <juri@jurta.org>
5007 * minibuffer.el (minibuffer-completion-help): Compare this-command
5008 with completion-at-point. (Bug#17809)
5010 2014-11-08 Glenn Morris <rgm@gnu.org>
5012 * emacs-lisp/bytecomp.el (byte-compile-report-error):
5013 Allow the argument to be a string. Due to the vague doc,
5014 it was already being used this way.
5016 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
5018 * net/tramp.el (tramp-check-cached-permissions): Include hop in
5019 the constructed Tramp file name. (Bug#18943)
5021 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
5023 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
5025 (cua-set-mark, cua--post-command-handler-1):
5026 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
5028 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
5030 * files.el (file-name-non-special): Wrap the call of
5031 `insert-file-contents' by `unwind-protect', in order to set the
5032 buffer's file name anyway. (Bug#18891)
5034 2014-11-08 Alan Mackenzie <acm@muc.de>
5036 Fix wrong bound to c-font-lock-declarators.
5037 * progmodes/cc-fonts.el (c-font-lock-declarations):
5038 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
5039 the buffer is sometimes narrowed to less than "limit" (e.g., in
5040 the presence of macros). (Bug#18948)
5042 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
5044 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
5045 only when message appeared in minibuffer. (Bug#18891)
5047 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
5048 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
5049 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
5052 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
5054 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
5055 Don't compile before eval in `eval-and-compile'.
5056 (byte-compile-arglist-warn): Add check for defining macros after their
5057 first use. Check call use even if the function is fboundp.
5059 2014-11-08 Richard Stallman <rms@gnu.org>
5061 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
5062 Check more carefully for mime-part specified character set.
5063 Check for mime-part Content Transfer Encoding.
5064 Notify if no armor found.
5066 2014-11-08 Martin Rudalics <rudalics@gmx.at>
5068 * faces.el (face-set-after-frame-default): Enable running
5069 `window-configuration-change-hook'.
5071 2014-11-07 Juri Linkov <juri@jurta.org>
5073 * replace.el: History for query replace pairs.
5074 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
5075 (query-replace-from-to-separator): New variable.
5076 (query-replace-read-from): Let-bind query-replace-from-to-history
5077 to a list of FROM-TO strings created from query-replace-defaults
5078 and separated by query-replace-from-to-separator. Use it as
5079 the history while reading from the minibuffer. Split the returned
5080 string by the separator to get FROM and TO parts, and add them
5081 to the history variables.
5082 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
5083 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
5084 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
5086 * isearch.el (isearch-text-char-description): Keep characters
5087 intact and put formatted strings with the `display' property.
5089 2014-11-07 Martin Rudalics <rudalics@gmx.at>
5091 * cus-start.el (frame-resize-pixelwise): Fix group.
5092 (frame-inhibit-implied-resize): Add entry.
5094 2014-11-07 Daiki Ueno <ueno@gnu.org>
5096 * epa.el (epa-pinentry-mode): New user option.
5097 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
5098 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
5099 * epa-file.el (epa-file-insert-file-contents)
5100 (epa-file-write-region): Respect epa-pinentry-mode.
5102 2014-11-07 Daiki Ueno <ueno@gnu.org>
5104 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
5105 (bug#18979). Reported by Hideki Saito.
5107 2014-11-06 Daiki Ueno <ueno@gnu.org>
5109 * emacs-lisp/package.el (package--display-verify-error): New function.
5110 (package--check-signature): Use it to display output sent to stderr.
5112 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
5114 * subr.el (pop): Don't call the getter twice (bug#18968).
5116 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
5119 2014-11-06 Daiki Ueno <ueno@gnu.org>
5121 * epa.el (epa-error-buffer): New variable.
5122 (epa-display-error): New function.
5123 (epa-decrypt-file, epa-verify-file, epa-verify-region)
5124 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
5125 (epa-sign-file, epa-sign-region, epa-encrypt-region)
5126 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
5127 Use setf instead of epg-context-set-*.
5128 * epa-file.el (epa-file-insert-file-contents):
5129 Use epa-display-error instead of epa-display-info. Mimic the behavior
5130 of jka-compr when decryption program is not found.
5131 (epa-file-write-region): Use epa-display-error instead of
5134 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
5136 * vc/vc.el (vc-region-history): New command.
5137 (vc-print-log-internal): Use cl-some.
5139 * vc/vc-git.el (vc-git-region-history): New function.
5140 (vc-git-region-history-mode-map)
5141 (vc-git--log-view-long-font-lock-keywords)
5142 (vc-git-region-history-font-lock-keywords): New vars.
5143 (vc-git-region-history-font-lock): New function.
5144 (vc-git-region-history-mode): New major mode.
5146 2014-11-05 Tassilo Horn <tsdh@gnu.org>
5148 * net/eww.el (subr-x): Require subr-x at compile-time because eww
5151 2014-11-05 Daiki Ueno <ueno@gnu.org>
5153 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
5154 (epg-error-output): New buffer-local variable.
5155 (epg--start): Initialize epg-error-output.
5156 (epg--process-filter): Record output lines sent to stderr, in
5158 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
5160 * epa-file.el (epa-file-insert-file-contents): On error, display
5161 output sent to stderr.
5162 (epa-file-write-region): Likewise.
5164 2014-11-05 Eli Zaretskii <eliz@gnu.org>
5166 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
5167 returned by load-average.
5169 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
5171 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
5172 a local copy; setting `inhibit-file-name-handlers' proper might be
5173 more performant. (Bug#18751)
5175 2014-11-05 Glenn Morris <rgm@gnu.org>
5177 * mail/emacsbug.el (report-emacs-bug): No longer include
5178 recent-keys in the report. (Bug#18900)
5180 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
5182 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
5184 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
5186 * net/eww.el (eww): Trim URL with `string-trim'.
5187 Suggested by Vibhav Pant <vibhavp@gmail.com>.
5189 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
5191 * net/eww.el (eww-score-readability): Don't count comments positively.
5193 * net/shr.el (shr-retransform-dom): Typo fix.
5195 * net/eww.el (eww-score-readability): Parse SVC images correctly.
5196 (eww-display-html): Don't leave point inside forms.
5198 * net/shr.el: Ditto.
5200 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
5202 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
5203 edebug-prin1-to-string already handles circularity.
5205 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
5206 autoloading when specified as a lambda.
5208 * simple.el (execute-extended-command--last-typed): New var.
5209 (read-extended-command): Set it.
5210 Don't complete obsolete commands.
5211 (execute-extended-command--shorter-1)
5212 (execute-extended-command--shorter): New functions.
5213 (execute-extended-command): Use them to suggest shorter names.
5214 (indicate-copied-region, deactivate-mark): Use region-active-p.
5216 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
5218 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
5219 local copy of FILENAME, when it is remote. (Bug#18751)
5221 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
5222 an error when the command fails; the return code must indicate.
5223 (tramp-adb-send-command-and-check): Fix docstring.
5225 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
5227 * net/shr.el (shr-retransform-dom): Don't ignore elements that
5228 have no children like <br />.
5230 * net/eww.el (eww-display-html): Clear `url-queue'.
5231 (eww-display-pdf): New function.
5232 (eww-render): Display PDFs with `doc-view'.
5233 (url-queue): Require `url-queue' to avoid compilation warning.
5234 (eww-colorize-region): Remove duplicate function.
5235 (eww-tag-body): Use `shr-colorize-region'.
5237 2014-11-03 Yoni Rabkin <yrk@gnu.org>
5239 * net/eww.el (eww-list-bookmarks): Autoload.
5241 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
5243 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
5245 * net/eww.el (eww-display-html): The charset is called `utf-8',
5247 (eww-readable): Decode the saved text correctly.
5248 (eww-readable): Save the history before displaying so that we can
5249 go back to the non-readable version.
5250 (eww-display-html): Don't try to decode the text if we've been
5251 passed in a pre-parsed DOM.
5252 (eww-tag-title): Remove newlines and extra whitespace from the
5255 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
5257 * net/eww.el (eww-readable): New command and keystroke.
5259 * net/shr.el (shr-retransform-dom): New function.
5261 * net/eww.el (eww-display-html): Set `eww-current-source' in the
5263 (eww-view-source): Use it.
5265 2014-11-02 Ivan Shmakov <ivan@siamics.net>
5267 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
5270 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
5272 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
5274 2014-11-02 Ivan Shmakov <ivan@siamics.net>
5276 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
5278 2014-11-02 Ivan Shmakov <ivan@siamics.net>
5280 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
5283 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
5285 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
5287 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
5289 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
5291 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
5293 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
5295 2014-11-01 Michael R. Mauger <michael@mauger.com>
5297 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
5298 syntax, add new keywords, and parse longer keywords first.
5299 (sql-redirect-one): Protect against empty command.
5300 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
5303 2014-11-01 Michael R. Mauger <michael@mauger.com>
5305 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
5306 Bug#16814 with let-bind of comint-input-ring variables around read
5309 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
5311 * net/tramp-cache.el (tramp-get-file-property)
5312 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
5313 and `tramp-cache-set-count-*' are bound. Otherwise, there might
5314 be compiler warnings.
5316 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
5317 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
5319 2014-11-01 Eli Zaretskii <eliz@gnu.org>
5321 * progmodes/compile.el (compilation-mode): Turn off deferred
5322 fontifications locally. (Bug#18856)
5324 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
5326 * net/tramp-sh.el (tramp-send-command): Fix the case where the
5327 remote-echo connection property is non-nil (bug#18858).
5329 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
5331 * simple.el (newline): Add assertions to try and help catch bug#18913.
5333 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
5335 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
5337 2014-11-01 Kim F. Storm <storm@cua.dk>
5339 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
5340 * delsel.el (delete-selection-save-to-register)
5341 (delsel--replace-text-or-position): New vars.
5342 (delete-active-region): Use them.
5343 (delete-selection-repeat-replace-region): New command, moved from
5345 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
5346 (cua-repeat-replace-region): Move command to delsel.el.
5347 (cua--init-keymaps): Update binding accordingly.
5348 (cua-mode): Set delete-selection-save-to-register.
5350 2014-11-01 Alan Mackenzie <acm@muc.de>
5352 Make blink-parens work with a closing template delimiter.
5353 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
5354 before calling blink-paren-function, so as to apply syntax-table
5355 properties to the ">".
5357 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
5359 * select.el (gui-get-selection): Comment: data-type ignored on NS.
5361 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
5363 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
5364 (macroexp--expand-all): Unrelated tweaks.
5366 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
5368 2014-10-30 Glenn Morris <rgm@gnu.org>
5370 * startup.el (command-line): Remove pointless attempt to avoid
5371 statting the file-system (which expand-file-name doesn't do).
5373 2014-10-30 Daniel Colascione <dancol@dancol.org>
5375 Add "enum class" support to C++ mode.
5376 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
5377 (c-after-brace-list-key): New language consts/variables.
5378 * progmodes/cc-engine.el (c-looking-at-decl-block):
5379 Exclude spurious match of "enum struct" from decl-block recognition.
5380 (c-backward-colon-prefixed-type): New function.
5381 (c-backward-over-enum-header): Call above function to extend
5382 recognition of enum structure.
5384 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
5386 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
5388 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
5390 2014-10-30 Eli Zaretskii <eliz@gnu.org>
5392 * progmodes/compile.el (compilation-start):
5393 If compilation-scroll-output is non-nil, don't force window-start of
5394 the compilation buffer to be at beginning of buffer. (Bug#18874)
5396 * startup.el (fancy-about-text): Read the entire tutorial, not
5397 just its first 256 bytes. (Bug#18760)
5399 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
5401 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
5402 * emacs-lisp/cl-extra.el: Add missing provide.
5404 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
5405 all args are copyable (bug#18767).
5406 (=, <, >, <=, >=): Re-enable the optimization.
5408 2014-10-29 Glenn Morris <rgm@gnu.org>
5410 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
5412 * version.el (emacs-bzr-version, emacs-bzr-get-version):
5413 Revert 2014-10-26 change.
5415 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
5417 Simplify use of current-time and friends.
5418 * allout-widgets.el (allout-widgets-hook-error-handler):
5419 * calendar/appt.el (appt-display-message):
5420 * calendar/icalendar.el (icalendar--convert-float-to-ical):
5421 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
5422 (timeclock-last-period, timeclock-day-base):
5423 * eshell/em-ls.el (eshell-ls-file):
5424 * eshell/esh-util.el (eshell-parse-ange-ls):
5425 * generic-x.el (named-database-print-serial):
5426 * net/newst-backend.el (newsticker--get-news-by-url-callback)
5427 (newsticker-get-news, newsticker--sentinel-work)
5428 (newsticker--image-get, newsticker--image-sentinel):
5429 * net/tramp-sh.el (tramp-get-remote-touch):
5430 * progmodes/opascal.el (opascal-debug-log):
5431 * textmodes/remember.el (remember-mail-date)
5432 (remember-store-in-files):
5433 * vc/vc-annotate.el (vc-annotate-display-autoscale)
5434 (vc-default-annotate-current-time):
5435 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
5436 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
5437 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
5438 Omit unnecessary call to current-time.
5439 * calendar/time-date.el (time-to-seconds) [!float-time]:
5440 * vc/vc-annotate.el (vc-annotate-convert-time):
5441 Use current time if arg is nil, to be compatible with float-time.
5442 (time-date--day-in-year): New function, with most of the guts of
5443 the old time-to-day-in-year.
5444 (time-to-day-in-year): Use it.
5445 (time-to-days): Use it, to avoid decoding the same time stamp twice.
5446 * calendar/timeclock.el (timeclock-time-to-date):
5447 Arg is now optional, like current-time-string.
5448 (timeclock-update-mode-line):
5449 Don't call current-time twice to get the current time stamp,
5450 as this can lead to inconsistent results.
5451 * completion.el (cmpl-hours-since-origin):
5452 * ido.el (ido-time-stamp):
5453 * vc/vc-annotate.el (vc-annotate-convert-time):
5454 Simplify by using float-time.
5455 * completion.el (save-completions-to-file):
5456 Rename local var to avoid confusion.
5457 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
5458 time-to-seconds now behaves like float-time with respect to nil arg.
5459 * subr.el (progress-reporter-do-update):
5460 Don't call float-time unless needed.
5462 2014-10-29 Leo Liu <sdl.web@gmail.com>
5464 * net/rcirc.el (rcirc-fill-column): Use function.
5465 (rcirc-markup-fill): Remove adjustment.
5467 2014-10-28 Christopher Schmidt <ch@ristopher.com>
5469 * calc/calc.el (quick-calc):
5470 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
5472 2014-10-28 Sam Steingold <sds@gnu.org>
5474 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
5475 the sake of `window-body-width' (in addition to `frame-width').
5477 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
5479 * version.el: Fix some fallback values to conform to the actual
5482 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
5484 * Makefile.in: Change some production names so they're neutral
5485 about the repository type.
5487 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
5489 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
5490 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
5491 during initialization. (Bug#18774)
5493 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
5495 * ses.el (macroexp): Add require for this package, so that
5496 function `ses--cell' gets macroexp-quote --- this change was
5497 supposed to be in my previous commit, but left out by mistake.
5498 (ses--cell): Do not make formula a macroexp-quote of value when
5499 value, not formula, is *skip*.
5501 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
5503 * ses.el (macroexp): Add require for this package, so that function
5504 `ses--cell gets macroexp-quote.
5505 (ses--cell): Makes formula a macroexp-quote of value when formula
5506 is nil. The rationale of this changr is to allow in the future
5507 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
5508 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
5509 reference list REFLIST would be re-computed after load --- thus
5510 trading off load time against file size.
5512 * emacs-lisp/package.el (package--alist-to-plist-args):
5513 Use macroexp-quote instead of a lambda expression which has the same
5514 content as macroexp-quote.
5515 (macroexp): Add require for this package, so that function
5516 `package--alist-to-plist-args' gets macroexp-quote.
5518 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
5520 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
5522 * term/ns-win.el (ns-store-cut-buffer-internal)
5523 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
5525 2014-10-24 Martin Rudalics <rudalics@gmx.at>
5527 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
5528 Calculate increment from last position instead of window edge.
5529 Add right- and bottom-divider bindings to transient map.
5531 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
5533 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
5534 even if :predicate was nil, for the benefit of typep.
5535 Record the name of the predicate for typep's use.
5536 (cl--make-type-test): Use pcase. Obey new
5537 cl-deftype-satisfies property.
5539 * epg.el: Use cl-defstruct.
5540 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
5541 (epg-data-string): Define via cl-defstruct.
5542 (epg--gv-nreverse): New macro.
5543 (epg-context--make): New constructor (provided vi cl-defstruct).
5544 (epg-make-context): Rewrite using it.
5545 (epg-context-protocol, epg-context-program)
5546 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
5547 (epg-context-include-certs, epg-context-cipher-algorithm)
5548 (epg-context-digest-algorithm, epg-context-compress-algorithm)
5549 (epg-context-passphrase-callback, epg-context-progress-callback)
5550 (epg-context-signers, epg-context-sig-notations, epg-context-process)
5551 (epg-context-output-file, epg-context-result, epg-context-operation)
5552 (epg-context-pinentry-mode): Define using cl-defstruct.
5553 (epg-context-set-protocol, epg-context-set-program)
5554 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
5555 (epg-context-set-digest-algorithm)
5556 (epg-context-set-sig-notations, epg-context-set-process)
5557 (epg-context-set-output-file, epg-context-set-result)
5558 (epg-context-set-operation, epg-context-set-pinentry-mode)
5559 (epg-context-set-compress-algorithm): Remove. Use setf instead.
5560 (epg-context-set-armor, epg-context-set-textmode)
5561 (epg-context-set-signers): Redefine using setf
5562 and declare as obsolete.
5563 (epg-context-set-passphrase-callback)
5564 (epg-context-set-progress-callback): Use setf.
5565 (epg-signature-notations): Rename from epg-sig-notations.
5566 (epg-make-signature, epg-signature-status, epg-signature-key-id)
5567 (epg-signature-validity, epg-signature-fingerprint)
5568 (epg-signature-creation-time, epg-signature-expiration-time)
5569 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
5570 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
5571 (epg-signature-set-status, epg-signature-set-key-id)
5572 (epg-signature-set-validity, epg-signature-set-fingerprint)
5573 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
5574 (epg-signature-set-pubkey-algorithm)
5575 (epg-signature-set-digest-algorithm, epg-signature-set-class)
5576 (epg-signature-set-version, epg-signature-set-notations): Remove.
5578 (epg-make-new-signature, epg-new-signature-type)
5579 (epg-new-signature-pubkey-algorithm)
5580 (epg-new-signature-digest-algorithm, epg-new-signature-class)
5581 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
5582 Define using cl-defstruct.
5583 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
5584 (epg-key-user-id-list): Define using cl-defstruct.
5585 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
5587 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
5588 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
5589 (epg-sub-key-id, epg-sub-key-creation-time)
5590 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
5592 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
5593 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
5594 (epg-user-id-signature-list): Define using cl-defstruct.
5595 (epg-user-id-set-signature-list): Remove. Use setf instead.
5596 (epg-make-key-signature, epg-key-signature-validity)
5597 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
5598 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
5599 (epg-key-signature-user-id, epg-key-signature-class)
5600 (epg-key-signature-exportable-p): Define using cl-defstruct.
5601 (epg-make-sig-notation, epg-sig-notation-name)
5602 (epg-sig-notation-value, epg-sig-notation-human-readable)
5603 (epg-sig-notation-critical): Define using cl-defstruct.
5604 (epg-sig-notation-set-value): Remove. Use setf instead.
5605 (epg-make-import-status, epg-import-status-fingerprint)
5606 (epg-import-status-reason, epg-import-status-new)
5607 (epg-import-status-user-id, epg-import-status-signature)
5608 (epg-import-status-sub-key, epg-import-status-secret): Define using
5610 (epg-make-import-result, epg-import-result-considered)
5611 (epg-import-result-no-user-id, epg-import-result-imported)
5612 (epg-import-result-imported-rsa, epg-import-result-unchanged)
5613 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
5614 (epg-import-result-new-signatures, epg-import-result-new-revocations)
5615 (epg-import-result-secret-read, epg-import-result-secret-imported)
5616 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
5617 (epg-import-result-imports): Define using cl-defstruct.
5619 * emacs-lisp/package.el: Require EPG during macroexpansion.
5620 (package--check-signature, package-import-keyring): Use setf instead of
5621 epg-context-set-home-directory.
5623 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
5625 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
5627 2014-10-23 Leo Liu <sdl.web@gmail.com>
5629 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
5630 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
5631 (cfengine3-current-defun): New function.
5632 (cfengine3-mode): Set add-log-current-defun-function.
5634 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
5636 * select.el: Use lexical-binding.
5637 (gui-set-selection): Provide an implementation for non-GUI frames
5639 * term/x-win.el: Use lexical-binding.
5640 (x-clipboard-yank): Fix up missed renamings.
5641 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
5642 (w32--set-selection): Fix up var names.
5643 * term/pc-win.el: Use lexical-binding.
5644 (w16-selection-exists-p): Silence compiler warning.
5645 (w16-selection-owner-p): Fix up missed renamings.
5647 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
5649 * frame.el (frame-notice-user-settings): Fix excessive quoting.
5651 2014-10-22 Tassilo Horn <tsdh@gnu.org>
5653 * doc-view.el (doc-view-open-text): View the document's plain text
5654 in the current buffer instead of a new one.
5655 (doc-view-toggle-display): Handle the case where the current
5656 buffer contains the plain text contents of the document.
5657 (doc-view-initiate-display): Don't switch to fallback mode if the
5658 user wants to view the doc's plain text.
5659 (doc-view-set-doc-type): Use assoc-string instead of
5662 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5664 * subr.el (read-key): Fix clicks on the mode-line.
5665 (set-transient-map): Return exit function.
5667 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
5668 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
5669 (mouse-yank-secondary): Use gui-get-selection.
5670 (mouse--down-1-maybe-follows-link): Use read-key.
5672 * xt-mouse.el: Add `event-kind' property on the fly from
5673 xterm-mouse-translate-1 rather than statically at the outset.
5675 2014-10-21 Daniel Colascione <dancol@dancol.org>
5677 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
5678 change window configuration when we turn it off.
5680 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5682 Get rid of backend-dependent selection-handling functions for kill/yank
5683 and make it generic instead by relying on the lower-level selection
5684 management functions.
5686 * select.el (select-enable-clipboard): Rename from
5687 gui-select-enable-clipboard.
5688 (select-enable-primary): Move from x-win.el and rename from
5689 x-select-enable-primary.
5690 (gui-last-selected-text): Remove.
5691 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
5693 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
5694 (gui-select-text-alist, gui-selection-value-alist): Remove.
5695 (x-select-request-type): Move from x-win.el.
5696 (gui--selection-value-internal): New function, taken from x-win's
5697 x-selection-value-internal.
5698 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
5699 (gui-set-selection-alist): Rename from gui-own-selection-alist and
5700 extend it to handle a nil value as a "disown" request.
5701 (gui-disown-selection-alist): Remove.
5702 (xselect-convert-to-delete): Adjust accordingly.
5703 (gui-set-selection): Simplify accordingly as well. Use dotimes.
5705 * term/x-win.el (x-last-selected-text-primary)
5706 (x-select-enable-primary): Remove (moved to select.el).
5707 (x-select-request-type): Move to select.el.
5708 (x-selection-value-internal, x--selection-value): Remove functions.
5709 (gui-selection-value, gui-select-text): Remove moethods.
5710 (gui-set-selection): Merge own and disown methods.
5712 * term/w32-win.el (w32--select-text, w32--get-selection-value):
5713 Delete function (move functionality into w32--set-selection and
5714 w32--get-selection).
5715 (gui-select-text, gui-selection-value): Don't define methods.
5716 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
5718 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
5720 (gui-selection-exists-p): Adjust to new name of C primitive.
5722 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
5723 test of gui-select-enable-clipboard, to make it usable as
5724 a gui-get-selection method.
5725 (gui-selection-exists-p): Adjust to new name of C primitive.
5726 (gui-set-selection): Merge own and disown methods.
5727 (gui-select-text, gui-selection-value): Delete methods.
5728 (w16--select-text): Delete function.
5730 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
5731 (ns-selection-value): Remove functions.
5732 (gui-select-text, gui-selection-value): Don't define method any more.
5733 (gui-set-selection): Merge the old own and disown methods.
5734 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
5735 underlying C primitive.
5737 * startup.el (command-line): Adjust now that `gui-method' expects nil
5740 * frame.el (gui-method): Use window-system rather than framep.
5741 (gui-method-declare): The tty case is now nil rather than t.
5742 (make-frame): Adjust accordingly.
5744 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5746 * net/newst-reader.el (newsticker--image-read): Simplify.
5747 (newsticker--icon-read): Use dolist and fix free var error.
5749 * imenu.el (imenu--menubar-keymap): New var.
5750 (imenu-add-to-menubar): Set it to remember the keymap we used.
5751 (imenu-update-menubar): Use it instead of asking lookup-key.
5753 * obsolete/cc-compat.el: Make obsolete (bug#18561).
5755 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
5757 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5760 2014-10-21 Glenn Morris <rgm@gnu.org>
5762 * Merge in all changes up to version 24.4 release.
5764 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5766 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5769 2014-10-20 Glenn Morris <rgm@gnu.org>
5771 * Merge in all changes up to 24.4 release.
5773 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
5775 * net/newst-backend.el
5776 (newsticker--image-download-by-url-callback): Make this function
5777 actually work: Check status properly, then save image.
5779 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5781 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
5783 (mouse-drag-line): Unless there's no actual mouse, use the event's
5786 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5788 * textmodes/css-mode.el (scss-mode): New major-mode.
5789 (css-mode-syntax-table): Use d style comment, to ease the scss case.
5790 (css-ident-re): Allow things like @-moz-keyframes.
5791 (scss--hash-re): New const.
5792 (css--font-lock-keywords): New function, extracted from
5793 css-font-lock-keywords.
5795 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
5797 * net/newst-backend.el: Require url-parse.
5798 (newsticker--get-news-by-wget): Store feed name as process property.
5799 (newsticker--sentinel): Read feed name from process property.
5800 (newsticker--sentinel-work): Rename argument name to feed-name.
5801 Rename variable imageurl to image-url. Pick icon url from Atom
5802 1.0 data. Launch download of feed icon.
5803 (newsticker--get-icon-url-atom-1.0): New.
5805 (newsticker--unxml-node)
5806 (newsticker--unxml-attribute): Documentation.
5807 (newsticker--icons-dir): New.
5808 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
5809 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
5810 (newsticker--image-download-by-wget): New. Use process properties
5811 for storing informations.
5812 (newsticker--image-sentinel): Read informations from process properties.
5813 (newsticker--image-save)
5814 (newsticker--image-remove)
5815 (newsticker--image-download-by-url)
5816 (newsticker--image-download-by-url-callback): New.
5817 (newsticker-opml-export): Handle url list entries containing a
5818 function instead of an url string.
5820 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
5821 (newsticker--print-extra-elements)
5822 (newsticker--do-print-extra-element):
5823 Documentation (newsticker--image-read): Optionally limit image height.
5824 Use imagemagick if possible.
5825 (newsticker--icon-read): New.
5827 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
5828 (newsticker--treeview-tree-expand): Use feed icons in treeview.
5829 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
5830 (newsticker--tree-widget-leaf-icon): Use feed icon.
5832 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
5834 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
5835 Use help-function-arglist instead.
5837 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
5838 (eieio--with-scoped-class): Use `declare'.
5839 (eieio-defclass): Remove compatibility code.
5840 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
5841 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
5843 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
5845 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
5847 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
5848 replacements to stock names before stock names in a list.
5849 Cdr may be a list, each name is tried in turn until one is found.
5851 2014-10-18 Alan Mackenzie <acm@muc.de>
5853 Check that a "macro" found near point-min isn't a ## operator.
5854 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
5855 (c-beginning-of-macro): Use the above new function. (Bug#18749)
5857 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
5859 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
5860 correct data to `gnutls-boot' (Bug#18664).
5861 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
5863 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
5865 * whitespace.el (whitespace-style, whitespace-big-indent)
5866 (whitespace-big-indent-regexp, whitespace-style-value-list)
5867 (whitespace-toggle-option-alist, whitespace-interactive-char)
5868 (whitespace-toggle-options)
5869 (global-whitespace-toggle-options, whitespace-help-text)
5870 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
5871 style to `whitespace-mode' to indicate that the line indentation
5872 is too deep. By default, 32 SPACEs or four TABs are considered
5873 too many but `whitespace-big-indent-regexp' can be configured.
5875 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
5877 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
5880 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
5882 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
5883 (defclass, defgeneric, defmethod): Add doc-string position.
5884 (with-slots): Require cl-lib.
5886 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
5887 (list-of): New type.
5888 (eieio--typep): Remove.
5889 (eieio-perform-slot-validation): Use cl-typep instead.
5891 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
5893 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
5895 2014-10-16 Alan Mackenzie <acm@muc.de>
5897 Trigger showing when point is in the "periphery" of a line or just
5899 * paren.el (show-paren-style, show-paren-delay)
5900 (show-paren-priority, show-paren-ring-bell-on-mismatch):
5901 Remove superfluous :group specifications.
5902 (show-paren-when-point-inside-paren)
5903 (show-paren-when-point-in-periphery): New customizable variables.
5904 (show-paren-highlight-openparen): Make into a defcustom.
5905 (show-paren--unescaped-p, show-paren--categorize-paren)
5906 (show-paren--locate-near-paren): New defuns.
5907 (show-paren--default): Refaactor and trigger on more paren
5909 (show-paren-function): Small consequential changes.
5911 2014-10-16 Tom Tromey <tom@tromey.com>
5913 * files.el (auto-mode-alist): Use javascript-mode for .jsm
5916 2014-10-16 Eli Zaretskii <eliz@gnu.org>
5918 * international/characters.el (bracket-type): Force pre-loading of
5921 2014-10-16 Alan Mackenzie <acm@muc.de>
5923 * cus-edit.el (custom-command-apply): Specify the return value in
5925 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
5926 custom-command-apply has returned non-nil.
5928 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
5930 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
5931 Remove incorrect handling of eldoc-print-after-edit.
5932 (eldoc-message-commands, eldoc-last-data): Use defvar.
5933 * loadup.el (emacs-lisp/eldoc): Load it.
5935 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
5937 (m4--quoted-p): New function.
5938 (m4-font-lock-keywords): Don't handle #..\n comments any more.
5939 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
5940 for most special characters.
5942 * progmodes/compile.el (compilation--previous-directory): Simplify.
5943 (compilation-next-error): Ensure the parse before we look at
5944 compilation-message property.
5946 2014-10-15 Eli Zaretskii <eliz@gnu.org>
5948 * simple.el (what-cursor-position):
5949 * descr-text.el (describe-char): Update to support the new bidi
5952 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
5953 Force bidi-paragraph-direction to 'left-to-right'. This fixes
5954 buffer-menu display when the first buffer happens to start with
5957 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
5959 * progmodes/elisp-mode.el (elisp--local-variables-1):
5960 Handle quoted expressions (bug#18688).
5962 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
5963 Michael Albinus <michael.albinus@gmx.de>
5965 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5966 Reduce the amount of set environment variable commands.
5968 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
5970 Fix import completion. (Bug#18582)
5971 * progmodes/python.el (python-shell-completion-get-completions):
5972 Fix import case regexp.
5974 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
5976 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
5977 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
5978 * progmodes/prolog.el (prolog-electric--underscore): Same.
5980 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
5982 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
5984 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
5986 * cus-start.el (all): Add missing ns and boolean to
5987 ns-use-fullscreen-animation.
5989 2014-10-11 Leo Liu <sdl.web@gmail.com>
5991 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
5993 (cfengine3-create-imenu-index): New function.
5994 (cfengine3-mode): Use it for `imenu-create-index-function'.
5995 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
5998 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
6000 * cus-start.el (all): Add ns-use-fullscreen-animation.
6002 2014-10-11 Glenn Morris <rgm@gnu.org>
6004 * calendar/diary-lib.el (diary-display-function):
6005 Drop support for deprecated nil and list forms.
6006 (diary-list-entries): Update for the above.
6007 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
6009 2014-10-10 Leo Liu <sdl.web@gmail.com>
6011 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
6014 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
6016 * select.el (gui-selection-exists-p-alist): New method.
6017 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
6018 * simple.el (deactivate-mark): Use it.
6019 * term/x-win.el (gui-selection-exists-p):
6020 * term/w32-win.el (gui-selection-exists-p):
6021 * term/pc-win.el (gui-selection-exists-p):
6022 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
6024 2014-10-10 Glenn Morris <rgm@gnu.org>
6026 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
6027 Fix :type. Allow t to mean no limit.
6028 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
6030 2014-10-09 Glenn Morris <rgm@gnu.org>
6032 * frame.el (display-monitor-attributes-list): Doc tweaks.
6034 2014-10-09 Eli Zaretskii <eliz@gnu.org>
6036 * faces.el (display-grayscale-p): Mention in the doc string that
6037 the argument can be either a display name or a frame.
6039 * frame.el (display-pixel-height, display-pixel-width)
6040 (display-mm-height, display-mm-width, display-backing-store)
6041 (display-save-under, display-planes, display-color-cells)
6042 (display-visual-class, display-monitor-attributes-list)
6043 (display-screens): Mention in the doc string that the argument can
6044 be either a display name or a frame. Improve the docs of the
6045 monitor attributes. (Bug#18636)
6047 2014-10-09 Martin Rudalics <rudalics@gmx.at>
6049 * term.el (term-window-width): Subtract 1 from the width when
6050 any fringe has zero width, not just the right fringe. (Bug#18601)
6052 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
6054 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
6056 2014-10-08 Leo Liu <sdl.web@gmail.com>
6058 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
6060 2014-10-08 Glenn Morris <rgm@gnu.org>
6062 * calendar/cal-x.el (calendar-dedicate-diary):
6063 Drop support for recently deleted aliases.
6065 2014-10-08 Leo Liu <sdl.web@gmail.com>
6067 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
6068 Always return a syntax. Replace call-process-shell-command with
6069 process-file. Ensure cfengine-mode-syntax-functions-regex is
6070 always set. Ensure cache when cfengine-cf-promises fails.
6073 2014-10-07 Glenn Morris <rgm@gnu.org>
6075 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
6077 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
6079 Sync with upstream verilog-mode revision c075a492.
6080 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
6081 (verilog-menu): Add AUTOINSERTLAST.
6082 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
6083 is nil, fix indenting initial/final to match always statements, bug825.
6084 Reported by Tim Clapp.
6085 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
6086 bug557. Reported by ZeDong Mao and Jason Forkey.
6087 (verilog-read-decls): Fix parsing typed interfaces.
6088 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
6089 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
6090 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
6091 Reported by Pierre-David Pfister.
6092 (verilog-auto-insert-lisp): Doc fix.
6093 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
6094 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
6095 (verilog-sk-ovm-class, verilog-sk-uvm-object)
6096 (verilog-sk-uvm-component): Fix missing string keyword in class
6097 skeletons, bug824. Reported by eldad faruhi.
6099 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
6101 * term/w32-win.el: Move all code from 32-common-fns.el here.
6102 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
6103 console as well (bug#18629).
6104 * w32-common-fns.el: Remove.
6105 * loadup.el: Don't load w32-common-fns.el.
6106 * w32-fns.elc: Don't require w32-common-fns.
6108 * icomplete.el: Move Iswitchb autoload here. Much simpler.
6109 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
6110 Remove redundant obsolescence thingy.
6111 * loadup.el: Don't load obsolete/loaddefs.el.
6112 * Makefile.in (obsolete-autoloads): Remove.
6113 (AUTOGENEL): Remove obsolete/loaddefs.el.
6115 2014-10-06 Glenn Morris <rgm@gnu.org>
6117 * Makefile.in (obsolete-autoloads): Write to a separate file,
6118 to workaround autoloads bug. (Bug#17407)
6119 (AUTOGENEL): Add obsolete/loaddefs.el.
6120 * loadup.el: Load obsolete/loaddefs.el if present.
6121 * subr.el (do-after-load-evaluation):
6122 Don't warn about obsolete/loaddefs.el.
6124 * menu-bar.el (menu-bar-games-menu): Remove landmark.
6125 It has zero relationship to a game.
6127 2014-10-06 Leo Liu <sdl.web@gmail.com>
6129 * imenu.el (imenu): Re-write for clarity.
6131 2014-10-06 Glenn Morris <rgm@gnu.org>
6133 Remove calendar code obsolete since at least version 23.1.
6134 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
6135 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
6136 (calendar-goto-bahai-date, list-bahai-diary-entries)
6137 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
6138 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
6139 (insert-yearly-bahai-diary-entry):
6140 * calendar/cal-china.el (chinese-calendar-time-zone)
6141 (chinese-calendar-location-name)
6142 (chinese-calendar-daylight-time-offset)
6143 (chinese-calendar-standard-time-zone-name)
6144 (chinese-calendar-daylight-time-zone-name)
6145 (chinese-calendar-daylight-savings-starts)
6146 (chinese-calendar-daylight-savings-ends)
6147 (chinese-calendar-daylight-savings-starts-time)
6148 (chinese-calendar-daylight-savings-ends-time)
6149 (chinese-calendar-celestial-stem)
6150 (chinese-calendar-terrestrial-branch)
6151 (calendar-absolute-from-chinese, calendar-print-chinese-date)
6152 (calendar-goto-chinese-date):
6153 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
6154 (calendar-print-coptic-date, coptic-prompt-for-date)
6155 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
6156 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
6157 * calendar/cal-french.el (calendar-absolute-from-french)
6158 (calendar-print-french-date, calendar-goto-french-date):
6159 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
6160 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
6161 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
6162 (holiday-rosh-hashanah-etc, holiday-hanukkah)
6163 (holiday-passover-etc, holiday-tisha-b-av-etc)
6164 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
6165 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
6166 (insert-monthly-hebrew-diary-entry)
6167 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
6168 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
6169 (diary-sabbath-candles):
6170 * calendar/cal-islam.el (calendar-absolute-from-islamic)
6171 (calendar-print-islamic-date, calendar-goto-islamic-date)
6172 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
6173 (mark-islamic-diary-entries, insert-islamic-diary-entry)
6174 (insert-monthly-islamic-diary-entry)
6175 (insert-yearly-islamic-diary-entry):
6176 * calendar/cal-iso.el (calendar-absolute-from-iso)
6177 (calendar-print-iso-date, calendar-iso-read-args)
6178 (calendar-goto-iso-date, calendar-goto-iso-week):
6179 * calendar/cal-julian.el (calendar-absolute-from-julian)
6180 (calendar-print-julian-date, calendar-goto-julian-date)
6181 (calendar-absolute-from-astro, calendar-print-astro-day-number)
6182 (calendar-goto-astro-day-number):
6183 * calendar/cal-mayan.el (calendar-print-mayan-date)
6184 (calendar-next-haab-date, calendar-previous-haab-date)
6185 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
6186 (calendar-next-calendar-round-date)
6187 (calendar-previous-calendar-round-date)
6188 (calendar-absolute-from-mayan-long-count)
6189 (calendar-goto-mayan-long-count-date):
6190 * calendar/cal-move.el (scroll-calendar-left)
6191 (scroll-calendar-right, scroll-calendar-left-three-months)
6192 (scroll-calendar-right-three-months):
6193 * calendar/cal-persia.el (calendar-absolute-from-persian)
6194 (calendar-print-persian-date, persian-prompt-for-date)
6195 (calendar-goto-persian-date):
6196 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
6197 * calendar/calendar.el (view-diary-entries-initially)
6198 (mark-diary-entries-in-calendar, calendar-today-face)
6199 (diary-face, holiday-face, view-calendar-holidays-initially)
6200 (mark-holidays-in-calendar, initial-calendar-window-hook)
6201 (today-visible-calendar-hook, today-invisible-calendar-hook)
6202 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
6203 (bahai-diary-entry-symbol, american-date-diary-pattern)
6204 (european-date-diary-pattern, european-calendar-display-form)
6205 (american-calendar-display-form, holidays-in-diary-buffer)
6206 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
6207 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
6208 (fancy-diary-buffer, increment-calendar-month)
6209 (extract-calendar-month, extract-calendar-day)
6210 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
6211 (mark-visible-calendar-date, calendar-version):
6212 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
6213 (diary-display-hook, list-diary-entries-hook)
6214 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
6215 (nongregorian-diary-marking-hook, print-diary-entries-hook)
6216 (abbreviated-calendar-year, number-of-diary-entries)
6217 (view-other-diary-entries, add-to-diary-list)
6218 (include-other-diary-files, simple-diary-display)
6219 (fancy-diary-display, print-diary-entries, mark-diary-entries)
6220 (mark-sexp-diary-entries, mark-included-diary-files)
6221 (mark-calendar-days-named, mark-calendar-month)
6222 (mark-calendar-date-pattern, sort-diary-entries)
6223 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
6224 (insert-weekly-diary-entry, insert-monthly-diary-entry)
6225 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
6226 (insert-block-diary-entry, insert-cyclic-diary-entry)
6227 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
6228 * calendar/holidays.el (general-holidays, oriental-holidays)
6229 (local-holidays, other-holidays, hebrew-holidays)
6230 (christian-holidays, islamic-holidays, bahai-holidays)
6231 (solar-holidays, list-calendar-holidays)
6232 (check-calendar-holidays, mark-calendar-holidays)
6233 (filter-visible-calendar-holidays):
6234 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
6235 (diary-phases-of-moon): Remove obsolete aliases.
6236 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
6237 * calendar/cal-x.el (calendar-one-frame-setup)
6238 (calendar-only-one-frame-setup, calendar-two-frame-setup):
6239 Remove obsolete functions.
6240 (cal-x-load-hook): Remove obsolete hook.
6241 * calendar/calendar.el (european-calendar-style):
6242 Remove obsolete variable.
6243 (calendar-date-style): No longer consult european-calendar-style.
6244 * calendar/calendar.el (european-calendar, american-calendar):
6245 Remove obsolete commands.
6246 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
6247 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
6248 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
6249 Use the face `diary' instead of the variable `diary-face'.
6250 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
6251 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
6252 * calendar/icalendar.el (icalendar--date-style): Remove function.
6253 Replace all uses with calendar-date-style.
6254 * textmodes/remember.el (calendar-date-style): Declare.
6255 (remember-diary-convert-entry):
6256 No longer consult european-calendar-style.
6258 2014-10-05 Leo Liu <sdl.web@gmail.com>
6260 * imenu.el (imenu-default-goto-function): Fix typo.
6262 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
6264 * net/ntlm.el (ntlm-build-auth-request):
6265 Add NTLM2 Session support. (Bug#15603)
6267 2014-10-04 Glenn Morris <rgm@gnu.org>
6269 * apropos.el (apropos-symbols-internal):
6270 Avoid error with non-symbol properties. (Bug#18337#16)
6272 * startup.el (command-line):
6273 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
6275 2014-10-04 Martin Rudalics <rudalics@gmx.at>
6277 * window.el (window-full-height-p): Make it behave correctly for
6279 (window-current-scroll-bars): Fix code.
6280 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
6282 * frame.el (frame-current-scroll-bars): Fix doc-string.
6283 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
6285 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
6287 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
6289 2014-10-04 Glenn Morris <rgm@gnu.org>
6291 * frame.el (frame-monitor-attributes)
6292 (display-monitor-attributes-list): Doc fixes.
6294 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
6296 Merge trivially safe differences from standalone CC-mode.
6297 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
6298 just to then pass it to `symbol-value'.
6299 (prog-mode): Provide fallback definition, if needed.
6300 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
6301 Remove "cl-" prefix accordingly.
6302 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
6303 characterp depending on the type of characters.
6304 (c-font-lock-enum-tail): Remove unused var `start'.
6305 * progmodes/cc-engine.el: Load CL at compile-time.
6306 (c-declare-lang-variables): Use mapcan.
6307 (c-append-to-state-cache): Remove unused var `ce+1'.
6308 (c-parse-state-state): Make buffer-local.
6309 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
6310 (c-just-after-func-arglist-p): Remove unused var `end'.
6311 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
6312 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
6313 (c-make-keywords-re): Use delete-dups.
6314 (c-get-current-file): Avoid file-name-base.
6315 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
6316 `close-paren-inserted'.
6317 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
6319 * progmodes/python.el: Avoid building unneeded markers.
6320 (python-font-lock-keywords, python-indent-dedent-line)
6321 (python-fill-paren, python-shell-completion-complete-or-indent):
6322 Prefer point over point-marker.
6323 (inferior-python-mode): Remove redundant completion settings.
6325 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
6327 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
6328 (vc-svn-ignore): Use it. (Bug#18619)
6330 2014-10-03 Martin Rudalics <rudalics@gmx.at>
6332 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
6333 In doc-string mention need to set `frame-resize-pixelwise'.
6335 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
6337 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
6338 similarly to Rogers's 2010-06-16 change for the remote case
6341 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
6343 New gui-selection-value consolidating x-selection-value.
6344 * select.el (gui-selection-value-alist): New method.
6345 (gui-selection-value): New function.
6346 (x-selection-value): Make it an obsolete alias.
6347 * simple.el (interprogram-paste-function): Default to
6348 gui-selection-value.
6349 * w32-common-fns.el (w32-get-selection-value): Simplify.
6350 (x-selection-value): Remove alias.
6351 (interprogram-paste-function): Don't set.
6352 (gui-selection-value): Define for w32.
6353 * term/x-win.el (gui-selection-value): Define for x.
6354 (x--selection-value): Rename from x--selection-value.
6355 (interprogram-paste-function): Don't set.
6356 * term/pc-win.el (w16-get-selection-value): Simplify.
6357 (msdos-initialize-window-system): Don't set
6358 interprogram-paste-function.
6359 (gui-selection-value): Define for pc.
6360 * term/ns-win.el (x-selection-value): Remove.
6361 (gui-selection-value): Define for ns, instead.
6362 * term/common-win.el (x-setup-function-keys): Don't set
6363 interprogram-paste-function.
6364 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
6365 Use gui-selection-value.
6367 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
6369 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
6371 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
6373 * obsolete/lucid.el (read-number): Remove, redundant.
6374 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
6377 2014-10-02 Glenn Morris <rgm@gnu.org>
6379 * emacs-lisp/package.el (package-import-keyring):
6380 Create gnupg directory private. (Bug#17625#155)
6382 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
6384 * progmodes/python.el (python-shell-completion-get-completions):
6385 Use python-shell--prompt-calculated-input-regexp from the
6386 process buffer (bug#18582).
6387 Don't assume that `line' comes from the process buffer.
6389 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
6391 * frame.el: Use lexical-binding (bug#18598).
6392 (make-frame): Use t rather than nil for tty's window-system.
6393 * startup.el (command-line): Use gui-method.
6395 Consolidate management/ownership of selections.
6396 * select.el (gui-get-selection-alist): New method.
6397 (gui-get-selection): Use it. Rename from x-get-selection.
6398 (x-get-selection): Define as obsolete alias.
6399 (x-get-clipboard): Mark obsolete.
6400 (gui-get-primary-selection): New function.
6401 (x-get-selection-value): Mark obsolete.
6402 (gui-own-selection-alist, gui-disown-selection-alist)
6403 (gui-selection-owner-p-alist): New methods.
6404 (gui-set-selection): Use them. Rename from x-set-selection.
6405 (x-set-selection): Define as obsolete alias.
6406 (gui--valid-simple-selection-p): Rename from
6407 x-valid-simple-selection-p.
6408 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
6409 (gui-selection-owner-p, gui-get-selection): Define for w32.
6410 (w32-get-selection-value): Rename from x-get-selection-value.
6411 Use the new gui-last-selected-text.
6412 * term/x-win.el (x-get-selection-value): Remove.
6413 (x-clipboard-yank): Declare obsolete.
6414 (gui-own-selection, gui-disown-selection, gui-get-selection)
6415 (gui-selection-owner-p): Define for x.
6416 * term/w32-win.el (w32-win-suspend-error): Rename from
6417 x-win-suspend-error.
6418 * term/pc-win.el (w16-get-selection-value): Rename from
6419 x-get-selection-value.
6420 (w16-selection-owner-p): Rename from x-selection-owner-p.
6421 (gui-own-selection, gui-disown-selection, gui-get-selection)
6422 (gui-selection-owner-p): Define for pc.
6423 (w16--select-text): New function.
6424 * term/ns-win.el (gui-own-selection, gui-disown-selection)
6425 (gui-get-selection, gui-selection-owner-p): Define for ns.
6426 * term.el (term-mouse-paste):
6427 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
6429 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
6431 * calc/calc-help.el (calc-describe-thing): Quote strings
6432 which could look like regexps.
6434 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
6436 Consolidate x-select-text.
6437 * frame.el (gui-method, gui-method-define, gui-method-declare)
6438 (gui-call): New macros.
6439 (gui-method--name): New function.
6440 (frame-creation-function-alist): Use gui-method-declare.
6441 (make-frame): Use gui-method.
6442 * select.el (gui-select-enable-clipboard): Rename from
6443 x-select-enable-clipboard and move here.
6444 (x-select-enable-clipboard): Define as obsolete alias.
6445 (gui-last-selected-text): New var, to replace x-last-selected-text.
6446 (gui-select-text): New GUI method.
6447 (gui-select-text): New function.
6448 (x-select-text): Define as obsolete alias.
6449 * term/common-win.el (x-select-enable-clipboard, x-select-text):
6451 * simple.el (interprogram-cut-function): Change default to
6453 (interprogram-paste-function): Change default to `ignore'.
6454 * w32-common-fns.el (interprogram-cut-function): Don't modify.
6455 * term/x-win.el (interprogram-cut-function): Don't modify.
6456 (gui-select-text): Add method for x.
6457 * term/w32-win.el (gui-select-text): Add method for w32.
6458 * term/pc-win.el (x-last-selected-text): Remove, use
6459 gui-last-selected-text instead.
6460 (msdos-initialize-window-system): Don't set interprogram-cut-function.
6461 (gui-select-text): Add method for pc.
6462 * term/ns-win.el (ns-last-selected-text): Remove, use
6463 gui-last-selected-text instead.
6464 (gui-select-text): Add method for ns.
6465 (x-setup-function-keys): Don't change interprogram-cut-function.
6466 * loadup.el ("startup"): Load after "frame".
6467 * subr.el (package--builtin-versions, package--description-file):
6468 Move from startup.el.
6469 * startup.el (package--builtin-versions, package--description-file):
6471 (handle-args-function-alist, window-system-initialization-alist):
6472 Use gui-method-declare.
6473 (command-line): Use gui-method.
6475 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
6477 * subr.el (alist-get): New accessor.
6478 * emacs-lisp/gv.el (alist-get): Provide expander.
6479 * winner.el (winner-remember):
6480 * tempo.el (tempo-use-tag-list):
6481 * progmodes/gud.el (minor-mode-map-alist):
6482 * international/mule-cmds.el (define-char-code-property):
6483 * frameset.el (frameset-filter-params):
6484 * files.el (dir-locals-set-class-variables):
6485 * register.el (get-register, set-register):
6486 * calc/calc-yank.el (calc-set-register): Use it.
6487 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
6488 * tooltip.el (tooltip-set-param): Mark as obsolete.
6489 (tooltip-show): Use alist-get instead.
6490 * ses.el (ses--alist-get): Remove. Use alist-get instead.
6492 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
6494 * net/newst-backend.el: Remove Time-stamp. Rename variable
6495 `newsticker--download-logos' to `newsticker-download-logos' and
6496 make it customizable.
6497 (newsticker--sentinel-work): Move xml-workarounds to function
6498 `newsticker--do-xml-workarounds', call unless libxml-parser is
6499 used. Allow single quote in regexp for encoding.
6500 Use libxml-parser if available, else fall back to `xml-parse-region'.
6501 Take care of possibly missing namespace prefixes (like "RDF"
6502 instead of "rdf:RDF") when checking xml nodes and attributes (as
6503 libxml correctly removes the prefixes). Always use Atom 1.0 as
6504 fallback feed type. Rename `newsticker--download-logos' to
6505 `newsticker-download-logos'
6506 (newsticker--unxml, newsticker--unxml-node)
6507 (newsticker--unxml-attribute): New.
6508 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
6509 HTML code has become part of the xml parse tree.
6510 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
6511 of possibly missing namespace prefixes.
6512 (newsticker--parse-generic-items): Code formatting. Typo.
6513 (newsticker--images-dir): Add trailing slash.
6514 (newsticker--image-get): Fix error message.
6516 * net/newst-plainview.el: Remove Time-stamp.
6518 * net/newst-reader.el: Remove Time-stamp.
6519 (newsticker-download-logos): Rename variable
6520 `newsticker--download-logos' to `newsticker-download-logos' and
6521 make it customizable.
6522 (newsticker--print-extra-elements): Add optional parameter
6523 'htmlish for using html markup. Amend list of ignored elements.
6524 (newsticker--do-print-extra-element): Add parameter 'htmlish for
6527 * net/newst-ticker.el: Remove Time-stamp.
6529 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
6530 for formatting extra elements.
6532 * net/newsticker.el: Remove Time-stamp, Version.
6533 (newsticker-version): Make obsolete.
6535 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
6537 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
6540 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
6542 * emacs-lisp/package.el (package-check-signature): Default to nil if
6543 GPG is not available.
6544 (package-refresh-contents): Don't mess with the keyring if we won't
6545 check the signatures anyway.
6547 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
6549 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
6550 (ses-center, ses-center-span): Use them.
6551 (ses-print-cell): Bind them while calling the printer.
6552 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
6553 (ses-dorange): Revert last change.
6554 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
6556 (ses-set-cell): Avoid `eval'.
6557 (ses--time-check): Rename it from ses-time-check and turn it into
6560 * ses.el (ses-setup): Don't assume modifying the iteration var of
6561 dotimes affects the iteration (bug#18191).
6563 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
6565 * ses.el (ses-calculate-cell): Bind row and col dynamically to
6566 their values with 'cl-progv'.
6567 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
6568 their values with 'cl-progv', also use non-interned symbols for
6569 row, minrow, maxrow, mincol and maxcol.
6570 (maxrow maxcol): New defvar, to make the compiler happy.
6572 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
6574 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
6575 completion functions.
6577 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
6579 * ses.el (ses--letref): Quote value before it gets re-evaluated.
6581 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
6583 Font-lock `cl-flet*', too.
6584 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
6585 Add "flet*" to intermediate var `cl-lib-kw'.
6587 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
6589 * epg-config.el (epg-gpg-program): Use the plain program names rather
6590 than their absolute file name.
6592 * subr.el (track-mouse): New macro.
6593 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
6594 Remove track-mouse case.
6595 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
6597 2014-09-27 Leo Liu <sdl.web@gmail.com>
6599 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
6601 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
6603 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
6605 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
6606 Handle the case where `match' is :pcase--succeed or :pcase--fail
6609 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
6610 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
6611 (eldoc-schedule-timer): Obey it.
6612 (eldoc-documentation-function): Default to nil.
6613 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
6614 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
6615 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
6616 (eldoc-last-data-store, eldoc-docstring-first-line)
6617 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
6618 (eldoc-beginning-of-sexp, eldoc-current-symbol)
6619 (eldoc-function-argstring): Move to elisp-mode.el.
6620 (eldoc-symbol-function): Remove, unused.
6621 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
6622 (elisp-completion-at-point): Rename from lisp-completion-at-point.
6623 (elisp--preceding-sexp): Rename from preceding-sexp.
6624 * loadup.el: Load new file progmodes/elisp-mode.
6625 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
6626 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
6627 (lisp--local-variables-completion-table, lisp--expect-function-p)
6628 (lisp--form-quoted-p, lisp--company-doc-buffer)
6629 (lisp--company-doc-string, lisp--company-location)
6630 (lisp-completion-at-point): Move to elisp-mode.el.
6631 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
6632 extracted from emacs-lisp-mode-syntax-table.
6633 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
6635 (lisp-imenu-generic-expression): Add comments to document what comes
6636 from which Lisp dialect.
6637 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
6638 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
6639 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
6640 (emacs-lisp-byte-code-comment)
6641 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
6642 (lisp-interaction-mode-map, lisp-interaction-mode)
6643 (eval-print-last-sexp, last-sexp-setup-props)
6644 (last-sexp-toggle-display, prin1-char, preceding-sexp)
6645 (eval-last-sexp-1, eval-last-sexp-print-value)
6646 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
6647 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
6649 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
6651 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
6652 Do not match file names that end in '/', as they cannot be 'grep'
6653 hits nowadays. This prevents confusion when 'grep -r' reports a
6654 match in a file whose basename is ':12345:'. Conversely, do not
6655 require exactly the same sequence of spaces and tabs after both
6656 colons, and allow spaces or tabs before the second colon, as per
6657 the POSIX spec for 'grep' output.
6659 2014-09-26 Leo Liu <sdl.web@gmail.com>
6661 Add cl-parse-integer based on parse-integer (Bug#18557)
6662 * calendar/parse-time.el (parse-time-digits): Remove.
6663 (digit-char-p, parse-integer) Moved to cl-lib.el.
6664 (parse-time-tokenize, parse-time-rules, parse-time-string):
6665 Use cl-parse-integer.
6667 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
6669 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
6670 (cl-digit-char-p): New function.
6672 2014-09-25 Juri Linkov <juri@jurta.org>
6674 * vc/add-log.el (change-log-next-buffer): Don't create an empty
6675 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
6676 Return the current buffer if no files match the default pattern
6677 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
6679 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
6681 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
6682 the global vc-handled-backends (bug#18535).
6684 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
6686 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
6687 Suggested by <lompik@voila.fr>.
6689 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
6691 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
6692 Rename from `newsticker--treeview-do-get-node'.
6693 (newsticker--treeview-get-node-by-id):
6694 Rename from `newsticker--treeview-get-node'.
6695 (newsticker--treeview-buffer-init)
6696 (newsticker--treeview-buffer-init): Disable buffer undo.
6697 (newsticker--treeview-unfold-node): Adapt to modified
6698 `newsticker--group-find-parent-group'.
6699 (newsticker--group-do-find-group):
6700 Rename from `newsticker--group-do-find-group-for-feed'.
6701 Now works for both, groups and feeds.
6702 (newsticker--group-find-parent-group):
6703 Rename from `newsticker--group-find-group-for-feed'.
6704 Now works for both, groups and feeds.
6705 (newsticker--group-do-get-parent-group)
6706 (newsticker--group-get-parent-group): Remove.
6707 (newsticker-group-add-group): Change interactive prompts.
6708 (newsticker-group-add-group): Finally jump to added group.
6709 (newsticker-group-delete-group): Finally jump to current feed.
6710 (newsticker--group-do-rename-group, newsticker-group-rename-group)
6711 (newsticker--get-group-names, newsticker--group-names): New.
6712 (newsticker-group-move-feed): Finally jump to moved feed.
6713 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
6714 (newsticker-group-shift-group-down)
6715 (newsticker-group-shift-group-up, newsticker--group-shift): New.
6716 (newsticker-treeview-mode-map): New keybindings for new shift commands.
6718 * net/newst-backend.el (newsticker--item-list)
6719 (newsticker--item-position, newsticker--prev-message)
6720 (newsticker--scrollable-text): Move to newst-ticker.el.
6722 * net/newst-ticker.el (newsticker--item-list)
6723 (newsticker--item-position, newsticker--prev-message)
6724 (newsticker--scrollable-text): Move from newst-backend.el.
6726 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
6728 * window.el (fit-window-to-buffer): When counting buffer width,
6729 count the whole visible buffer. Correctly convert the body-height
6730 to pixel size for window-text-pixel-size (Bug#18498).
6732 2014-09-22 Sam Steingold <sds@gnu.org>
6734 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
6735 (sql-execute): Use `special-mode'.
6737 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6739 Add pcase-defmacro, as well as `quote' and `app' patterns.
6740 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
6741 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
6742 (pcase--funcall, pcase--eval): New functions.
6743 (pcase--u1): Use them for guard, pred, let, and app.
6744 (\`): Use the new feature to generate better code for vector patterns.
6745 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
6746 (pcase--upat): Remove.
6747 (pcase--macroexpand): Don't hardcode handling of `.
6748 (pcase--split-consp, pcase--split-vector): Remove.
6749 (pcase--split-equal): Disregard ` since it's expanded away.
6750 (pcase--split-member): Optimize for quote rather than for `.
6751 (pcase--split-pred): Optimize for quote rather than for `.
6752 (pcase--u1): Remove handling of ` (and of `or' and `and').
6753 Quote non-selfquoting values when passing them to `eq'.
6754 Drop `app's let-binding if the variable is not used.
6755 (pcase--q1): Remove.
6756 (`): Define as a pattern macro.
6757 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
6758 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
6759 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
6761 (pcase--split-match): Don't hoist or/and here any more.
6762 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
6763 (pcase--flip): New helper macro.
6764 (pcase--u1): Optimize the memq case directly.
6765 Don't handle neither self-quoting nor and/or patterns any more.
6766 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
6767 (pcase--macroexpand): New function.
6768 (pcase--expand): Use it.
6769 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
6770 New optimization functions.
6771 (pcase--u1): Add support for `quote' and `app'.
6772 (pcase): Document them in the docstring.
6774 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6776 Use lexical-bindin in Ibuffer.
6777 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
6778 (ibuffer-compile-format): Simplify.
6779 (ibuffer-clear-summary-columns): Simplify.
6780 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
6781 elem of dotimes when we don't refer to the iteration var from it.
6782 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
6783 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
6784 Silence byte-compiler.
6786 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6788 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
6789 expression for a list.
6791 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
6792 for functions with no arguments.
6794 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
6795 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
6797 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
6799 * simple.el (clone-indirect-buffer): Mention the return value
6802 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
6803 Text mode in docstring (bug#18464).
6805 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
6807 * progmodes/perl-mode.el (perl-syntax-propertize-function):
6808 Accept underscores in identifiers after "sub" (bug#18502).
6810 2014-09-21 Tassilo Horn <tsdh@gnu.org>
6812 * textmodes/reftex-sel.el (reftex-select-label-mode)
6813 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
6814 from special-mode (instead of fundamental-mode) and propertize
6815 with font-lock-face instead of just face. (Bug#18496)
6817 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
6819 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
6821 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
6822 `table-etc' when `end' is non-nil.
6823 (lisp-completion-at-point): Move `end' back if it's after quote.
6824 If in comment or string, only complete when after backquote.
6826 (lisp-completion-at-point): Don't use
6827 `lisp--local-variables-completion-table' in the
6828 `lisp--form-quoted-p' case.
6830 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
6832 * emacs-lisp/lisp.el (lisp--expect-function-p)
6833 (lisp--form-quoted-p): New functions.
6834 (lisp-completion-at-point): Use them to see if we're completing a
6835 variable reference, a function name, or just any symbol.
6836 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
6838 2014-09-18 Ivan Kanis <ivan@kanis.fr>
6840 * net/shr.el, net/eww.el: Don't override `shr-width', but
6841 introduce a new variable `shr-internal-width'. This allows users
6842 to specify a width themselves.
6844 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
6846 * image-mode.el (image-toggle-display-image): If we have a
6847 `fit-width' or a `fit-height', don't limit the size of the image
6848 to the window size, because that doesn't preserve the aspect ratio.
6849 * image-mode.el: Move defvars earlier to avoid a byte-compilation
6852 2014-09-17 Reuben Thomas <rrt@sc3d.org>
6854 * progmodes/js.el: Add interpreter-mode-alist support for various
6855 JavaScript interpreters.
6857 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
6859 Don't assume 'grep' supports GREP_OPTIONS.
6860 The GREP_OPTIONS environment variable is planned to be marked
6861 obsolescent in GNU grep, due to problems in its use, so stop
6863 * progmodes/grep.el (grep-highlight-matches): Document this.
6864 (grep-process-setup): Do not set GREP_OPTIONS.
6865 (grep-compute-defaults): Use an explicit --color option if supported.
6867 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
6869 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
6870 Don't add outdated key-shortcut cache (bug#18482).
6872 2014-09-15 Glenn Morris <rgm@gnu.org>
6874 * image.el (image-multi-frame-p): Fix thinko - do not force
6875 a delay if none was specified. (Bug#18334)
6877 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
6879 * window.el (fit-window-to-buffer): Doc fix.
6881 2014-09-15 Ivan Shmakov <ivan@siamics.net>
6883 * desktop.el (desktop-create-buffer): Check that buffers are still live
6884 before burying them (bug#18373).
6886 2014-09-15 Glenn Morris <rgm@gnu.org>
6888 * calendar/diary-lib.el (diary-list-entries):
6889 Restore 24.3 display behavior. (Bug#18381)
6891 2014-09-15 Eli Zaretskii <eliz@gnu.org>
6893 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
6894 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
6895 discrepancy between window-pixel-edges and mouse events, and
6896 avoids moving mode line up when the mouse click is on the modeline
6897 and no drag is attempted.
6899 2014-09-14 Daniel Colascione <dancol@dancol.org>
6901 * register.el (insert-register): Change default interactive
6904 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
6906 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
6907 Suppress debug messages.
6909 * net/tramp.el (tramp-file-name-handler):
6910 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
6913 2014-09-13 Christopher Schmidt <ch@ristopher.com>
6915 * calendar/calendar.el (calendar-update-mode-line):
6916 Do not overwrite mode-line-format if calendar-mode-line-format is
6919 2014-09-13 Leo Liu <sdl.web@gmail.com>
6921 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
6922 (pcase--expand): Use it.
6923 (pcase-exhaustive): New macro. (Bug#16567)
6925 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
6926 Add pcase-exhaustive.
6928 2014-09-13 Eli Zaretskii <eliz@gnu.org>
6930 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
6931 using the specified transfer-encoding, if any, or 'undecided'.
6932 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
6933 broken at the window margin.
6935 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
6937 Support rendering of HTML parts in Rmail (bug#4258).
6938 * mail/rmailmm.el (rmail-mime-process): Handle text/html
6939 separately from other text/ types. Suppress tagline for
6941 (rmail-mime-parse): Don't change visibility of tagline here.
6942 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
6943 Handle text/html specially.
6944 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
6945 (rmail-mime-insert-html, rmail-mime-render-html-shr)
6946 (rmail-mime-render-html-lynx): New functions.
6947 (rmail-mime-fix-inserted-faces): New function.
6948 (rmail-mime-process-multipart): Find the best part to show
6949 following rmail-mime-prefer-html if set.
6950 (rmail-mime-searching): New variable.
6951 (rmail-search-mime-message): Bind rmail-mime-searching to
6952 suppress rendering while searching.
6954 2014-09-12 Sam Steingold <sds@gnu.org>
6956 * progmodes/sql.el (sql-product-alist): Add vertica.
6957 (sql-vertica-program, sql-vertica-options)
6958 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
6959 New functions and variables to support Vertica.
6960 Inspired by code by Roman Scherer <roman@burningswell.com>.
6962 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
6964 * ses.el (ses-file-format-extend-parameter-list): Rename from
6965 ses-file-format-extend-paramter-list, to correct a misspelling.
6968 2014-09-10 Alan Mackenzie <acm@muc.de>
6970 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
6971 gets loaded at run-time).
6972 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
6973 c-lang-const to c-make-no-parens-syntax-table and correct the
6975 (c-no-parens-syntax-table): Correct the logic of the
6978 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
6980 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
6982 * progmodes/cc-mode.el (c-basic-common-init):
6983 Set open-paren-in-column-0-is-defun-start.
6984 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
6985 Remove declarations, unused.
6986 (run-mode-hooks): Remove declaration.
6987 (font-lock-defaults): Use plain `defvar' to declare.
6988 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
6989 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
6990 (c-make-mode-syntax-table): Don't micro-optimize.
6991 (c-keywords, c-keyword-member-alist): Simplify.
6992 (c-kwds-lang-consts): Don't eval at compile-time.
6993 (c-primary-expr-regexp): Comment out unused vars.
6994 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
6995 (c-font-byte-compile): New var.
6996 (c--compile): New function. Use it instead of `byte-compile'.
6997 (c-cpp-matchers): Quote the value returned by
6998 `c-make-syntactic-matcher' in case it's not self-evaluating.
6999 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
7000 parentheses instead (in case MATCHER happens to be a list).
7001 (c-font-lock-enum-tail): Remove unused var `start'.
7002 (c-font-lock-objc-methods): Silence byte-compiler warnings.
7003 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
7004 test into an argument.
7005 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
7006 (c-get-char-property): Don't use `eval' just to unquote a constant.
7007 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
7009 (c-put-char-property-fun): Don't call `byte-compile' by hand.
7010 (c-clear-char-property, c-clear-char-properties): Check that `property'
7011 is a quoted constant.
7012 (c-emacs-features): Remove `infodock', `syntax-properties', and
7013 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
7014 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
7015 changing buffer, so we don't have to setq them again afterwards.
7016 (c-lang-const): Remove redundant symbolp assertions.
7017 (c-find-assignment-for-mode): Use `or'.
7018 * Makefile.in (compile-one-process): Remove cc-mode dependency.
7020 2014-09-09 Sam Steingold <sds@gnu.org>
7022 * progmodes/sql.el (sql-default-directory): Fix type annotation.
7024 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
7026 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
7027 Change doc comments into docstrings.
7028 * Makefile.in: Remove cc-awk dependency.
7030 2014-09-08 Sam Steingold <sds@gnu.org>
7032 * progmodes/sql.el (sql-send-line-and-next): New command,
7034 (sql-show-sqli-buffer): Display the buffer instead of its name and
7035 bind the command to C-c C-z.
7036 (sql-default-directory): New user option.
7037 (sql-product-interactive): Bind `default-directory' to it to
7038 enable remote connections using Tramp.
7039 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
7040 suitable buffer is available.
7042 2014-09-08 Glenn Morris <rgm@gnu.org>
7044 * calendar/calendar.el (calendar-basic-setup):
7045 Fix calendar-view-holidays-initially-flag and fancy display.
7046 * calendar/diary-lib.el (diary-live-p): Doc fix.
7048 * calendar/calendar.el (calendar-basic-setup):
7049 Avoid clobbering calendar with diary. (Bug#18381)
7051 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
7053 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
7055 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
7057 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
7058 as well (bug#18400).
7060 2014-09-08 Eli Zaretskii <eliz@gnu.org>
7062 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
7064 2014-09-06 Leo Liu <sdl.web@gmail.com>
7066 * emacs-lisp/pcase.el (pcase): Doc fix.
7067 (pcase--split-vector): New function.
7068 (pcase--q1): Support vector qpattern. (Bug#18327)
7070 2014-09-05 Sam Steingold <sds@gnu.org>
7072 * textmodes/tex-mode.el (tex-print-file-extension): New user
7074 (tex-print): Use it instead of the hard-coded string.
7076 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
7078 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
7079 Expand `default-directory'.
7081 2014-09-05 Martin Rudalics <rudalics@gmx.at>
7083 * scroll-bar.el (horizontal-scroll-bars-available-p):
7085 (horizontal-scroll-bar-mode): Rewrite using
7086 horizontal-scroll-bars-available-p.
7087 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
7088 horizontal-scroll-bars-available-p.
7090 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
7092 * subr.el (call-process-shell-command, process-file-shell-command):
7093 Make the `args' obsolete (bug#18409).
7094 (start-process-shell-command, start-file-process-shell-command):
7097 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
7099 * calc/calc-forms.el (math-normalize-hms): Do a better check for
7100 "negative" hms forms.
7102 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
7104 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
7105 returns nil (bug#18391).
7107 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
7109 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
7110 terminating paren (bug#18352).
7111 (eldoc-last-data-store): Return cached data.
7112 (eldoc-get-var-docstring): Avoid setq.
7113 (eldoc-get-fnsym-args-string): Clarify data flow.
7115 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
7117 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
7118 case where we're currently providing part of the &rest arg after some
7119 &key args, as in define-ibuffer-op (bug#18048).
7121 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
7123 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
7124 buffer-local setting of which-func-mode.
7125 (which-func-mode): Use defvar-local.
7126 (which-function-mode): Don't reset which-func-mode in each buffer since
7127 it might have been set by someone else.
7128 (which-func-update-ediff-windows): Check which-function-mode.
7130 2014-09-03 Martin Rudalics <rudalics@gmx.at>
7132 * frame.el (frame-initialize): Remove horizontal-scroll-bars
7133 from frame-initial-frame-alist.
7134 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
7135 (horizontal-scroll-bar-mode-explicit)
7136 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
7137 (toggle-horizontal-scroll-bar): Remove.
7138 (horizontal-scroll-bar-mode): Remove defcustom.
7139 (horizontal-scroll-bar-mode): Fix doc-string.
7140 (scroll-bar-toolkit-scroll)
7141 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
7143 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
7145 * emacs-lisp/package.el (package-generate-description-file):
7146 Properly quote the arguments (bug#18332). Change second arg.
7147 (package--alist-to-plist-args): Rename from package--alist-to-plist and
7149 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
7150 the *-pkg.el file. Adjust to new calling convention of
7151 package-generate-description-file.
7153 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
7154 (gud-gdb-completions): Remove obsolete workaround.
7156 2014-09-03 Eli Zaretskii <eliz@gnu.org>
7158 * subr.el (posn-col-row): Revert the change from commit
7159 2010-11-13T21:07:58Z!eliz@gnu.org, which
7160 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
7161 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
7162 introduced an off-by-one error in the reported row when there is a
7163 header line. (Bug#18384)
7165 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
7167 * progmodes/python.el (python-indent-post-self-insert-function):
7168 Avoid electric colon at beginning-of-defun. (Bug#18228)
7170 2014-09-03 Glenn Morris <rgm@gnu.org>
7172 * tutorial.el (tutorial--display-changes):
7173 Fix 2014-08-01 change. (Bug#18382)
7175 2014-09-03 Ken Brown <kbrown@cornell.edu>
7177 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
7178 the Cygwin-w32 build. (Bug#18347)
7180 2014-09-03 Glenn Morris <rgm@gnu.org>
7182 * tar-mode.el (tar--extract, tar-extract):
7183 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
7185 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
7187 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
7188 handle multiline elements (bug#18380).
7190 2014-09-01 Eli Zaretskii <eliz@gnu.org>
7192 * ls-lisp.el (ls-lisp-use-string-collate)
7193 (ls-lisp-UCA-like-collation): New defcustoms.
7194 (ls-lisp-string-lessp): Use them to control sorting by file
7196 (ls-lisp-version-lessp): New function.
7197 (ls-lisp-handle-switches): Use it to implement the -v switch of
7199 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
7201 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
7203 * ibuffer.el: Replace mode-specific quit function with
7204 `quit-window' via `special-mode'.
7205 (ibuffer-mode-map): Use keybindings from special-mode-map instead
7207 (ibuffer): Don't store previous windows configuration.
7208 Let `quit-window' handle restoring.
7209 (ibuffer-quit): Remove function. Use `quit-window' instead.
7210 (ibuffer-restore-window-config-on-quit): Remove variable.
7211 (ibuffer-prev-window-config): Remove variable.
7213 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
7215 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
7216 name instead of variable name in hook docstring. (Bug#18349)
7218 2014-08-29 Martin Rudalics <rudalics@gmx.at>
7220 * window.el (display-buffer-at-bottom): Prefer bottom-left
7221 window to other bottom windows. Reuse a bottom window if it
7222 shows the buffer already. Suggested by Juri Linkov
7223 <juri@jurta.org> in discussion of (Bug#18181).
7225 2014-08-29 Leo Liu <sdl.web@gmail.com>
7227 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
7228 append to minibuffer-setup-hook. (Bug#18341)
7230 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
7232 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
7234 (lookup-syntax-properties): Silence byte-compiler.
7235 (c-lang-defconst): Quote the code with `lambda' rather than with
7237 (c-lang-const): Avoid unneeded setq.
7238 (c-lang-constants-under-evaluation): Add docstring.
7239 (c-lang--novalue): New constant.
7240 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
7241 (c-get-lang-constant): Same here.
7242 Get the mode's value using `funcall' now that the code is quoted
7245 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
7247 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
7250 2014-08-28 Martin Rudalics <rudalics@gmx.at>
7252 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
7253 interpretation of `portion-whole'.
7255 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
7257 * net/tramp-adb.el: Spell author name correctly.
7259 2014-08-28 João Távora <joaotavora@gmail.com>
7261 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
7262 use url-expand-file-name. (Bug#18310)
7264 2014-08-28 Glenn Morris <rgm@gnu.org>
7266 * emulation/cua-rect.el (cua--highlight-rectangle):
7267 Avoid error at point-min. (Bug#18309)
7269 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
7271 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
7272 executable-find (bug#18244).
7274 * simple.el (self-insert-uses-region-functions): Defvar.
7276 2014-08-28 Glenn Morris <rgm@gnu.org>
7278 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
7280 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
7282 * startup.el (normal-top-level): Now use internal--top-level-message.
7284 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
7286 * startup.el (normal-top-level): Use top-level-message.
7288 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
7290 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
7291 URLs containing spaces and the like.
7293 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
7295 * subr.el (remq): Fix docstring (Bug#18253).
7297 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
7299 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
7301 2014-08-24 Alan Mackenzie <acm@muc.de>
7303 Handle C++11's "auto" and "decltype" constructions.
7304 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
7305 and return 'decltype.
7306 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
7307 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
7309 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
7311 (c-font-lock-c++-new): Handle "decltype" constructions.
7312 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
7313 New c-lang-defconsts/defvars.
7314 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
7315 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
7316 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
7317 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
7319 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
7320 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
7321 off from c->-op-cont-re.
7322 (c->-op-cont-tokens): Change to use the above.
7323 (c->-op-without->-cont-regexp): New lang-const.
7324 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
7325 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
7328 2014-08-23 Alan Mackenzie <acm@muc.de>
7330 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
7331 loop, bug #18306. The bug was introduced on 2014-08-02.
7333 2014-08-21 Eli Zaretskii <eliz@gnu.org>
7335 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
7336 Don't recognize a Top node if there are other sectioning commands
7337 earlier in the Texinfo file. This fixes a bug in
7338 texinfo-make-menu and avoids inflooping in
7339 texinfo-all-menus-update when they are invoked on texinfo.texi.
7341 2014-08-21 Martin Rudalics <rudalics@gmx.at>
7343 * window.el (window--side-window-p): New function.
7344 (split-window, window-splittable-p): Use window--side-window-p to
7345 determine whether WINDOW can be split (Bug#18304).
7346 * calendar/calendar.el (calendar-basic-setup): Fix one call of
7347 `window-splittable-p' and add another (Bug#18304).
7349 2014-08-20 Sam Steingold <sds@gnu.org>
7351 * progmodes/python.el (python-new-pythonpath): Extract from
7352 `python-shell-calculate-process-environment'.
7354 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
7356 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
7357 for &key args (bug#18048).
7359 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
7361 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
7362 (eldoc-function-argstring-format): Remove.
7363 (eldoc-function-argstring): Always return upcase args.
7364 Use help-make-usage. Don't add parens.
7365 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
7366 it's too late to do it right (bug#18048).
7368 2014-08-18 Eli Zaretskii <eliz@gnu.org>
7370 * scroll-bar.el (scroll-bar-horizontal-drag-1)
7371 (scroll-bar-toolkit-horizontal-scroll): When determining the
7372 paragraph direction, use the buffer of the window designated in
7375 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
7377 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
7378 context of unified diff.
7380 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
7382 Add dependencies to fix loaddefs race during parallel builds.
7383 Without this, for example, 'make -j bootstrap' can fail and report
7384 "Opening input file: no such file or directory,
7385 .../lisp/calendar/diary-loaddefs.el ... recipe for target
7386 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
7387 got confused because diary-loaddefs.el was being built in parallel.
7388 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
7389 Depend on $(CAL_DIR)/cal-loaddefs.el.
7390 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
7392 2014-08-16 Martin Rudalics <rudalics@gmx.at>
7394 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
7395 portion-whole for scrolling right-to-left text.
7397 2014-08-15 Leo Liu <sdl.web@gmail.com>
7399 * speedbar.el (speedbar-generic-list-tag-p): Allow special
7400 elements from imenu.
7402 2014-08-15 Glenn Morris <rgm@gnu.org>
7404 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
7406 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
7408 * progmodes/compile.el (compilation-error-regexp-alist-alist):
7409 Add Guile regexpses.
7411 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
7413 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
7414 add Guile debugger support for GUD.
7416 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
7418 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
7419 (mouse-sel--ignore): New function.
7420 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
7421 (mouse-sel-original-interprogram-cut-function)
7422 (mouse-sel-original-interprogram-paste-function): Remove.
7424 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
7426 * vc/vc-git.el (vc-git-resolve-when-done): New function.
7427 Call "git add" when there are no longer conflict markers.
7429 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7431 * vc/vc-git.el (vc-git-find-file-hook): New function.
7432 Adds support for calling smerge (and resolve) on a conflicted file.
7433 (vc-git-conflicted-files): New function.
7434 Useful in itself and a step towards better smerge support.
7436 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
7438 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
7439 to the first part if they're the same as the selection.
7441 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
7443 * image-mode.el (image-transform-reset): New command and menu item.
7444 (image-mode-map): Rearrange the menu items to put presumably more
7445 obscure items at the end.
7447 2014-08-12 Juri Linkov <juri@jurta.org>
7449 * vc/vc-annotate.el (vc-annotate-background-mode):
7450 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
7452 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
7454 * files.el (out-of-memory-warning-percentage): Turn it off by default.
7456 2014-08-11 Sam Steingold <sds@gnu.org>
7458 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
7459 the presence of known validators (tidy, (o)nsgmls).
7461 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
7463 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
7464 * net/newst-treeview.el (newsticker-treeview-date-format): New.
7465 (newsticker--treeview-list-add-item):
7466 Use `newsticker-treeview-date-format'.
7468 2014-08-11 Glenn Morris <rgm@gnu.org>
7470 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
7471 chose coding system for writing before backing up, since it causes
7472 a more serious problem than the one it solves. (Closes Bug#18141,
7475 2014-08-11 Martin Rudalics <rudalics@gmx.at>
7477 * window.el (window-total-size): Make doc-string more self-contained.
7479 * window.el (display-buffer-below-selected): Restore original
7480 behavior if buffer is already displayed in the window below the
7481 selected one (Bug#18181).
7483 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
7485 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
7488 2014-08-11 Eli Zaretskii <eliz@gnu.org>
7490 * info.el (info): Doc fix.
7492 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
7494 * info.el (Info-mode-map): Override a global down-mouse-2 binding
7497 2014-08-11 Eli Zaretskii <eliz@gnu.org>
7499 * simple.el (default-line-height): A floating-point value of
7500 line-spacing means a fraction of the default frame font's height,
7501 not of the font currently used by the 'default' face.
7502 Truncate the pixel value, like the display engine does.
7503 (window-screen-lines): Use window-inside-pixel-edges for
7504 determining the window height in pixels. (Bug#18195)
7506 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
7508 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
7510 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
7512 Enumerate evaluated sexp diary entries (Bug#7911).
7513 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
7514 (icalendar-export-sexp-enumeration-days): New.
7515 (icalendar-export-region): Now `icalendar--convert-to-ical'
7516 returns a cons cell or a list of cons cells.
7517 (icalendar--convert-to-ical): Take care of
7518 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
7519 (icalendar--convert-ordinary-to-ical)
7520 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
7521 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
7522 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
7523 (icalendar--convert-anniversary-to-ical): Return cons cell.
7524 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
7525 entries. Return (list of) cons cells.
7527 2014-08-09 Juri Linkov <juri@jurta.org>
7529 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
7530 to reevaluate `vc-annotate-color-map'. (Bug#18189)
7532 2014-08-09 Alan Mackenzie <acm@muc.de>
7534 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
7535 for top-level that can cause unacceptable slow-down in scrolling.
7536 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
7537 Antipov from 2013-10-14 in emacs-devel.
7539 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7541 * ibuffer.el (ibuffer-mode-map): Use toggle button for
7542 `ibuffer-auto-mode' menu entry.
7543 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
7545 2014-08-08 Matthias Meulien <orontee@gmail.com>
7547 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
7550 2014-08-07 Martin Rudalics <rudalics@gmx.at>
7552 * window.el (window--min-size-1): Explicitly set WINDOW arg in
7553 calls of window-min-pixel-height and window-min-pixel-width.
7555 2014-08-07 Reuben Thomas <rrt@sc3d.org>
7557 * progmodes/ada-mode.el:
7558 * net/tramp.el (tramp-handle-file-symlink-p):
7559 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
7560 about VMS, which we no longer support.
7561 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
7562 and fix a FIXME, using convert-standard-filename in place of
7563 removed ada-convert-file-name.
7565 2014-08-07 Eli Zaretskii <eliz@gnu.org>
7567 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
7569 2014-08-07 Reuben Thomas <rrt@sc3d.org>
7571 Refer to MS-DOS using the same name everywhere.
7572 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
7573 ``msdog'' become ``MS-DOS''.
7575 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
7577 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
7578 Use cached "remote-copy-args" value, if available. (Bug#18199)
7580 2014-08-07 Leo Liu <sdl.web@gmail.com>
7582 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
7583 Revert change on 2014-03-22.
7585 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
7587 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
7588 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
7592 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
7594 * image-mode.el (image-toggle-display-image): Always rescale images
7595 to not be bigger than the current window.
7597 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
7599 * net/eww.el (eww-bookmarks-directory): New variable.
7600 (eww-write-bookmarks): Use it.
7601 (eww-read-bookmarks): Ditto.
7603 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
7605 * net/shr.el (shr-copy-url): Also copy the image URL.
7607 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
7609 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
7610 also for Tramp working buffers.
7612 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
7614 * progmodes/python.el: Fix completions inside (i)pdb.
7615 (python-shell-completion-pdb-string-code): Make obsolete.
7616 (python-shell-completion-get-completions):
7617 Use python-shell-completion-string-code resending setup code
7618 continuously for (i)pdb.
7620 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
7622 * rect.el (rectangle--default-line-number-format): Rename
7623 from misspelled rectange--default-line-number-format (Bug#18045).
7626 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
7628 Don't mishandle year-9999 dates (Bug#18176).
7629 * calendar/parse-time.el (parse-time-rules):
7630 Allow years up to most-positive-fixnum.
7631 * calendar/time-date.el (date-to-time):
7632 Pass "Specified time is not representable" errors through.
7634 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
7636 * progmodes/python.el: Completion code cleanups.
7637 (python-shell-completion-get-completions): Detect and send import
7638 statements directly to completion function.
7639 (python-shell-completion-at-point): Simplify prompt calculation
7640 and import vs input completion logic.
7642 2014-08-02 Alan Mackenzie <acm@muc.de>
7644 Fix confusion in C++ file caused by comma in "= {1,2},".
7646 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
7647 for a statement boundary marked by "}", check there's no "="
7649 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
7650 non-nil `comma-delim' argument.
7651 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
7652 initializer expression more accurately.
7654 Correct loop termination condition in c-syntactic-skip-backward.
7655 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
7656 the situation where, after moving back out of a literal,
7657 skip-chars-backward doesn't move further, yet checks have still to
7660 2014-08-01 Eli Zaretskii <eliz@gnu.org>
7662 * tutorial.el (tutorial--display-changes): Accept punctuation
7663 characters before the key binding. (Bug#18146)
7665 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
7667 * progmodes/python.el: Shell output capture enhancements.
7668 (python-shell-accept-process-output): New function.
7669 (inferior-python-mode)
7670 (python-shell-send-setup-code): Use it.
7672 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
7674 * calendar/icalendar.el (icalendar--decode-isodatetime):
7675 Use actual current-time-zone when converting to local time. (Bug#15408)
7677 2014-07-29 Martin Rudalics <rudalics@gmx.at>
7679 * window.el (window--state-put-2): Handle horizontal scroll
7682 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7684 * menu-bar.el (menu-bar-update-buffers): Update item list format
7685 in `buffers-menu' to confirm with changes to `get_keyelt'
7686 (r117463). (Bug#18016)
7688 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7690 * progmodes/python.el (inferior-python-mode): Make input prompts
7693 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
7695 * net/tramp-sh.el (tramp-get-remote-python): Also search for
7696 executables named "python2" or "python3".
7697 (tramp-get-remote-uid-with-python): Use parentheses around
7698 arguments to `print' to make it compatible with Python 3.
7699 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
7701 2014-07-28 Eli Zaretskii <eliz@gnu.org>
7703 * window.el (window--pixel-to-total): Use FRAME's root window, not
7704 that of the selected frame. (Bug#18112, Bug#16674)
7706 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
7708 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
7711 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7713 * progmodes/python.el (inferior-python-mode): Doc fix.
7715 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7717 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
7718 not a character, ignore it instead of raising an error.
7720 * calendar/todo-mode.el: Fix handling of marked items and make
7721 minor code improvements.
7722 (todo-edit-item): If there are marked items, ensure user can only
7723 invoke editing commands that work with marked items.
7724 (todo-edit-item--text): When there are marked items, make it a
7725 noop if invoked with point not on an item; otherwise, ensure it
7726 applies only to item at point.
7727 (todo-item-undone): If there are marked not-done items, return
7728 point to its original position before signaling user error.
7729 (todo--user-error-if-marked-done-item): New function.
7730 (todo-edit-item--header, todo-edit-item--diary-inclusion)
7731 (todo-item-done): Use it.
7733 2014-07-28 Glenn Morris <rgm@gnu.org>
7735 * files.el (toggle-read-only): Re-add basic doc-string.
7736 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
7738 * progmodes/prolog.el (prolog-mode-keybindings-edit):
7739 Replace missing `switch-to-prolog' with `run-prolog'.
7740 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
7742 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7744 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
7745 of file-wide setting when changing category-wide setting.
7747 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7749 * doc-view.el (doc-view-open-text): Don't require that the
7750 document is saved in a file (e.g., email attachment).
7752 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7754 Parse completion input in a iPython friendly way. (Bug#18084)
7755 * progmodes/python.el
7756 (python-shell-completion-at-point): Rename from
7757 python-shell-completion-complete-at-point.
7758 (inferior-python-mode): Use it.
7759 (python-completion-at-point): Rename from
7760 python-completion-complete-at-point. Parse input up to first
7761 backward occurrence of whitespace, open-paren, close-paren or
7763 (python-mode): Use it.
7765 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7767 * progmodes/python.el
7768 (python-shell-with-shell-buffer): New macro.
7769 (python-shell-font-lock-get-or-create-buffer)
7770 (python-shell-font-lock-kill-buffer)
7771 (python-shell-font-lock-with-font-lock-buffer)
7772 (python-shell-font-lock-cleanup-buffer)
7773 (python-shell-font-lock-toggle): Use it.
7774 (python-shell-font-lock-turn-on)
7775 (python-shell-font-lock-turn-off): Use it. Make command.
7777 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7779 Grab all Python process output before inferior-python-mode hooks.
7780 * progmodes/python.el (inferior-python-mode):
7781 Call accept-process-output and sit-for to ensure all output for process
7782 has been received before running hooks.
7783 (python-shell-internal-get-or-create-process):
7784 Cleanup accept-process-output and sit-for calls.
7786 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7788 More robust shell startup and code setup.
7789 * progmodes/python.el (python-shell-make-comint):
7790 Remove accept-process-output call.
7791 (python-shell-get-buffer): Return current buffer if major-mode is
7792 inferior-python-mode.
7793 (python-shell-get-or-create-process): Use it.
7794 (python-shell-send-setup-code): Send all setup code in one string,
7795 output success message and accept-process-output.
7797 2014-07-27 Eli Zaretskii <eliz@gnu.org>
7799 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
7800 Add rudimentary support for bidirectional text.
7802 2014-07-27 Martin Rudalics <rudalics@gmx.at>
7804 * frame.el (frame-notice-user-settings): Rewrite using
7805 frame-initial-frame-tool-bar-height.
7806 * menu-bar.el (menu-bar-horizontal-scroll-bar)
7807 (menu-bar-no-horizontal-scroll-bar): New functions.
7808 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
7810 * scroll-bar.el (scroll-bar-lines)
7811 (set-horizontal-scroll-bar-mode)
7812 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
7813 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
7814 (scroll-bar-toolkit-horizontal-scroll): New functions.
7815 (horizontal-scroll-bar-mode)
7816 (previous-horizontal-scroll-bar-mode)
7817 (horizontal-scroll-bar-mode-explicit): New variables.
7818 (horizontal-scroll-bar-mode): New option.
7819 (toggle-horizontal-scroll-bar): Do something.
7820 (top-level): Bind horizontal-scroll-bar mouse-1.
7821 * startup.el (tool-bar-originally-present): Remove variable.
7822 (command-line): Don't set tool-bar-originally-present.
7823 * window.el (window-min-height): Update doc-string.
7824 (window--dump-frame): Dump horizontal scroll bar values.
7825 (window--min-size-1): Handle minibuffer window separately.
7826 Count in margins and horizontal scroll bar. Return safe value
7827 iff IGNORE equals 'safe.
7828 (frame-windows-min-size): New function (used by frame resizing
7830 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
7832 (window--sanitize-window-sizes): New function.
7833 (window-split-min-size): Remove.
7834 (split-window): Count divider-width. Don't use
7835 `window-split-min-size' any more. Reword error messages.
7836 Sanitize windows sizes after splitting.
7838 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
7840 Use `defvar-local' more.
7841 * progmodes/hideshow.el
7842 (hs-c-start-regexp, hs-block-start-regexp)
7843 (hs-block-start-mdata-select, hs-block-end-regexp)
7844 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
7845 remove corresponding `make-variable-buffer-local' top-level calls.
7847 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7849 Cleanup error signals. (Bug#18067)
7850 * progmodes/python.el
7851 (python-indent-shift-left): Use user-error instead.
7852 (python-shell-prompt-detect): Use lwarn with python group.
7853 (python-completion-complete-at-point)
7854 (python-eldoc--get-doc-at-point): Don't signal error.
7856 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7858 Support for packages in Python shell. (Bug#13570)
7859 * progmodes/python.el (python-shell--package-depth): New var.
7860 (python-shell-package-enable): New command.
7861 (python-util-list-directories, python-util-list-files)
7862 (python-util-list-packages): New functions.
7864 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7866 Faster comint output. (Bug#16875)
7867 * progmodes/python.el:
7868 (python-comint-output-filter-function): Make obsolete.
7869 (python-comint-postoutput-scroll-to-bottom): New function.
7870 (inferior-python-mode): Set comint-output-filter-functions to a
7873 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7875 * progmodes/python.el (python-shell-font-lock-post-command-hook):
7876 Safeguard current point and undo history.
7878 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
7880 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
7881 * progmodes/python.el:
7882 (python-shell-prompt-input-regexps): Add iPython block prompt.
7883 (python-shell-output-syntax-table): Delete var.
7884 (python-shell-font-lock-with-font-lock-buffer): New macro.
7885 (python-shell-font-lock-get-or-create-buffer)
7886 (python-shell-font-lock-kill-buffer)
7887 (python-shell-font-lock-cleanup-buffer)
7888 (python-shell-font-lock-post-command-hook)
7889 (python-shell-font-lock-turn-off): New functions.
7890 (python-shell-font-lock-turn-on): New function.
7891 (inferior-python-mode): Use it.
7892 (python-shell-font-lock-toggle): New command.
7893 (python-shell-font-lock-enable): Rename from
7894 python-shell-enable-font-lock.
7895 (run-python-internal): Use it.
7896 (python-shell-font-lock-comint-output-filter-function): New function.
7897 (python-shell-comint-end-of-output-p): New function.
7898 (python-shell-output-filter): Use it.
7899 (python-util-comint-last-prompt): New function.
7900 (python-util-text-properties-replace-name): New function.
7902 2014-07-25 Glenn Morris <rgm@gnu.org>
7904 * vc/ediff-init.el (ediff-toggle-read-only-function):
7905 * vc/ediff-util.el (ediff-toggle-read-only):
7906 Replace obsolete toggle-read-only with read-only-mode.
7908 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
7910 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
7911 with `save-match-data'. (Bug#18095)
7913 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
7915 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
7916 order to ensure that row and col are lexically bound inside the
7919 2014-07-21 Glenn Morris <rgm@gnu.org>
7921 * progmodes/hideif.el (hide-ifdef-mode-submap):
7922 Also substitute read-only-mode.
7923 * bindings.el (mode-line-toggle-read-only):
7924 * bs.el (bs-toggle-readonly):
7925 * buff-menu.el (Buffer-menu-toggle-read-only):
7926 * dired.el (dired-toggle-read-only):
7927 * files.el (view-read-only, find-file-read-only)
7928 (find-file-read-only-other-window)
7929 (find-file-read-only-other-frame):
7930 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
7931 Doc fixes re toggle-read-only.
7933 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7935 * progmodes/python.el: Add comment about pipe buffering and
7936 solutions for missing/delayed output in inferior Python shells.
7939 * progmodes/python.el (python-mode): Don't set
7940 mode-require-final-newline. (Bug#17990)
7942 Make python.el work with IPython automatically. (Bug#15510)
7943 * progmodes/python.el:
7944 (python-shell-completion-setup-code): New value supporting iPython.
7945 (python-shell-completion-string-code): New value supporting iPython.
7946 (python-shell-completion-get-completions): Use them.
7947 (python-shell-completion-module-string-code): Make obsolete.
7948 (python-shell-prompt-input-regexps)
7949 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
7950 (python-shell-output-filter): Fix comment typo.
7952 Fix Python shell prompts detection for remote hosts.
7953 * progmodes/python.el (python-shell-prompt-detect):
7954 Replace call-process with process-file and make it more robust.
7956 Autodetect Python shell prompts. (Bug#17370)
7957 * progmodes/python.el:
7958 (python-shell-interpreter-interactive-arg)
7959 (python-shell-prompt-detect-enabled)
7960 (python-shell-prompt-detect-failure-warning)
7961 (python-shell-prompt-input-regexps)
7962 (python-shell-prompt-output-regexps): New vars.
7963 (python-shell-prompt-calculated-input-regexp)
7964 (python-shell-prompt-calculated-output-regexp): New vars.
7965 (python-shell-get-process-name)
7966 (python-shell-internal-get-process-name)
7967 (python-shell-output-filter)
7968 (python-shell-completion-get-completions): Use them.
7969 (python-shell-prompt-detect)
7970 (python-shell-prompt-validate-regexps): New functions.
7971 (python-shell-prompt-set-calculated-regexps): New function.
7972 (inferior-python-mode): Use it. Also honor overriden
7973 python-shell-interpreter and python-shell-interpreter-args.
7974 (python-shell-make-comint): Honor overriden
7975 python-shell-interpreter and python-shell-interpreter-args.
7976 (python-shell-get-or-create-process): Make it testable by allowing
7977 to call run-python non-interactively.
7978 (python-util-valid-regexp-p): New function.
7979 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
7980 (python-shell-prompt-output-regexp)
7981 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
7983 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
7985 * emacs-lisp/smie.el (smie-config--guess-1): Split from
7987 (smie-config--guess): Use it.
7989 * emacs-lisp/edebug.el: Use nadvice.
7990 (edebug-original-read): Remove.
7991 (edebug--read): Rename from edebug-read and add `orig' arg.
7992 (edebug-uninstall-read-eval-functions)
7993 (edebug-install-read-eval-functions): Use nadvice.
7994 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
7995 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
7996 (edebug-read-string, edebug-read-function): Use just `read'.
7997 (edebug-original-debug-on-entry): Remove.
7998 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
8000 (debug-on-entry): Override with nadvice.
8002 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
8003 it also makes sense to bind it to a non-mouse event.
8005 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
8007 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
8009 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
8012 * rect.el (rectangle--string-preview): Don't assume there
8013 a non-nil default (bug#17984).
8015 2014-07-16 Glenn Morris <rgm@gnu.org>
8017 * desktop.el (after-init-hook): Disable startup frame restoration
8018 in non-graphical situations. (Bug#17693)
8020 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
8021 if it was "empty", or used for a different set of files. (Bug#17884)
8023 2014-07-16 Eli Zaretskii <eliz@gnu.org>
8025 * bindings.el (mode-line-remote): If default-directory is not a
8026 string, don't call file-remote-p on it; instead state in the
8027 help-echo that it is nil. (Bug#17986)
8029 2014-07-14 Daniel Colascione <dancol@dancol.org>
8031 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
8032 to `macroexpand-all'
8034 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
8035 Use `macroexpand-all' instead of `cl-macroexpand-all'.
8037 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
8039 Fix bug: C-x v v discarded existing log message (Bug#17884).
8040 * vc/vc-dispatcher.el (vc-log-edit):
8041 Don't clobber an already-existing log message.
8043 2014-07-12 Glenn Morris <rgm@gnu.org>
8045 * vc/log-edit.el (log-edit-changelog-entries):
8046 Check for a visited-but-never-saved ChangeLog.
8048 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
8050 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
8051 a non-existing file (bug#17970).
8053 * faces.el (face-name): Undo last change.
8054 (x-resolve-font-name): Don't call face-name (bug#17956).
8056 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
8058 Fix dedenters and electric colon handling. (Bug#15163)
8059 * progmodes/python.el
8060 (python-rx-constituents): Add dedenter and block-ender.
8061 (python-indent-dedenters, python-indent-block-enders): Delete.
8062 (python-indent-context): Return new case for dedenter-statement.
8063 (python-indent-calculate-indentation): Handle new case.
8064 (python-indent-calculate-levels): Fix levels calculation for
8065 dedenter statements.
8066 (python-indent-post-self-insert-function): Fix colon handling.
8067 (python-info-dedenter-opening-block-message): New function.
8068 (python-indent-line): Use it.
8069 (python-info-closing-block)
8070 (python-info-closing-block-message): Remove.
8071 (python-info-dedenter-opening-block-position)
8072 (python-info-dedenter-opening-block-positions)
8073 (python-info-dedenter-statement-p): New functions.
8075 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
8077 * files.el (out-of-memory-warning-percentage): New defcustom.
8078 (warn-maybe-out-of-memory): Use it.
8080 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
8082 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
8083 when calling `read-string'. (Bug#17839)
8085 2014-07-10 Eli Zaretskii <eliz@gnu.org>
8087 * files.el (warn-maybe-out-of-memory): Fix the wording of the
8090 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
8092 * files.el (warn-maybe-out-of-memory): New function.
8093 (find-file-noselect): Use it.
8095 2014-07-09 Sam Steingold <sds@gnu.org>
8097 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
8098 `constant' like `bless', `return' &c
8100 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8102 * rect.el (apply-on-rectangle): Check forward-line really moved to the
8105 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8107 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
8108 the middle of a line (bug#17896).
8110 2014-07-09 Juri Linkov <juri@jurta.org>
8112 * startup.el (command-line): Append displaying the warning about
8113 the errors in the init file to the end of `after-init-hook'.
8116 * faces.el (face-name): Return input arg `face' as-is
8117 when it's not a symbol.
8118 (x-resolve-font-name): Don't check if the face is a symbol.
8121 * facemenu.el (list-colors-print): In help-echo format use %.2f
8122 instead of %d because now HSV values are floating-point components
8123 between 0.0 and 1.0.
8125 2014-07-09 Glenn Morris <rgm@gnu.org>
8127 * emulation/cua-rect.el (cua--activate-rectangle):
8128 Avoid setting cua--rectangle to nil. (Bug#17877)
8130 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
8132 * calendar/todo-mode.el: Fix wrong-type-argument error when
8133 marking multiple consecutive items.
8134 (todo-toggle-mark-item): Don't try to mark the empty lines at the
8135 end of the todo and done items sections. Note in doc string that
8136 items marked by passing a numeric prefix argument can include the
8137 last todo and first done items.
8138 (todo-mark-category): Don't try to mark the empty line between the
8139 todo and done items sections.
8141 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8143 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
8144 proper Lisp quoting (bug#17934).
8146 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
8147 require-final-newline since prog-mode already took care of it (bug#17947).
8149 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
8151 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
8152 refer to the Todo mode Info manual. Update the comment on
8154 (todo-find-filtered-items-file): Add todo-prefix overlays.
8155 (todo-filter-items): Reorder a let-bound variable to avoid a
8156 wrong-type-argument error on canceling the file choice dialog.
8158 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8160 * progmodes/octave.el (inferior-octave-mode):
8161 Set comint-input-ring-size to a number (bug#17912).
8163 2014-07-09 Juri Linkov <juri@jurta.org>
8165 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
8166 and `isearch-mode' associated with nil. (Bug#17849)
8168 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
8170 * linum.el (linum--face-height): New function (bug#17813).
8171 (linum-update-window): Use it to adjust margin to linum's width.
8173 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
8174 * eshell/em-smart.el (eshell-smart-scroll-window):
8175 Use with-selected-window.
8177 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
8178 Remove also pointless window&mark manipulation.
8180 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
8181 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
8182 (perl-continuation-line-p): Don't skip over anything else than labels.
8183 Return the previous char.
8184 (perl-calculate-indent): Use syntax-ppss instead of parse-start
8185 and update callers accordingly. For continuation lines, check the
8186 the case of array hashes.
8187 (perl-backward-to-noncomment): Make it non-interactive.
8188 (perl-backward-to-start-of-continued-exp): Rewrite.
8190 2014-07-08 Sam Steingold <sds@gnu.org>
8192 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
8195 2014-07-08 Juri Linkov <juri@jurta.org>
8197 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
8198 (vc-annotate-color-map): Use less saturated colors (20%) for
8200 (vc-annotate-very-old-color): Add default value for background-mode.
8201 (vc-annotate-background): Set default value to nil since now text on
8202 the default backgrounds should be legible in light and dark modes.
8203 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
8206 2014-07-08 Juri Linkov <juri@jurta.org>
8208 * simple.el (transpose-chars): Don't move point into read-only area.
8211 2014-07-08 Juri Linkov <juri@jurta.org>
8213 * window.el (with-displayed-buffer-window): New macro.
8214 (with-temp-buffer-window, with-current-buffer-window):
8215 Use `macroexp-let2' to evaluate and bind variables
8216 in the same order as macro arguments.
8217 (display-buffer--action-function-custom-type):
8218 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
8220 * minibuffer.el (minibuffer-completion-help): Replace
8221 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
8222 with actions that display *Completions* at-bottom when called
8223 from the minibuffer, or below-selected in a normal buffer.
8224 Associate `window-height' with `fit-window-to-buffer'.
8225 Let-bind `pop-up-windows' to nil.
8227 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
8228 instead of `with-current-buffer-window'. (Bug#17809)
8230 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
8232 * progmodes/hideif.el (hide-ifdef-env): Change to global.
8233 (hide-ifdef-env-backup): New variable.
8234 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
8235 New customizable variables.
8236 (hif-clear-all-ifdef-defined): New defun.
8237 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
8238 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
8239 (hif-tokenize): Fix for MS-DOS/Win EOL style.
8240 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
8241 Fix bug to hide the correct #elif region(s).
8242 (hif-range-elif): New defun.
8243 (hif-recurse-level): New var.
8244 (hif-evaluate-region, hif-evaluate-macro): New defun.
8245 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
8247 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
8250 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
8252 * net/dbus.el (dbus-peer-handler): New defun.
8253 (dbus-register-service): Register it. (Bug#17858)
8254 (dbus-managed-objects-handler): Fix docstring.
8256 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
8258 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
8259 (narrow-to-defun): New arg include-comments, defaulting to it
8262 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8264 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
8265 different calling convention to rectangle--unhighlight-for-redisplay.
8267 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
8269 * net/tramp.el (tramp-call-process): Handle error strings.
8271 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
8273 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
8274 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
8276 * net/trampver.el: Update release number.
8278 2014-07-03 Juri Linkov <juri@jurta.org>
8280 * desktop.el (desktop-save): Rename arg `auto-save' to
8281 `only-if-changed'. Doc fix. (Bug#17873)
8283 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8285 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
8286 Use insert-for-yank (bug#17271).
8288 2014-07-03 Leo Liu <sdl.web@gmail.com>
8290 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
8291 Support lexical-binding.
8293 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8295 * vc/log-edit.el (log-edit-goto-eoh): New function.
8296 (log-edit--match-first-line): Use it (bug#17861).
8298 2014-07-03 Glenn Morris <rgm@gnu.org>
8300 * vc/log-edit.el (log-edit-hook): Add missing :version.
8302 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
8304 * progmodes/python.el (python-indent-post-self-insert-function):
8305 Enhancements to electric indentation behavior inside
8308 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8310 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
8311 buffer-invisibility-spec (bug#17867).
8313 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
8315 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
8318 2014-07-03 Glenn Morris <rgm@gnu.org>
8320 * cus-edit.el (help):
8321 * finder.el (finder-known-keywords):
8322 * help.el (help-for-help-internal):
8323 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
8324 (ediff-redraw-registry-buffer):
8325 * vc/ediff-ptch.el (ediff-patch-file-internal):
8326 Doc fixes re "online" help. (Bug#17803)
8328 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
8329 (idlwave-mode): Doc URL update.
8331 2014-07-01 Juri Linkov <juri@jurta.org>
8333 * man.el: Display man pages immediately and use process-filter
8334 to format them asynchronously.
8335 (Man-width): Doc fix.
8337 (Man-start-calling): Use `with-selected-window' to get
8338 `frame-width' and `window-width'.
8339 (Man-getpage-in-background): Call `Man-notify-when-ready'
8340 immediately after creating a new buffer. Call `Man-mode' and set
8341 `mode-line-process' in the created buffer. Set process-filter to
8342 `Man-bgproc-filter' in start-process branch. In call-process branch
8343 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
8344 Use `Man-start-calling' inside `with-current-buffer'.
8345 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
8346 (Man-cleanup-manpage): Don't print messages.
8347 (Man-bgproc-filter): New function.
8348 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
8349 user moved it during asynchronous formatting. Move calls of
8350 `Man-fontify-manpage' and `Man-cleanup-manpage' to
8351 `Man-bgproc-filter'. Move the call of `Man-mode' to
8352 `Man-getpage-in-background'. Use `quit-restore-window'
8353 instead of `kill-buffer'. Use `message' instead of `error'
8354 because errors are caught by process sentinel.
8355 (Man-mode): Move calls of `Man-build-page-list',
8356 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
8357 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
8359 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
8360 for the message about the man page cleaned up.
8362 2014-07-01 Mario Lang <mlang@delysid.org>
8364 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
8365 cosutomization option `gnutls-verify-error'.
8367 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
8369 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
8370 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
8372 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
8373 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
8374 is suspended (bug#17857).
8376 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
8378 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
8379 Prefer utf-8 coding. (Bug#17859)
8381 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
8383 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
8386 2014-06-30 Glenn Morris <rgm@gnu.org>
8388 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
8389 (autoload-ensure-default-file): Maybe make existing output writable.
8390 * Makefile.in (AUTOGEN_VCS): Remove.
8391 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
8393 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
8395 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
8397 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
8399 New if-let, when-let, thread-first and thread-last macros.
8401 * emacs-lisp/subr-x.el
8402 (internal--listify, internal--check-binding)
8403 (internal--build-binding-value-form, internal--build-binding)
8404 (internal--build-bindings): New functions.
8405 (internal--thread-argument, thread-first, thread-last)
8406 (if-let, when-let): New macros.
8408 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
8410 * net/rcirc.el (rcirc-buffer-process): Restore previous
8411 behaviour. (Bug#17772)
8413 2014-06-29 Alan Mackenzie <acm@muc.de>
8415 Don't call c-parse-state when c++-template-syntax-table is active.
8416 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
8417 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
8418 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
8420 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
8422 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
8423 account for file-wide setting of todo-top-priorities-overrides.
8424 Make code a bit cleaner.
8426 2014-06-28 Glenn Morris <rgm@gnu.org>
8428 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
8430 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
8432 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
8433 category-wide setting of todo-top-priorities-overrides, check for
8434 a file-wide setting and fontify accordingly.
8436 2014-06-28 Glenn Morris <rgm@gnu.org>
8438 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
8440 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
8442 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
8444 2014-06-28 K. Handa <handa@gnu.org>
8448 * composite.el: Setup composition-function-table for dotted circle.
8449 (compose-gstring-for-dotted-circle): New function.
8451 * international/characters.el: Add category "^" to all
8452 non-spacing characters.
8454 2014-06-28 Glenn Morris <rgm@gnu.org>
8456 * Makefile.in (doit): Remove force rule.
8457 (custom-deps, finder-data, autoloads, update-subdirs)
8458 (compile-one-process): PHONY targets do not need force rules.
8460 * Makefile.in (compile-main, compile, compile-always):
8461 No need to explicitly pass variables to ourself in recursive calls.
8463 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
8465 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
8467 2014-06-26 Glenn Morris <rgm@gnu.org>
8469 * Makefile.in (update-authors): Update for moved authors.el.
8471 2014-06-26 Leo Liu <sdl.web@gmail.com>
8473 * skeleton.el (skeleton-end-hook): Default to nil and move the
8474 work to skeleton-insert. (Bug#17850)
8476 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
8478 * calc/calc-alg.el (math-beforep):
8479 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
8480 Simplify because string-lessp can accept symbols as args.
8482 2014-06-26 Daiki Ueno <ueno@gnu.org>
8484 * emacs-lisp/package.el (package--check-signature):
8485 If package-check-signature is allow-unsigned, don't signal error when
8486 we can't verify signature because of missing public key
8489 2014-06-26 Glenn Morris <rgm@gnu.org>
8491 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
8492 Remove outdated declaration.
8494 * emacs-lisp/authors.el (authors-valid-file-names)
8495 (authors-renamed-files-alist): Additions.
8497 2014-06-26 Leo Liu <sdl.web@gmail.com>
8499 * textmodes/picture.el (picture-set-tab-stops):
8500 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
8501 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
8503 * progmodes/asm-mode.el (asm-calculate-indentation):
8504 Use indent-next-tab-stop.
8506 * indent.el (indent-accumulate-tab-stops): New function.
8508 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
8510 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
8511 (package-desc-status): Obey it.
8513 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
8515 * calendar/todo-mode.el: Fix two bugs.
8516 (todo-insert-item--basic): If user cancels item insertion to
8517 another category before setting priority, show original category
8518 whether it is in the same or a different file.
8519 (todo-set-item-priority): After selecting category, instead of
8520 moving point to top, which extends an active region, restore it.
8522 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
8524 * help-fns.el (describe-function-1): Check file-name is a string before
8525 calling help-fns--autoloaded-p (bug#17564).
8527 2014-06-26 Juri Linkov <juri@jurta.org>
8529 * desktop.el (desktop-auto-save-enable)
8530 (desktop-auto-save-disable): New functions.
8531 (desktop-save-mode, desktop-auto-save-timeout): Use them.
8532 (desktop-read): Disable the autosave before loading the desktop,
8533 and enable afterwards. (Bug#17351)
8535 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
8537 Fix some indentation problem with \; and pipes (bug#17842).
8538 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
8539 (sh-smie--default-forward-token, sh-smie--default-backward-token):
8541 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
8542 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
8543 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
8545 2014-06-26 Glenn Morris <rgm@gnu.org>
8547 * emacs-lisp/find-func.el (find-function-C-source-directory):
8548 Use file-accessible-directory-p.
8550 * ps-samp.el: Make it slightly less awful.
8551 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
8552 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
8553 Only set local values.
8554 (ps-article-subject, ps-article-author): Use standard functions
8555 like mail-fetch-field.
8556 (ps-info-file, ps-info-node): Use match-string.
8557 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
8558 (ps-samp-ps-setup): ... new function.
8560 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
8561 Optimize away code unneeded on any modern Emacs.
8563 * emacs-lisp/authors.el: Move to ../admin.
8565 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
8567 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
8569 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
8570 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
8571 performance enhancements.
8572 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
8574 (hif-factor, hif-string-concatenation, intern-safe): Support string
8575 concatenation and argumented macro expansion.
8576 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
8577 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
8578 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
8579 (hif-canonicalize-tokens, hif-place-macro-invocation)
8580 (hif-parse-macro-arglist): Mostly new functions for supporting
8581 argumented macro expansion.
8582 (hif-string-concatenation, hif-stringify, hif-token-concat)
8583 (hif-token-stringification, hif-token-concatenation):
8584 Stringification and concatenation.
8585 (hif-find-next-relevant): Fix comments.
8586 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
8587 some cases involving #elif.
8588 (hif-find-define, hif-add-new-defines): New functions for automatically
8589 scanning of defined symbols.
8590 (hide-ifdef-guts): Fix for defined symbol auto scanning.
8591 (hide-ifdef-undef): Fix behavior to match CPP.
8593 2014-06-25 Glenn Morris <rgm@gnu.org>
8595 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
8596 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
8597 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
8598 files. They are not relevant to the original issue (bug#1004),
8599 and cause unnecessary recompilation (bug#2151).
8601 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
8603 * play/landmark.el: Use lexical-binding and avoid `intangible'.
8604 (landmark--last-pos): New var.
8605 (landmark--intangible-chars): New const.
8606 (landmark--intangible): New function.
8607 (landmark-mode, landmark-move): Use it.
8608 (landmark-mode): Remove properties.
8609 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
8610 (landmark-cross-qtuple):
8611 Don't worry about `intangible' any more.
8612 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
8613 (landmark-init-display): Don't set `intangible' and `point-entered'.
8614 (square): Remove. Inline it instead.
8615 (landmark--distance): Rename from `distance'.
8616 (landmark-calc-distance-of-robot-from): Rename from
8617 calc-distance-of-robot-from.
8618 (landmark-calc-smell-internal): Rename from calc-smell-internal.
8620 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
8622 * files.el (dir-locals-find-file, file-relative-name):
8623 * info.el (Info-complete-menu-item):
8624 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
8625 to compare-strings to avoid out-of-range errors.
8626 * subr.el (string-prefix-p): Adjust to match strict range
8627 checking in compare-strings.
8629 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
8631 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
8632 for comment lines non-greedy and stopping at newlines to fix stack
8633 overflows with large files.
8635 2014-06-24 Eli Barzilay <eli@barzilay.org>
8637 * calculator.el (calculator-last-input): Drop 'ascii-character property
8640 2014-06-24 Leo Liu <sdl.web@gmail.com>
8642 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
8643 tab-stop-list to nil. (Bug#16381)
8645 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
8646 (indent-rigidly-left-to-tab-stop)
8647 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
8648 (move-to-tab-stop): Change callers.
8650 2014-06-24 Eli Zaretskii <eliz@gnu.org>
8652 * skeleton.el (skeleton-insert): Yet another fix of the doc string
8653 wrt behavior of \n as the first/last element of a skeleton.
8655 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
8657 * net/tramp-adb.el (tramp-adb-handle-process-file):
8658 * net/tramp-sh.el (tramp-sh-handle-process-file):
8659 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
8660 the output buffer when DISPLAY is non-nil. (Bug#17815)
8662 2014-06-24 Glenn Morris <rgm@gnu.org>
8664 * play/landmark.el (landmark-move-down, landmark-move-up):
8665 Fix 2007-10-20 change - preserve horizontal position.
8667 2014-06-23 Sam Steingold <sds@gnu.org>
8669 * simple.el (kill-append): Remove undo boundary depending on ...
8670 (kill-append-merge-undo): New user option.
8672 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
8674 * simple.el (handle-shift-selection, exchange-point-and-mark)
8675 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
8676 (transient-mark-mode): Use&set the global value.
8677 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
8678 * emulation/edt.el (edt-emulation-off): Save&restore the global
8679 transient-mark-mode setting.
8680 * obsolete/pc-select.el (pc-selection-mode): Use the
8681 transient-mark-mode function.
8683 2014-06-23 Eli Zaretskii <eliz@gnu.org>
8685 * international/fontset.el (script-representative-chars):
8686 Add representative characters for scripts added in Unicode 7.0.
8687 (otf-script-alist): Synchronize with the latest registry of OTF
8690 * international/characters.el (char-script-table): Update for
8691 scripts added and codepoint ranges changed in Unicode 7.0.
8693 2014-06-23 Eli Barzilay <eli@barzilay.org>
8695 * calculator.el (calculator-standard-displayer): Fix bug in use of
8696 `calculator-groupize-number'.
8697 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
8698 `eval' code, so it works in v24.3.1 too.
8699 (calculator-last-input): Comment to clarify purpose.
8701 2014-06-22 Mario Lang <mlang@delysid.org>
8703 * textmodes/rst.el (rst-comment-region): From from -> from.
8705 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
8707 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
8709 * electric.el (electric-layout-post-self-insert-function):
8710 * emacs-lisp/ert.el (ert--insert-infos):
8711 * obsolete/vi.el (vi-set-mark):
8712 * term.el (term-handle-scroll):
8713 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
8714 * wid-edit.el (widget-editable-list-value-create):
8715 Prefer point-marker to copy-marker of point.
8717 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8719 Fix completion retrieval parsing (bug#17209).
8720 * progmodes/python.el (python-mode):
8721 (python-util-strip-string): New function.
8722 (python-shell-completion-get-completions): Use it.
8724 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8726 * skeleton.el (skeleton-insert): Fix last change.
8728 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8730 Enhancements for outline integration (bug#17796).
8731 * progmodes/python.el (python-mode): Properly set
8732 outline-heading-end-regexp so that comments after colons for
8733 defuns are supported.
8735 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8737 * skeleton.el (skeleton-insert): Doc fix.
8739 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8741 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
8742 (smie-config-guess): Use smie-config-local so the rules are obeyed
8745 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
8746 since it's already done inside the loop (bug#17819).
8748 2014-06-21 Martin Rudalics <rudalics@gmx.at>
8750 * mouse.el (mouse-drag-line): Re-remove code initially removed
8751 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
8754 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8756 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
8757 align with the surrounding parent (bug#17721).
8759 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8761 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
8763 (texinfo-insert-block, texinfo-insert-@end)
8764 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
8765 local setting of skeleton-end-newline by adding an explicit \n to
8766 the skeletons where appropriate. (Bug#17801)
8768 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8770 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
8771 (smie-indent--hanging-p): Use it.
8772 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
8774 2014-06-21 Leo Liu <sdl.web@gmail.com>
8776 * simple.el (read-quoted-char): Don't let help chars pop up help
8779 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8781 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
8784 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
8785 Drop unknown events instead of burping.
8787 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8789 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
8790 and later. (Bug#17790)
8792 2014-06-21 Juri Linkov <juri@jurta.org>
8794 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
8795 to `soft'. (Bug#17554)
8797 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8799 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
8802 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
8804 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
8805 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
8807 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
8809 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
8810 `unread-command-events'.
8812 2014-06-19 William Xu <william.xwl@gmail.com>
8814 * progmodes/hideif.el (hif-string-to-number): Don't return float for
8815 hex integer constants (bug#17807).
8817 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
8819 * international/mule-util.el (truncate-string-ellipsis): New var.
8820 (truncate-string-to-width): Use it.
8822 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
8824 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
8825 (lisp-string-in-doc-position-p): New function, extracted from
8826 lisp-font-lock-syntactic-face-function.
8827 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
8829 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
8831 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
8833 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
8835 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
8836 (bubbles--game-over): Don't add `intangible' properties since they
8839 2014-06-18 Juri Linkov <juri@jurta.org>
8841 * vc/ediff-init.el (ediff-current-diff-Ancestor)
8842 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
8843 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
8844 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
8845 Add `min-colors 88' version with removed black/white foregrounds.
8848 2014-06-18 Juri Linkov <juri@jurta.org>
8850 * vc/diff-mode.el (diff-changed): Empty face definition to use
8851 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
8852 (diff-context): Use darker color on light background and
8853 lighter color on dark background.
8855 2014-06-18 Juri Linkov <juri@jurta.org>
8857 * vc/diff-mode.el (diff-refine-changed): Rename from
8858 `diff-refine-change' for consistency with `diff-changed'.
8859 (diff-refine-change): Add obsolete face alias. (Bug#10181)
8861 * vc/smerge-mode.el (smerge-refined-changed): Rename from
8862 `smerge-refined-change'.
8863 (smerge-refined-change): Add obsolete face alias.
8865 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
8867 * rect.el (rectangle-preview): New custom.
8868 (rectangle): New group.
8869 (rectangle--pos-cols): Add `window' argument.
8870 (rectangle--string-preview-state, rectangle--string-preview-window):
8872 (rectangle--string-flush-preview, rectangle--string-erase-preview)
8873 (rectangle--space-to, rectangle--string-preview): New functions.
8874 (string-rectangle): Use them.
8875 (rectangle--inhibit-region-highlight): New var.
8876 (rectangle--highlight-for-redisplay): Obey it. Make sure
8877 `apply-on-region' uses the point-crutches of the right window.
8878 Use :align-to rather than multiple spaces.
8880 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
8882 * ruler-mode.el (ruler-mode-window-col)
8883 (ruler-mode-mouse-set-left-margin)
8884 (ruler-mode-mouse-set-right-margin): Fix calculation of column
8885 from mouse position (Bug#17768).
8887 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
8889 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
8890 without varname or rhs causes crash.
8891 (dun-ftp): Fix bug where blank ftp password is allowed, making it
8892 impossible to win endgame.
8893 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
8895 (dun-help): Bump version number; update contact info.
8897 2014-06-15 Eli Barzilay <eli@barzilay.org>
8899 * calculator.el (calculator-prompt, calculator-remove-zeros)
8900 (calculator-mode-hook, calculator-operators, calculator-stack)
8901 (calculator-mode): Tweak docstring.
8902 (calculator-user-operators): Tweak docstring, fix a bug in the last
8904 (calculator-displayer): `std' case has an optional boolean.
8905 (calculator-displayers): Use the new boolean to group in decimal mode.
8906 (calculator-mode-map, calculator, calculator-message)
8907 (calculator-op-arity, calculator-add-operators)
8908 (calculator-string-to-number, calculator-displayer-prev)
8909 (calculator-displayer-next, calculator-remove-zeros)
8910 (calculator-eng-display, calculator-number-to-string)
8911 (calculator-update-display, calculator-last-input)
8912 (calculator-clear-fragile, calculator-digit, calculator-decimal)
8913 (calculator-exp, calculator-saved-move, calculator-clear)
8914 (calculator-copy, calculator-put-value, calculator-help)
8915 (calculator-expt, calculator-truncate): Minor code improvements.
8916 (calculator-need-3-lines): New function pulling out code from
8918 (calculator-get-display): Rename from `calculator-get-prompt', and
8920 (calculator-push-curnum): Rename from `calculator-curnum-value', and
8921 extended for all uses of it. All callers changed.
8922 (calculator-groupize-number): New utility for splitting a number into
8924 (calculator-standard-displayer): Improve code, new optional argument to
8925 use comma-split groups, make second argument optional too to use with
8926 'left/'right inputs. All callers changed.
8927 (calculator-reduce-stack-once): New utility, doing the meat of what
8928 `calculator-reduce-stack' used to do, much improved (mostly using
8929 `pcase' for conciseness and clarity).
8930 (calculator-reduce-stack): Now doing just the reduction loop using
8931 `calculator-reduce-stack-once'.
8932 (calculator-funcall): Improve code, make it work in v24.3.1 too.
8933 (calculator-last-input): Improve code, remove some old cruft.
8934 (calculator-quit): Kill `calculator-buffer' in electric mode too.
8935 (calculator-integer-p): Remove.
8936 (calculator-fact): Improve code, make it work on non-integer values
8937 too (using truncated numbers).
8939 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
8941 Sync with Tramp 2.2.10.
8943 * net/tramp.el (tramp-methods): Tweak docstring.
8944 (tramp-handle-file-accessible-directory-p): Check for
8945 `file-readable-p' instead of `file-executable-p'.
8946 (tramp-check-cached-permissions):
8947 Use `tramp-compat-file-attributes'.
8948 (tramp-call-process): Add new argument VEC. Adapt callees in all
8951 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
8952 (tramp-adb-maybe-open-connection): Don't set
8953 `tramp-current-*' variables.
8955 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
8956 file properties of temporary buffers.
8958 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
8960 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
8961 (tramp-gvfs-handle-delete-file): Flush file
8962 properties, not directory properties.
8963 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
8964 reading "unix::mode".
8965 (tramp-gvfs-handle-file-name-all-completions):
8966 Use "-h" option for "gvfs-ls".
8967 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
8968 (tramp-gvfs-send-command): Simplify traces.
8970 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
8971 (vc-git-program, vc-hg-program): Declare.
8972 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
8973 (tramp-methods) <nc>: Add new method.
8974 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
8975 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
8977 (tramp-default-user-alist): Add "nc".
8978 (top): Remove completion function for "sftp". Add completion
8979 functions for "nc" and "psftp".
8980 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
8981 Implement support for "nc" method.
8982 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
8983 (tramp-remote-coding-commands, tramp-call-local-coding-command):
8985 (tramp-sh-handle-write-region): Tweak error message.
8986 (tramp-sh-handle-vc-registered): Remove backends when the remote
8987 binary does not exist.
8988 (tramp-find-inline-encoding): Do not raise an error.
8989 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
8990 the "nc" case. Quote result also locally.
8992 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
8993 (tramp-smb-handle-set-file-acl): Use `start-process'.
8994 (tramp-smb-handle-insert-directory): Use progress reporter.
8995 (tramp-smb-handle-rename-file): Flush also file properties of
8998 * net/trampver.el: Update release number.
9000 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
9002 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
9004 (ses-localvars): Remove ses--local-printer-list, unused.
9005 (ses--metaprogramming): New macro. Use it to defvar variables.
9006 (ses-set-localvars): Simplify.
9007 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
9008 property-list into an alist.
9009 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
9010 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
9011 Remove; use defstruct accessors/setters instead.
9012 (ses-cell-formula-aset, ses-cell-printer-aset)
9013 (ses-cell-references-aset): Remove, use setf instead.
9014 (ses--alist-get): New function.
9015 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
9016 Use an alist instead of a plist and don't do move-to-front since the
9017 list is always short.
9018 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
9019 (ses-cell-property-set-fun, ses-cell-property-set)
9020 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
9021 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
9022 (ses--letref): New macro.
9023 (ses-cell-property-pop): Rewrite.
9024 (ses--cell): Rename from ses-cell and make it into a function.
9025 Make `formula' fallback on `value' if nil.
9026 (ses--local-printer): Rename from ses-local-printer and make it into
9028 (ses-set-cell): Turn it into a macro so finding the accessor from the
9029 field name is done at compile time.
9030 (ses-repair-cell-reference-all): Test presence of `sym' rather than
9031 `ref' before adding `sym' to :ses-repair-reference.
9032 (ses-calculate-cell): Use ses--letref rather than
9033 ses-cell-property-get-handle.
9034 (ses-write-cells): Use a single prin1-to-string.
9035 (ses-setter-with-undo): New function.
9036 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
9037 (ses-unset-with-undo): Remove.
9038 (ses-load): Prefer apply' over `eval'.
9039 (ses-read-printer, ses-set-column-width): Use standard "(default
9042 2014-06-15 Glenn Morris <rgm@gnu.org>
9044 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
9046 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
9047 Replace delete-duplicates and mapcan by cl- versions throughout.
9048 And cl-macroexpand-all by macroexpand-all.
9049 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
9051 2014-06-15 Eli Zaretskii <eliz@gnu.org>
9053 * subr.el (posn-col-row): Doc fix. (Bug#17768)
9055 2014-06-15 Juri Linkov <juri@jurta.org>
9057 * bindings.el: Put `ascii-character' property on keypad keys
9058 mapped to characters. (Bug#17759)
9060 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
9062 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
9063 bumping forward into a closing paren (bug#17761).
9065 * term/xterm.el (xterm--version-handler): Work around for OSX
9066 Terminal.app (bug#17607).
9068 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
9070 * play/dunnet.el (dun-describe-room, dun-mode):
9071 If a lamp is in the room, you won't be eaten by a grue.
9073 2014-06-13 Glenn Morris <rgm@gnu.org>
9075 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
9076 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
9077 (compile-always): GNU make automatically passes
9078 command-line arguments to sub-makes.
9080 * calendar/calendar.el (calendar-generate-window):
9081 Remove pointless call to font-lock-fontify-buffer.
9083 2014-06-13 Matthias Meulien <orontee@gmail.com>
9085 * simple.el (completion-list-mode-map): Navigate with tab and backtab
9088 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
9090 * simple.el (set-mark-command): Simplify a bit.
9092 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9094 * help.el (help--key-binding-keymap): New function.
9095 (help--binding-locus): New function.
9096 (describe-key): Mention the keymap in which the binding was
9099 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
9101 * hippie-exp.el (he--all-buffers): New function.
9102 (try-expand-line-all-buffers, try-expand-list-all-buffers)
9103 (try-expand-dabbrev-all-buffers): Use it.
9105 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
9107 * hippie-exp.el (try-expand-line-all-buffers)
9108 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
9109 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
9110 original buffer, in case they're buffer-local.
9112 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
9114 * ses.el (ses-initial-global-parameters-re): New defconst, a
9115 specific regexp is needed now that ses.el can handle both
9116 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
9118 (ses-localvars): Add local variables needed for local printer handling.
9119 (ses-set-localvars): Handle hashmap initialization.
9120 (ses-paramlines-plist): Add param-line for number of local printers.
9121 (ses-paramfmt-plist): New defconst, needed for code factorization
9122 between functions `ses-set-parameter' and
9123 `ses-file-format-extend-paramter-list'
9124 (ses-make-local-printer-info): New defsubst.
9125 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
9126 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
9127 (ses-cell-printer-aset): New defmacro.
9128 (ses-local-printer-compile): New defun.
9129 (ses-local-printer): New defmacro.
9130 (ses-printer-validate, ses-call-printer): Add support for local
9132 (ses-file-format-extend-paramter-list): New defun.
9133 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
9135 (ses-load): Add support for local printer functions.
9136 (ses-read-printer): Update docstring and add support for local printer
9138 (ses-refresh-local-printer, ses-define-local-printer): New defun.
9139 (ses-safe-printer): Add support for local printer functions.
9141 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
9143 * ffap.el (ffap-lax-url): New var (bug#17723).
9144 (ffap-url-at-point): Use it.
9145 (ffap-file-at-point): Avoid returning just "/".
9147 2014-06-12 Matthias Meulien <orontee@gmail.com>
9149 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
9150 (python-mode-map): Bind it.
9152 * progmodes/python.el (class skeleton): Don't erase last char of class
9155 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
9157 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
9159 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
9161 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
9164 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
9166 * international/mule-cmds.el: Use lexical-binding.
9167 (ucs-names): Simplify.
9169 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
9171 * progmodes/python.el (run-python): Use read-shell-command.
9173 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
9175 * rect.el: Make it possible to move bounds past EOL or into TABs.
9176 (operate-on-rectangle): Use apply-on-rectangle.
9177 (rectangle--mark-crutches): New var.
9178 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
9179 (rectangle--crutches, rectangle--reset-crutches): New functions.
9180 (apply-on-rectangle): Obey crutches. Avoid setq.
9181 Fix missing final iteration if end is at EOB&BOL.
9182 (rectangle-mark-mode-map): Add remap bindings for
9183 exchange-point-and-mark and char/line movements.
9184 (rectangle--*-char): New function.
9185 (rectangle-exchange-point-and-mark, rectangle-right-char)
9186 (rectangle-left-char, rectangle-forward-char)
9187 (rectangle-backward-char, rectangle-next-line)
9188 (rectangle-previous-line): New commands.
9189 (rectangle--place-cursor): New function.
9190 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
9192 2014-06-08 Glenn Morris <rgm@gnu.org>
9194 * startup.el (initial-buffer-choice): Doc fix.
9195 Reset :version (adding an option does not merit a :version bump).
9197 * bookmark.el (bookmark-load):
9198 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
9200 2014-06-08 Juri Linkov <juri@jurta.org>
9202 * desktop.el: Activate auto-saving on window configuration changes.
9203 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
9204 `desktop-auto-save-set-timer' to/from
9205 `window-configuration-change-hook'.
9206 (desktop-auto-save-set-timer): Change REPEAT arg of
9207 `run-with-idle-timer' from t to nil.
9208 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
9210 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
9212 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
9213 vc-hg-command (bug#17570).
9215 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
9217 * international/mule-cmds.el (ucs-names): Add special entry for BEL
9220 2014-06-08 Glenn Morris <rgm@gnu.org>
9222 * startup.el (window-setup-hook): Doc fix.
9224 * emacs-lisp/package.el (package-check-signature)
9225 (package-unsigned-archives): Doc fixes.
9227 2014-06-08 Martin Rudalics <rudalics@gmx.at>
9229 * window.el (display-buffer-use-some-window): Don't make window
9230 used smaller than it was before (Bug#17671).
9232 2014-06-08 Eli Zaretskii <eliz@gnu.org>
9234 * menu-bar.el (menu-bar-open): Fix last change: use the PC
9235 'redisplay' instead of '(sit-for 0)'.
9237 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
9239 * net/tramp.el (tramp-ssh-controlmaster-options):
9240 Improve search regexp. (Bug#17653)
9242 2014-06-08 Glenn Morris <rgm@gnu.org>
9244 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
9246 2014-06-08 Eli Zaretskii <eliz@gnu.org>
9248 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
9250 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
9252 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
9255 * vc/vc-hg.el (vc-hg-log-graph): New var.
9256 (vc-hg-print-log): Use it.
9257 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
9258 graph output (bug#17515).
9260 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
9262 * mouse.el (mouse-posn-property): Ignore buffer position info when the
9263 even happened elsewhere.
9265 2014-06-06 Mario Lang <mlang@delysid.org>
9267 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
9268 `recenter' if `current-buffer' is equal to `window-buffer'.
9270 2014-06-05 Leo Liu <sdl.web@gmail.com>
9272 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
9274 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
9276 * textmodes/tildify.el (tildify-foreach-region-outside-env):
9277 New function which calls a callback on portions of the buffer that are
9278 outside of ignored environments.
9279 (tildify-build-regexp): Remove function since it is now
9280 incorporated in `tildify-foreach-region-outside-env' where it is
9281 optimized and simplified by the use of `mapconcat'.
9282 (tildify-tildify): Return number of substitutions made so that…
9283 (tildify-count): …can be removed.
9284 (tildify-find-env): Accept a new PAIRS argument which was
9285 previously looked up in `tildify-ignored-environments-alist' each
9286 time the function was called. With this change, the lookup is
9287 performed only once in `tildify-foreach-region-outside-env'.
9288 (tildify-region): Greatly simplify the function since now most of
9289 the work is done by `tildify-foreach-region-outside-env'.
9290 (tildify-mode-alist): Simplify slightly by avoiding if and setq
9291 and instead using or.
9293 * textmodes/tildify.el (tildify-ignored-environments-alist):
9294 Optimize environments regexes
9296 Each time beginning of an environment to ignore is found,
9297 `tildify-find-env' needs to identify regexp for the ending
9298 of the environment. This is done by trying all the opening
9299 regexes on matched text in a loop, so to speed that up, this
9300 loop should have fewer things to match, which can be done by
9301 using alternatives in the opening regexes.
9303 Coincidentally, this should make matching of the opening
9304 regexp faster as well thanks to the use of `regexp-opt' and
9305 having common prefix pulled from many regexes.
9307 * textmodes/tildify.el (tildify-string-alist)
9308 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
9309 of supported modes since `xml-mode' is no longer a thing but just
9310 an alias to the former. Also include comments and insides of tags
9311 in `tildify-ignored-environments-alist' for XML modes. Finally,
9312 since XML does not define “ ”[1], use a numeric reference for
9313 a no-break space (namely “ ”)
9315 [1] XML specification defines only a handful of predefined entities.
9316 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
9317 and includes only <, >, &, ' and " (meaning <,
9318 >, &, ' and " respectively). This is in contrast to HTML and even
9319 XHTML which defined a whole bunch of entities including “ ”.
9321 * textmodes/tildify.el (tildify-pattern-alist)
9322 (tildify-string-alist, tildify-ignored-environments-alist):
9323 Improve defcustom's types by adding more tags explaining what each
9324 value means and replace “sexp” used in
9325 `tildify-ignored-environments-alist' with a full type declaration.
9327 * textmodes/tildify.el (tildify-find-env): Fix matched group
9328 indexes in end-regex building
9330 When looking for a start of an ignore-environment, the regex is built
9331 by concatenating regexes of all the environments configured in
9332 `tildify-ignored-environments-alist'. So for example, the following
9333 list could be used to match TeX's \verb and \verb* commands:
9335 (("\\\\verb\\(.\\)" . (1))
9336 ("\\\\verb\\*\\(.\\)" . (1)))
9338 This would result in the following regex being used to find the start
9339 of any of the variants of the \verb command:
9341 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
9343 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
9344 won't match anything, and thus (match-string 1) will be nil, which
9345 will cause building of the end-matching regex to fail.
9347 Fix this by using capture groups from the time when the opening
9348 regexes are matched individually.
9350 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
9351 in `tildify-find-env'
9353 The `tildify-ignored-environments-alist' allows the end-regex to
9354 be provided not as a static string but mix of strings and indexes
9355 of groups matched the begin-regex. For example, the “\verb!…!”
9356 TeX-command (where “!” is an arbitrary character) is handled
9359 ("\\\\verb\\*?\\(.\\)" . (1))
9361 In the same way, the following should be supported as well:
9363 ("open-\\(.\\)" . ("end-" 1))
9365 However the tildify-find-env function fails at
9368 (if (stringp (setq aux (car expression)))
9369 expression ; BUG: expression is a list
9370 (regexp-quote (match-string aux))))
9372 where the string part is handled incorrectly.
9374 The most trivial fix would be to replace `expression' in the
9375 true-part of the if-statement with `aux', but instead, this commit
9376 optimizes `tildify-find-env' by changing it to use `mapconcat'
9377 rather than open-coded while-loop.
9379 2014-06-05 Mario Lang <mlang@delysid.org>
9381 * woman.el (woman-mapcan): Remove.
9382 (woman-parse-colon-path): Use cl-mapcan instead.
9384 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
9386 * register.el: Add link to Emacs manual in Commentary.
9388 2014-06-02 Sam Steingold <sds@gnu.org>
9390 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
9391 (popup-menu): ...here.
9392 (menu-bar-open): Use it to avoid an error when `lookup-key'
9395 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
9397 * net/tramp.el (tramp-call-process): Add traces.
9398 (tramp-handle-unhandled-file-name-directory): Return "/".
9400 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
9402 Sync with upstream verilog-mode revision 3cd8144.
9403 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
9404 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
9405 (verilog-type-font-keywords): Add nor.
9406 (verilog-batch-execute-func): Force reading of Local Variables.
9407 Fix printing "no changes to be saved" with verilog-batch.
9408 (verilog-auto-arg-ports): Doc fix.
9409 Add verilog-auto-arg-format to support newlines in AUTOARG.
9410 (verilog-auto-arg): Doc fix.
9412 2014-06-02 Glenn Morris <rgm@gnu.org>
9414 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
9415 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
9416 * emulation/ws-mode.el: Move to obsolete/.
9417 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
9419 2014-06-02 Eli Zaretskii <eliz@gnu.org>
9421 * simple.el (keyboard-quit): Force update of mode lines, to remove
9422 the "Def" indicator, if we were defining a macro. (Bug#17615)
9424 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9426 * minibuffer.el (minibuffer-force-complete-and-exit):
9427 Obey minibuffer-default (bug#17545).
9429 * progmodes/js.el (js-indent-line): Don't mix columns and chars
9432 * subr.el (set-transient-map): Don't wait for some "nested"
9433 transient-map to finish if we're only supposed to be active for
9434 the next command (bug#17642).
9436 2014-06-02 Leo Liu <sdl.web@gmail.com>
9438 * emacs-lisp/gv.el (window-buffer, window-display-table)
9439 (window-dedicated-p, window-hscroll, window-point, window-start):
9440 Fix gv-expander. (Bug#17630)
9442 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9444 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
9447 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
9448 for the single comma, since ", " is *very* common in normal French text
9451 2014-06-02 Glenn Morris <rgm@gnu.org>
9453 * emacs-lisp/package.el (package-check-signature)
9454 (package-unsigned-archives): Fix :version.
9456 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9458 * subr.el (sit-for): Don't run input-methods (bug#15614).
9460 2014-06-02 Glenn Morris <rgm@gnu.org>
9462 * cus-start.el: Fix some :version numbers.
9464 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9466 * simple.el (deactivate-mark): Set mark-active to nil even if
9467 deactivation is done via setting transient-mark-mode to nil,
9468 since one is buffer-local and the other is global.
9470 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
9471 there can't be more than 2 arguments (bug#17584).
9473 2014-06-02 Glenn Morris <rgm@gnu.org>
9475 * simple.el (filter-buffer-substring-functions)
9476 (filter-buffer-substring-function, buffer-substring-filters)
9477 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
9479 * minibuffer.el (completion-in-region-functions, completion-in-region)
9480 (completion--in-region): Doc fixes.
9482 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
9483 (expand-abbrev, abbrev--default-expand): Doc fixes.
9485 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
9487 Include sources used to create macuvs.h.
9488 * international/README: Refer to the Unicode Terms of Use rather
9489 than copying it bodily here, as that simplifies maintenance.
9491 2014-06-01 Glenn Morris <rgm@gnu.org>
9493 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
9495 2014-05-31 Glenn Morris <rgm@gnu.org>
9497 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
9499 2014-05-30 Glenn Morris <rgm@gnu.org>
9501 * loadup.el: Treat `command-line-args' more flexibly.
9503 2014-05-30 Alan Mackenzie <acm@muc.de>
9505 Guard (looking-at "\\s!") from XEmacs.
9506 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
9508 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
9510 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
9511 The argument COUNT is now optional, to be more backward-compatible.
9512 Doc fix. (Bug#17560)
9514 2014-05-29 Reuben Thomas <rrt@sc3d.org>
9516 * whitespace.el (whitespace-report-region):
9517 Simplify documentation.
9518 (whitespace-report-region): Allow report-if-bogus to take the
9519 value `never', for non-interactive use.
9520 (whitespace-report): Refer to whitespace-report-region's
9523 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
9525 * whitespace.el: Use font-lock-flush. Minimize refontifications.
9526 Side benefit: it works without jit-lock.
9527 (whitespace-point--used): New buffer-local var.
9528 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
9529 (whitespace-color-off): Use font-lock-flush.
9530 (whitespace-point--used, whitespace-point--flush-used): New functions.
9531 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
9532 (whitespace-empty-at-eob-regexp): Use them.
9533 (whitespace-post-command-hook): Rewrite.
9535 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
9536 (font-lock-fontify-buffer): Mark interactive-only.
9537 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
9539 (font-lock-specified-p): Remove redundant boundp check.
9540 (font-lock-flush-function, font-lock-ensure-function): New vars.
9541 (font-lock-turn-on-thing-lock): Set them.
9542 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
9543 (font-lock-after-change-function): Make `old-len' optional.
9544 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
9545 Call font-lock-flush, just in case.
9546 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
9548 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
9549 (vera-mode-map, vera-mode-menu): Remove bindings to it.
9550 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
9551 and with-syntax-table.
9552 * textmodes/conf-mode.el (conf-quote-normal):
9553 * progmodes/sh-script.el (sh-set-shell):
9554 * progmodes/prog-mode.el (prettify-symbols-mode):
9555 * progmodes/f90.el (f90-font-lock-n):
9556 * progmodes/cwarn.el (cwarn-mode):
9557 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
9558 * progmodes/compile.el (compilation-setup, compilation--unsetup):
9559 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
9560 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
9561 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
9562 font-lock-fontify-buffer-function and
9563 font-lock-unfontify-buffer-function.
9564 (rmail-unfontify-buffer-function, rmail-fontify-message):
9565 Use with-silent-modifications.
9566 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
9567 and font-lock-ensure.
9568 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
9570 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
9572 * emacs-lisp/package.el (package-generate-autoloads):
9573 Inhibit backup files.
9575 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
9577 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
9580 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
9582 * textmodes/tildify.el (tildify-buffer, tildify-region):
9583 Add dont-ask option.
9585 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
9587 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
9588 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
9590 * subr.el (internal--funcall-interactively): New.
9591 (internal--call-interactively): Remove.
9592 (called-interactively-p): Detect funcall-interactively instead of
9594 * simple.el (repeat-complex-command): Use funcall-interactively.
9595 (repeat-complex-command--called-interactively-skip): Remove.
9597 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
9599 * register.el (register-read-with-preview): Don't burp on
9600 frame switches (e.g. due to the frame we just popped).
9602 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
9603 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
9605 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
9607 * cus-face.el (custom-face-attributes): Add :distant-foreground.
9609 2014-05-26 Martin Rudalics <rudalics@gmx.at>
9611 * window.el (window--dump-frame): Remove interactive specification.
9613 2014-05-26 Glenn Morris <rgm@gnu.org>
9615 * hippie-exp.el (he-line-search-regexp):
9616 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
9618 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
9620 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
9621 to avoid errors when trying to create or visit a file foo.todo
9622 located outside to todo-directory, and to allow having such files
9623 without them being tied to Todo mode (bug#17482).
9624 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
9625 (todo-archive-done-item, todo-find-filtered-items-file)
9626 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
9627 (todo-category-completions, todo-read-category): When visiting a
9628 Todo file, make sure we're in the right mode and the buffer local
9630 (todo-make-categories-list, todo-reset-nondiary-marker)
9631 (todo-reset-done-string, todo-reset-comment-string):
9632 After processing all Todo files, kill the buffers of those files that
9633 weren't being visited before the processing.
9634 (todo-display-as-todo-file, todo-add-to-buffer-list)
9635 (todo-visit-files-commands): Comment out.
9636 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
9637 (auto-mode-alist): Remove add-to-list calls making Todo file
9638 extensions unrestrictedly tied to Todo modes.
9640 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
9642 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
9643 (advice-function-member-p): Tell it to check both names and functions
9645 (advice--add-function): Adjust call accordingly.
9647 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
9649 * calendar/todo-mode.el: Miscellaneous bug fixes.
9650 (todo-delete-file): When deleting an archive but not its todo
9651 file, make sure to update the todo file's category sexp.
9652 (todo-move-category): Keep the moved category's name unless the
9653 file moved to already has a category with that name. If the
9654 numerically last category of the source file was moved, make the
9655 first category current to avoid selecting a nonexisting category.
9656 (todo-merge-category): Fix implementation to make merging to a
9657 category in another file work as documented. Eliminate now
9658 insufficient and unnecessary renaming of archive category, correct
9659 document string accordingly, and clarify it. If the numerically
9660 last category of the source file was merged, make the first
9661 category current to avoid selecting a nonexisting category.
9662 (todo-archive-done-item): When there are marked items and point
9663 happens to be on an unmarked item, ignore the latter. Don't leave
9664 point below last item after archiving marked items.
9665 (todo-unarchive-items): Fix logic to ensure unarchiving an item
9666 from an archive with only one category deletes the archive only
9667 when the category is empty after unarchiving. Make sure the todo
9668 file's category sexp is updated.
9669 (todo-read-file-name): Allow an existing file name even when it is
9670 not required (todo-move-category needs this to work as documented).
9671 (todo-add-file): Call todo-validate-name to reject the name of an
9672 existing todo file (needed due to fix in todo-read-file-name).
9673 (todo-reset-nondiary-marker): Also reset in filtered items files.
9674 (todo-reset-done-string, todo-reset-comment-string): Also reset in
9675 regexp filtered items files.
9676 (todo-reset-highlight-item): Also reset in filtered items files.
9677 Fix incorrect variable reference in document string.
9679 2014-05-26 Glenn Morris <rgm@gnu.org>
9681 * window.el (window--dump-frame): Avoid error in --without-x builds.
9683 2014-05-26 Glenn Morris <rgm@gnu.org>
9685 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
9687 2014-05-26 Eli Zaretskii <eliz@gnu.org>
9689 * frame.el (set-frame-font): Doc fix.
9691 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
9693 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
9695 * emacs-lisp/package.el (package--download-one-archive):
9696 Use `write-region' instead of `save-buffer' to avoid running various
9698 (describe-package-1): Same. Insert newline at the end of the
9699 buffer if appropriate.
9701 2014-05-26 Juri Linkov <juri@jurta.org>
9703 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
9704 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
9705 Add more modifiers: meta, control, shift, hyper, super, alt.
9708 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
9709 to allow changing its value with `set-variable'.
9711 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
9713 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
9715 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
9717 (scheme-mode-variables): Set syntax-propertize-function instead of
9718 font-lock-syntactic-face-function.
9719 (scheme-font-lock-syntactic-face-function): Delete.
9721 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
9723 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
9726 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
9728 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
9729 for a temporary file name.
9731 2014-05-26 Eli Zaretskii <eliz@gnu.org>
9733 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
9735 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
9737 * net/dbus.el (dbus-init-bus, dbus-call-method)
9738 (dbus-call-method-asynchronously, dbus-send-signal)
9739 (dbus-method-return-internal, dbus-method-error-internal):
9740 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
9742 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9744 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
9745 methods which do not have a doc string. (Bug#17490)
9747 2014-05-25 Tassilo Horn <tsdh@gnu.org>
9749 * textmodes/reftex-ref.el (reftex-format-special): Make it work
9750 also for AMS Math's \eqref macro.
9752 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9754 Arrange to never byte-compile the generated -pkg.el file.
9756 * emacs-lisp/package.el (package-generate-description-file):
9757 Output first-line comment to set buffer-local var `no-byte-compile'.
9758 Suggested by Dmitry Gutov:
9759 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
9761 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9763 Fix bug: Properly quote args to generated -pkg.el `define-package'.
9765 * emacs-lisp/package.el (package-generate-description-file):
9766 Inline `package--alist-to-plist'; rewrite to selectively
9767 quote alist values that are not self-quoting.
9768 (package--alist-to-plist): Delete func.
9770 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
9772 * term/xterm.el (xterm-function-map): Add mapping for shifted
9775 2014-05-24 Daniel Colascione <dancol@dancol.org>
9777 * progmodes/subword.el (subword-find-word-boundary): Move point to
9778 correct spot before search. (Bug#17580)
9780 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
9783 2014-05-24 Leo Liu <sdl.web@gmail.com>
9785 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
9787 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
9789 * minibuffer.el (completion--sreverse): Remove.
9790 (completion--common-suffix): Use `reverse' instead.
9791 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
9793 2014-05-22 Glenn Morris <rgm@gnu.org>
9795 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
9797 2014-05-21 Daniel Colascione <dancol@dancol.org>
9799 * files.el (interpreter-mode-alist): Add mksh.
9801 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
9803 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
9804 mksh. Improve custom spec; allow regular expressions.
9805 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
9806 (sh-after-hack-local-variables): New function.
9807 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
9808 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
9810 (sh-canonicalize-shell): Rewrite to support regexes.
9812 2014-05-21 Leo Liu <sdl.web@gmail.com>
9814 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
9816 2014-05-19 Leo Liu <sdl.web@gmail.com>
9818 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
9820 2014-05-18 Glenn Morris <rgm@gnu.org>
9823 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
9825 2014-05-14 Sam Steingold <sds@gnu.org>
9827 * progmodes/python.el (python-shell-get-or-create-process):
9828 Do not bind `current-prefix-arg' so that C-c C-z does not talk
9829 back unless requested.
9831 2014-05-14 Glenn Morris <rgm@gnu.org>
9833 * subr.el (with-file-modes): New macro.
9834 * printing.el (pr-save-file-modes): Make obsolete.
9835 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
9836 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
9837 Add with-file-modes.
9838 * doc-view.el (doc-view-make-safe-dir):
9839 * epg.el (epg--start):
9840 * files.el (locate-user-emacs-file, make-temp-file)
9841 (backup-buffer-copy, move-file-to-trash):
9842 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
9843 * eshell/esh-util.el (eshell-with-private-file-modes)
9844 (eshell-make-private-directory):
9845 * net/browse-url.el (browse-url-mosaic):
9846 * obsolete/mailpost.el (post-mail-send-it):
9847 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
9848 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
9849 Use with-file-modes.
9851 * vc/emerge.el (emerge-make-temp-file): Simplify.
9853 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
9854 Stefan Monnier <monnier@iro.umontreal.ca>
9856 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
9859 2014-05-14 Glenn Morris <rgm@gnu.org>
9861 * vc/emerge.el (emerge-temp-file-prefix):
9862 Make pointless option obsolete.
9863 (emerge-temp-file-mode): Make non-functional option obsolete.
9865 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
9867 * net/browse-url.el (browse-url):
9868 Use `unhandled-file-name-directory' when setting `default-directory',
9869 in order to circumvent stalled remote connections. (Bug#17425)
9871 2014-05-14 Glenn Morris <rgm@gnu.org>
9873 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
9874 Optimize on Emacs, which has the relevant functions for ages.
9876 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
9878 * simple.el (undo-make-selective-list): Obey undo-no-redo.
9880 2014-05-12 Sam Steingold <sds@gnu.org>
9882 * calendar/time-date.el (seconds-to-string): New function to
9883 pretty print time delay in seconds.
9885 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
9887 * mpc.el (mpc-format): Trim Date to the year.
9888 (mpc-songs-hashcons): Shorten the Date field.
9890 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
9891 into autoloading just because of a silly indirection.
9893 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
9895 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
9897 2014-05-12 Glenn Morris <rgm@gnu.org>
9899 * emacs-lisp/find-gc.el: Move to ../admin.
9901 * printing.el (pr-version):
9902 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
9904 * net/browse-url.el (browse-url-mosaic):
9905 Create /tmp/Mosaic.PID as a private file.
9907 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
9909 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
9910 (advice--member-p): If name is given, only compare the name.
9911 (advice--remove-function): Don't stop at the first match.
9912 (advice--normalize-place): New function.
9913 (add-function, remove-function): Use it.
9914 (advice--add-function): Pass the name, if any, to
9915 advice--remove-function.
9917 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
9919 * electric.el (electric-indent-post-self-insert-function): Don't use
9920 `pos' after modifying the buffer (bug#17449).
9922 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
9924 * calendar/todo-mode.el (todo-insert-item-from-calendar):
9925 Correct argument list to conform to todo-insert-item--basic.
9927 2014-05-12 Glenn Morris <rgm@gnu.org>
9929 * files.el (cd-absolute): Test if directory is accessible
9930 rather than executable. (Bug#17330)
9932 * progmodes/compile.el (recompile):
9933 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
9935 * net/browse-url.el (browse-url-mosaic):
9936 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
9937 This is CVE-2014-3423.
9939 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
9941 * mouse.el: Use the normal toplevel loop while dragging.
9942 (mouse-set-point): Handle multi-clicks.
9943 (mouse-set-region): Handle multi-clicks for drags.
9944 (mouse-drag-region): Update call accordingly.
9945 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
9946 Use the normal event loop instead of a local while/read-event loop.
9947 (global-map): Remove redundant bindings for double/triple-mouse-1.
9948 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
9949 Generate synthetic down events when the protocol only sends up events.
9950 (xterm-mouse-last): Remove.
9951 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
9952 terminal parameter instead.
9953 (xterm-mouse--set-click-count): New function.
9954 (xterm-mouse-event): Detect/generate double/triple clicks.
9955 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
9957 * info.el (Info-quoted): New face.
9958 (Info-mode-font-lock-keywords): New var.
9959 (Info-mode): Use it.
9961 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
9962 are a hindrance for C-x C-e.
9964 2014-05-11 Leo Liu <sdl.web@gmail.com>
9966 * net/rcirc.el (rcirc-sentinel): Fix last change.
9968 2014-05-08 Sam Steingold <sds@gnu.org>
9970 * net/rcirc.el (rcirc-reconnect-delay): New user option.
9971 (rcirc-sentinel): Auto-reconnect to the server if
9972 `rcirc-reconnect-delay' is non-0 (but not more often than its
9973 value in case the host is off-line).
9975 2014-05-09 Eli Zaretskii <eliz@gnu.org>
9977 * progmodes/grep.el (lgrep): Fix a typo in last commit.
9979 2014-05-09 Glenn Morris <rgm@gnu.org>
9981 * files.el (file-expand-wildcards):
9982 * man.el (Man-support-local-filenames):
9983 * printing.el (pr-i-directory, pr-interface-directory):
9984 * progmodes/grep.el (lgrep, rgrep):
9985 * textmodes/ispell.el (ispell-call-process)
9986 (ispell-call-process-region, ispell-start-process)
9987 (ispell-init-process): Use file-accessible-directory-p.
9989 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9991 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
9992 (xterm-mouse--read-event-sequence-1000): Return nil if something
9994 (xterm-mouse-event): Propagate it.
9995 (xterm-mouse-translate-1): Handle it.
9997 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
9999 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
10000 four slots of the parameter list are filled, make sure to pass it
10001 to the argument list of todo-insert-item--basic.
10003 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10005 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
10006 Add optional `seen' argument to detect and break infinite loops.
10008 2014-05-08 Eli Zaretskii <eliz@gnu.org>
10010 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
10011 (trace-unsafe, trace-use-tree): Make parentheses style be
10012 according to Emacs style.
10014 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
10016 * net/tramp-sh.el (tramp-remote-process-environment):
10017 Remove HISTFILE and HISTSIZE; it's too late to set them here.
10018 Add :version entry.
10019 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
10020 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
10021 extra "PSx=..." commands.
10022 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
10025 (tramp-uudecode): Replace the hard-coded temporary file name by a
10027 (tramp-remote-coding-commands): Enhance docstring.
10028 (tramp-find-inline-encoding): Replace "%t" by a temporary file
10030 This is CVE-2014-3424.
10032 2014-05-08 Glenn Morris <rgm@gnu.org>
10034 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
10035 (find-gc-source-files): Update some names.
10036 (trace-call-tree): Simplify and update.
10037 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
10038 This is CVE-2014-3422.
10040 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10042 * minibuffer.el (completion--try-word-completion): Revert fix for
10043 Bug#15980 (bug#17375).
10045 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
10046 Always store button numbers in the same way in xterm-mouse-last;
10047 Don't burp is xterm-mouse-last is not set as expected.
10048 Never return negative indices.
10050 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
10052 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
10053 Backtrack one char if the global/char-literal var matcher hits
10054 inside a string. The next char could be the beginning of an
10055 expression expansion.
10057 2014-05-08 Glenn Morris <rgm@gnu.org>
10059 * help-fns.el (describe-function-1): Test for an autoload before a
10060 macro, since `macrop' works on autoloads. (Bug#17410)
10062 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10064 * electric.el (electric-indent-functions-without-reindent): Add yaml.
10066 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
10067 Make sure the new point we return is within the new string (bug#17239).
10069 2014-05-05 Daniel Colascione <dancol@dancol.org>
10071 * progmodes/compile.el (compilation-error-regexp-alist-alist):
10072 Port `gnu' pattern to rx.
10074 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
10076 Remove unneeded prompt when closing a buffer with active
10077 emacsclient ("Buffer ... still has clients"), #16548.
10078 * server.el (server-start): Remove the only call to:
10079 (server-kill-buffer-query-function): Remove.
10081 2014-05-04 Leo Liu <sdl.web@gmail.com>
10083 * calendar/diary-lib.el (calendar-chinese-month-name-array):
10084 Defvar to pacify compiler.
10086 2014-05-04 Eli Zaretskii <eliz@gnu.org>
10088 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
10090 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
10092 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
10093 Use nil rather than `default' for the "default" appearance (bug#17388).
10094 * vc/ediff-util.el (ediff-inferior-compare-regions)
10095 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
10096 a misleading `default' value when it's really a boolean.
10097 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
10098 overlay is not visible.
10100 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
10102 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
10103 (todo-menu): Uncomment and update.
10105 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
10107 * calendar/todo-mode.el: Reimplement item editing to have the same
10108 basic user interface as item insertion, and make small UI and
10109 larger internal improvements to the latter.
10110 (todo-insert-item): Add reference to the Todo mode user manual to
10111 the documentation string.
10112 (todo-insert-item--basic): Rename from todo-basic-insert-item and
10113 adjust all callers. Change signature to combine diary and
10114 nonmarking arguments. Incorporate functionality of deleted item
10115 copying command and add error checking. Remove detailed
10116 descriptions of the arguments from the documentation string, since
10117 this is treated in the Todo mode user manual.
10118 (todo-copy-item, todo-edit-multiline-item)
10119 (todo-edit-done-item-comment, todo-edit-item-header)
10120 (todo-edit-item-time, todo-edit-item-date-from-calendar)
10121 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
10122 (todo-edit-item-date-year, todo-edit-item-date-month)
10123 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
10125 (todo-edit-item): Reimplement as wrapper command for
10126 todo-edit-item--next-key and make it distinguish done and not done
10128 (todo-edit-item--text): New function, replacing old command
10129 todo-edit-item and incorporating deleted commands
10130 todo-edit-multiline-item and todo-edit-done-item-comment.
10131 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
10132 Use only numeric value of prefix argument. Remove detailed
10133 descriptions of the arguments from the documentation string, since
10134 this is treated in the Todo mode user manual.
10135 (todo-edit-item--diary-inclusion): New function, replacing old
10136 command todo-edit-item-diary-inclusion and incorporating and fixing
10137 functionality of deleted command todo-edit-item-diary-nonmarking,
10138 making sure to remove todo-nondiary-marker when adding
10139 diary-nonmarking-symbol.
10140 (todo-edit-category-diary-inclusion): Make sure to delete
10141 diary-nonmarking-symbol when adding todo-nondiary-marker.
10142 (todo-edit-category-diary-nonmarking): Fix indentation.
10143 (todo-insert-item--parameters): Group diary and nonmarking
10144 parameters together.
10145 (todo-insert-item--apply-args): Adjust to signature of
10146 todo-insert-item--basic and incorporate copy parameter.
10147 Make small code improvements.
10148 (todo-insert-item--next-param): Improve prompt and adjust it to
10149 new parameter grouping. Remove obsolete code.
10150 (todo-edit-item--param-key-alist)
10151 (todo-edit-item--date-param-key-alist)
10152 (todo-edit-done-item--param-key-alist): New defconsts.
10153 (todo-edit-item--prompt): New variable.
10154 (todo-edit-item--next-key): New function.
10155 (todo-key-bindings-t): Bind "e" to todo-edit-item.
10156 Remove bindings of deleted commands.
10158 2014-05-04 Leo Liu <sdl.web@gmail.com>
10160 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
10162 2014-05-04 Glenn Morris <rgm@gnu.org>
10164 * allout-widgets.el (allout-widgets-tally)
10165 (allout-decorate-item-guides):
10166 * menu-bar.el (menu-bar-positive-p):
10167 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
10168 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
10169 * progmodes/js.el (js--inside-param-list-p)
10170 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
10171 * progmodes/prolog.el (region-exists-p):
10172 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
10173 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
10174 Doc fixes (replace `iff').
10176 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
10178 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
10180 2014-05-04 Leo Liu <sdl.web@gmail.com>
10182 Support Chinese diary entries in calendar and diary. (Bug#17393)
10183 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
10184 (calendar-chinese-from-absolute-for-diary)
10185 (calendar-chinese-to-absolute-for-diary)
10186 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
10187 (diary-chinese-list-entries): New functions to list and mark
10188 Chinese diary entries in the calendar window.
10189 (diary-chinese-anniversary)
10190 (diary-chinese-insert-anniversary-entry)
10191 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
10192 (diary-chinese-insert-yearly-entry): New commands to insert
10193 Chinese diary entries.
10195 * calendar/diary-lib.el (diary-font-lock-keywords):
10196 Support font-locking Chinese dates.
10198 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
10199 inserting Chinese diary entries.
10201 * calendar/calendar.el (diary-chinese-entry-symbol):
10202 New customizable variable.
10203 (calendar-mode-map): Add bindings for inserting Chinese diary
10206 2014-05-03 Juri Linkov <juri@jurta.org>
10208 * dired.el (dired-check-switches, dired-switches-recursive-p):
10209 New functions. (Bug#17218)
10210 (dired-switches-escape-p, dired-move-to-end-of-filename):
10211 Use `dired-check-switches'.
10212 (dired-insert-old-subdirs, dired-build-subdir-alist)
10213 (dired-sort-R-check): Use `dired-switches-recursive-p'.
10215 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
10217 * simple.el (undo-make-selective-list): New algorithm fixes
10218 incorrectness of position adjustments when undoing in region.
10220 (undo-elt-crosses-region): Make obsolete.
10221 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
10222 New functions to adjust positions using undo-deltas.
10224 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
10226 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
10227 the last consecutive closing paren (bug#17345).
10229 2014-04-30 Reuben Thomas <rrt@sc3d.org>
10231 * dired.el (dired-mode): make terminology for eXpunge command
10232 consistent. (Bug#17276)
10234 2014-04-30 Eli Zaretskii <eliz@gnu.org>
10236 * dired.el (dired-initial-position-hook, dired-initial-position):
10239 2014-04-30 Glenn Morris <rgm@gnu.org>
10241 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
10243 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
10245 * faces.el (face-spec-recalc): Apply X resources only after the
10246 defface spec has been applied. Thus, X resources are no longer
10247 overriden by the defface spec which also fixes issues on win32 where
10248 the toolbar coloring was wrong because it is set through X resources
10249 and was (wrongfully) overriden. (Bug#16694)
10251 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
10253 * textmodes/rst.el (electric-pair-pairs): Declare.
10254 (rst-mode): Set it (bug#17131).
10256 2014-04-30 Juri Linkov <juri@jurta.org>
10258 * desktop.el (desktop-value-to-string): Let-bind `print-length'
10259 and `print-level' to nil. (Bug#17351)
10261 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10263 * battery.el (battery-update): Handle the case where battery
10264 status is "N/A" (bug#17319).
10266 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
10268 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
10269 to syntax-propertize.
10270 (ps-mode-auto-indent): Mark as obsolete.
10271 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
10272 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
10273 word regexp operators.
10274 (ps-mode-map): Move initialization into declaration. Remove binding
10275 for TAB, RET, >, ], and }.
10276 (ps-mode-syntax-table): Move initialization into declaration.
10277 Don't give word syntax to non-word chars.
10278 (ps-run-mode-map): Move initialization into declaration.
10279 (ps-mode-menu-main): Remove auto-indent entry.
10280 (ps-mode-smie-rules): New function.
10281 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
10282 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
10283 (ps-mode--string-syntax-table): New const.
10284 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
10286 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
10287 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
10289 2014-04-27 Daniel Colascione <dancol@dancol.org>
10291 * term/xterm.el (xterm-paste): Use large finite timeout when
10292 reading event to avoid putting keys in this-command-keys.
10294 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
10296 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
10297 (perl-syntax-propertize-function): Use it. Extend handling of
10298 here-docs to the unquoted case.
10300 2014-04-25 Eli Zaretskii <eliz@gnu.org>
10302 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
10303 Use equal-including-properties to compare help-echo strings (bug#17331).
10305 2014-04-25 Leo Liu <sdl.web@gmail.com>
10307 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
10308 Fix syntax for @. (Bug#17325)
10310 2014-04-25 Daniel Colascione <dancol@dancol.org>
10312 * emacs-lisp/cl.el (gv): Require gv early to break eager
10313 macro-expansion cycles.
10315 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
10317 * simple.el (region-active-p): Check there's a mark (bug#17324).
10319 * simple.el (completion-list-mode-map): Use choose-completion for the
10320 mouse binding as well (bug#17302).
10321 (completion-list-mode, completion-setup-function): Adjust docstring and
10322 echo area message accordingly.
10323 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
10324 calling convention of choose-completion.
10325 * comint.el (comint-dynamic-list-completions):
10326 * term.el (term-dynamic-list-completions): Accept choose-completion.
10328 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
10329 &, |, +, - and * can't be a division (bug#17317).
10331 * term/xterm.el (xterm--version-handler): Don't use modern xterm
10332 features on gnome-terminal (bug#16988).
10334 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
10336 Improve Scheme font-locking for (define ((foo ...) ...) ...).
10338 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
10339 the declared object, ignore zero or more parens, not zero or one.
10341 2014-04-24 Leo Liu <sdl.web@gmail.com>
10343 * progmodes/xscheme.el (xscheme-expressions-ring)
10344 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
10345 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
10346 (xscheme-allow-output-p, xscheme-prompt)
10347 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
10349 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
10350 Comment out unused functions.
10352 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
10354 * info.el: Use lexical-binding and cl-lib.
10355 Use defvar-local and setq-local instead of make-local-variable.
10356 (Info-apropos-matches): Avoid add-to-list.
10357 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
10359 2014-04-24 Daniel Colascione <dancol@dancol.org>
10361 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
10363 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
10365 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
10367 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
10369 * dired.el (dired-insert-set-properties): Do not consider
10370 subdirectory headings and empty lines to be information that
10371 `dired-hide-details-mode' should hide. (Bug#17228)
10373 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
10375 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
10376 Remove test messages.
10377 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
10378 and `target' twice.
10380 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10382 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
10383 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
10385 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
10387 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
10389 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
10390 Set "IFS=" when using read builtin, in order to preserve spaces in
10391 the file name. Add test messages for hunting a bug on hydra.
10392 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
10394 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10396 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
10397 Don't prettify a word within a symbol.
10399 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
10401 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
10404 2014-04-22 Daniel Colascione <dancol@dancol.org>
10406 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
10407 use defun to define `function-put'.
10409 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10411 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
10412 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
10413 (lisp-mode-variables): Set font-lock-extra-managed-props.
10415 * emacs-lisp/byte-run.el (function-put): New function.
10416 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
10417 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
10418 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
10421 2014-04-22 Daniel Colascione <dancol@dancol.org>
10423 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
10424 Add `full-p' parameter; when nil, call `macroexpand' instead of
10427 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
10428 Improve docstrings.
10430 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
10431 Use lambda function values, not quoted lambdas.
10432 (byte-compile-recurse-toplevel): Remove extraneous &optional.
10434 * emacs-lisp/cl-macs.el
10435 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
10436 (cl-struct-slot-value): Conditionally use aref or nth so that the
10437 compiler produces optimal code.
10439 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10441 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
10442 (inline): Don't inline cl--set-elt.
10443 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
10444 Define as inlinable instead.
10445 (cl-struct-set-slot-value): Remove.
10447 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
10448 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
10451 2014-04-21 Daniel Colascione <dancol@dancol.org>
10453 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
10454 last two parameters after all.
10455 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
10456 (cl--compiler-macro-assoc,cl-struct-slot-value)
10457 (cl-struct-set-slot-value): Stop using them.
10459 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
10461 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
10462 entry in image-mode-winprops-alist.
10464 2014-04-21 Daniel Colascione <dancol@dancol.org>
10466 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
10467 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
10468 (byte-compile-toplevel-file-form): Use it.
10470 * emacs-lisp/cl-macs.el:
10471 (cl--loop-let): Properly destructure `while' clauses.
10473 2014-04-20 Daniel Colascione <dancol@dancol.org>
10475 * vc/vc.el (vc-root-dir): New public autoloaded function for
10476 generically finding the current VC root.
10477 * vc/vc-hooks.el (vc-not-supported): New error.
10478 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
10480 2014-04-20 Daniel Colascione <dancol@dancol.org>
10482 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
10484 (cl--const-expr-val): cl--const-expr-val should macroexpand its
10485 argument in case we're inside a symbol-macrolet.
10486 (cl--do-arglist, cl--compiler-macro-typep)
10487 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
10488 environment to `cl--const-expr-val'.
10489 (cl-struct-sequence-type,cl-struct-slot-info)
10490 (cl-struct-slot-offset, cl-struct-slot-value)
10491 (cl-struct-set-slot-value): New functions.
10493 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
10495 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
10496 assignments such as "case=hello" (bug#17297).
10498 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
10500 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
10502 (tramp-file-name-handler, tramp-completion-file-name-handler):
10503 Revert patch from 2014-04-10, it isn't necessary anymore.
10504 (tramp-autoload-file-name-handler)
10505 (tramp-register-autoload-file-name-handlers): New defuns.
10506 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
10507 (tramp-register-file-name-handlers): Remove also
10508 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
10509 Do not autoload its invocation, but eval it after loading of 'tramp.
10511 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
10513 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
10515 2014-04-17 Daniel Colascione <dancol@dancol.org>
10517 Add support for bracketed paste mode; add infrastructure for
10518 managing terminal mode enabling and disabling automatically.
10521 (xterm-mouse-mode): Simplify.
10522 (xterm-mouse-tracking-enable-sequence)
10523 (xterm-mouse-tracking-disable-sequence): New constants.
10524 (turn-on-xterm-mouse-tracking-on-terminal)
10525 (turn-off-xterm-mouse-tracking-on-terminal):
10526 Use tty-mode-set-strings and tty-mode-reset-strings terminal
10527 parameters instead of random hooks.
10528 (turn-on-xterm-mouse-tracking)
10529 (turn-off-xterm-mouse-tracking): Delete.
10531 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
10532 (xterm-paste-ending-sequence): New constant.
10533 (xterm-paste): New command used for bracketed paste support.
10535 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
10536 (terminal-init-xterm-bracketed-paste-mode): New function.
10537 (terminal-init-xterm): Call it.
10538 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
10539 and tty-mode-reset-strings instead of random hooks.
10540 (xterm-turn-on-modify-other-keys)
10541 (xterm-turn-off-modify-other-keys)
10542 (xterm-remove-modify-other-keys): Delete obsolete functions.
10544 * term/screen.el: Rewrite to just use the xterm code.
10545 Add copyright notice. Mention tmux.
10547 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
10549 * image-mode.el (image-mode-window-put): Also update the property of
10550 the "default window".
10551 * doc-view.el (doc-view-new-window-function): If no window
10552 exists, move to the last known page.
10554 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
10556 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
10557 here-documents (bug#17262).
10559 2014-04-16 Eli Zaretskii <eliz@gnu.org>
10561 * term/pc-win.el (x-list-fonts, x-get-selection-value):
10562 Provide doc strings, as required by snarf-documentation.
10564 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
10566 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
10567 arg of overlays-at. Use `invisible-p'.
10569 * obsolete/lucid.el (extent-at):
10570 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
10572 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
10574 2014-04-16 João Távora <joaotavora@gmail.com>
10576 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
10577 links. (Bug#17217).
10579 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
10581 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
10582 Use mapc to loop over a vector. (Bug#17257).
10584 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
10586 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
10587 patch, there are new problems with file names containing spaces.
10588 Get rid of backticks. (Bug#17238)
10590 2014-04-16 João Távora <joaotavora@gmail.com>
10592 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
10595 2014-04-16 Eli Zaretskii <eliz@gnu.org>
10597 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
10598 (blink-cursor-mode): Mention customization variables and the
10599 effect of 'blink-cursor-blinks'.
10601 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
10603 * simple.el (undo): Prevent insertion of identity mapping into
10604 undo-equiv-table so as undo-only does not inf loop in the presence
10605 of consecutive nils in undo list.
10607 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
10609 * faces.el (make-face): Deprecate optional argument as it is no
10610 longer needed/used since the conditional X resources handling
10611 has been pushed down to make-face-x-resource-internal itself.
10612 (make-empty-face): Don't pass optional argument to make-face.
10614 2014-04-16 Karl Fogel <kfogel@red-bean.com>
10616 * savehist.el (savehist-save): Remove workaround for a read-passwd
10617 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
10618 noticing that the shim was still present.
10620 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
10622 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
10624 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
10626 * faces.el (face-set-after-frame-default): Remove unused local variable.
10628 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
10630 * progmodes/grep.el: Use lexical-binding.
10631 (grep-expand-template): Pass explicit lexical env to `eval'.
10632 (zrgrep): Let-bind grep-find-template explicitly.
10634 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
10635 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
10637 2014-04-12 Eli Zaretskii <eliz@gnu.org>
10639 * international/characters.el <standard-case-table>: Add entries
10640 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
10641 Set category of Coptic characters be 'g' (Greek).
10643 2014-04-12 Leo Liu <sdl.web@gmail.com>
10645 * progmodes/octave.el (completion-table-with-cache):
10646 Define if not available.
10647 (octave-goto-function-definition, octave-sync-function-file-names)
10648 (octave-find-definition-default-filename):
10649 Backquote upattern for compatibility.
10651 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
10653 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
10654 name twice due to backticks. (Bug#17238)
10656 2014-04-12 Glenn Morris <rgm@gnu.org>
10658 * term/w32-win.el (x-win-suspend-error):
10659 * term/x-win.el (x-win-suspend-error): Sync docs.
10661 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
10663 * faces.el (make-face): Remove deprecated optional argument.
10664 The conditional application of X resources is handled directly by
10665 make-face-x-resource-internal since Emacs 24.4.
10666 (make-empty-face): Don't pass optional argument to make-face.
10668 2014-04-11 Glenn Morris <rgm@gnu.org>
10670 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
10672 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
10674 Ediff's overlay priorities cause more trouble than they solve.
10675 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
10676 (ediff-highest-priority): Remove function (bug#17234).
10677 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
10678 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
10679 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
10680 overlay priorities.
10682 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
10684 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
10685 entry; use symbol boundaries to avoid mis-matches.
10687 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
10689 * net/tramp.el (tramp-file-name-handler)
10690 (tramp-completion-file-name-handler): Avoid recursive loading.
10692 * net/tramp-sh.el (tramp-make-copy-program-file-name):
10693 Quote result also locally.
10695 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
10697 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
10698 Remove left-over code.
10700 * newcomment.el (comment-indent-new-line): Sink code where it's used.
10701 Reuse the previous comment's indentation unconditionally if it's on its
10704 2014-04-09 Daniel Colascione <dancol@dancol.org>
10706 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
10707 `no-syntax-crossing' arguments. Forward to `up-list'.
10708 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
10709 Implement logic for escaping from strings. Use narrowing to deal
10712 2014-04-09 Leo Liu <sdl.web@gmail.com>
10714 * net/rcirc.el (rcirc-connection-info): New variable.
10715 (rcirc-connect): Use it to store connection info.
10716 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
10717 for killed process.
10718 (rcirc-cmd-reconnect): New command. (Bug#17045)
10719 (rcirc-mode, set-rcirc-encode-coding-system)
10720 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
10722 2014-04-09 Daniel Colascione <dancol@dancol.org>
10724 * emacs-lisp/cl-indent.el: Add comment claiming
10725 facility is also good for elisp.
10726 (lisp-indent-find-method): New function.
10727 (common-lisp-indent-function): Recognize cl-loop.
10728 (common-lisp-indent-function-1): Recognize cl constructs; use
10729 `lisp-indent-find-method' instead of `get' directly.
10730 (if): Use else-body style for elisp.
10732 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
10734 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
10735 Module methods. (Bug#17216)
10737 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10739 * help.el (describe-bindings): Fix buffer handling (bug#17210).
10740 (describe-bindings-internal): Mark obsolete.
10742 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10744 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
10745 buffer-file-name, and buffer-file-truename any more.
10747 2014-04-08 Leo Liu <sdl.web@gmail.com>
10749 Use lexical-binding and require cl-lib.
10750 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
10751 (rcirc-handler-generic, rcirc-fill-paragraph)
10752 (rcirc-format-response-string, rcirc-target-buffer)
10753 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
10754 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
10755 (rcirc-ctcp-sender-PING, rcirc-browse-url)
10756 (rcirc-markup-timestamp, rcirc-markup-attributes)
10757 (rcirc-markup-my-nick, rcirc-markup-urls)
10758 (rcirc-markup-bright-nicks, rcirc-markup-fill)
10759 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
10760 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
10761 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
10762 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
10763 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
10764 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
10765 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
10766 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
10767 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
10768 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
10771 2014-04-07 João Távora <joaotavora@gmail.com>
10773 * elec-pair.el (electric-pair--syntax-ppss):
10774 When inside comments parse from comment beginning.
10775 (electric-pair--balance-info): Fix typo in comment.
10776 (electric-pair--in-unterminated-string-p): Delete.
10777 (electric-pair--unbalanced-strings-p): New function.
10778 (electric-pair-string-bound-function): New var.
10779 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10780 according to `electric-pair--in-unterminated-string-p'
10782 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10783 Inhibit quote pairing if point-max is inside an unterminated string.
10784 (electric-pair--looking-at-unterminated-string-p): Delete.
10785 (electric-pair--in-unterminated-string-p): New function.
10787 2014-04-07 Glenn Morris <rgm@gnu.org>
10789 * shell.el (shell-directory-tracker):
10790 Go back to just ignoring failures. (Bug#17159)
10792 2014-04-07 João Távora <joaotavora@gmail.com>
10794 Fix `electric-pair-delete-adjacent-pairs' in modes binding
10795 backspace. (Bug#16981)
10796 * elec-pair.el (electric-pair-backward-delete-char): Delete.
10797 (electric-pair-backward-delete-char-untabify): Delete.
10798 (electric-pair-mode-map): Bind backspace to a menu item filtering
10799 a new `electric-pair-delete-pair' command.
10800 (electric-pair-delete-pair): New command.
10802 * progmodes/python.el (python-electric-pair-string-delimiter):
10803 Fix triple-quoting electricity. (Bug#17192)
10805 * elec-pair.el (electric-pair-post-self-insert-function):
10806 Don't skip whitespace when `electric-pair-text-pairs' and
10807 `electric-pair-pairs' were used. syntax to
10808 electric-pair--skip-whitespace. (Bug#17183)
10810 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10812 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
10815 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
10817 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
10818 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
10820 2014-04-07 Glenn Morris <rgm@gnu.org>
10822 * help.el (view-lossage): Doc tweak.
10824 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
10826 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
10827 only when inhibit-x-resources is nil, and do that earlier in the
10828 function. Doc fix. (Bug#16694)
10829 (face-spec-choose): Accept additional optional argument, whose
10830 value is returned if no matching attributes are found.
10831 (face-spec-recalc): Use the new optional argument when calling
10832 face-spec-choose. (Bug#16378)
10833 (make-face-x-resource-internal): Do nothing when
10834 inhibit-x-resources is non-nil. Don't touch the default face if
10835 reversed video is given--as was done in previous versions of Emacs.
10836 (face-set-after-frame-default): Don't call
10837 make-face-x-resource-internal here. (Bug#16434)
10839 2014-04-07 Tassilo Horn <tsdh@gnu.org>
10841 * doc-view.el (doc-view-bookmark-jump):
10842 Use `bookmark-after-jump-hook' to jump to the right page after the
10843 buffer is shown in a window. (bug#16090)
10845 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10847 * international/characters.el (mirroring): Fix last change:
10848 instead of loading uni-mirrored.el explicitly, do that implicitly
10849 by creating the 'mirroring' uniprop table. This avoids announcing
10850 the loading of uni-mirrored.el.
10852 2014-04-07 Glenn Morris <rgm@gnu.org>
10854 * files.el (buffer-stale--default-function)
10855 (buffer-stale-function, revert-buffer--default):
10856 * autorevert.el (auto-revert-buffers): Doc tweaks.
10858 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10860 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
10862 2014-04-07 Glenn Morris <rgm@gnu.org>
10864 * files.el (make-backup-file-name-function)
10865 (make-backup-file-name, make-backup-file-name--default-function)
10866 (make-backup-file-name-1, find-backup-file-name)
10867 (revert-buffer-function, revert-buffer-insert-file-contents-function)
10868 (buffer-stale--default-function, buffer-stale-function)
10869 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
10870 (revert-buffer, revert-buffer--default)
10871 (revert-buffer-insert-file-contents--default-function):
10872 Doc fixes related to defaults no longer being nil.
10873 (make-backup-file-name-function): Bump :version.
10874 Restore nil as a valid but deprecated custom type.
10876 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
10878 * progmodes/perl-mode.el (perl-syntax-propertize-function):
10879 Handle $' used as a variable (bug#17174).
10881 * progmodes/perl-mode.el (perl-indent-new-calculate):
10882 Handle forward-sexp failure (bug#16985).
10883 (perl-syntax-propertize-function): Add "foreach" and "for" statement
10884 modifiers introducing expressions (bug#17116).
10886 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
10888 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
10890 2014-04-05 Leo Liu <sdl.web@gmail.com>
10892 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
10893 Add define-compilation-mode.
10895 2014-04-04 João Távora <joaotavora@gmail.com>
10897 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
10898 parse from comment beginning.
10899 (electric-pair--balance-info): Fix typo in comment.
10900 (electric-pair--in-unterminated-string-p): Delete.
10901 (electric-pair--unbalanced-strings-p): New function.
10902 (electric-pair-string-bound-function): New var.
10903 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10904 according to `electric-pair--in-unterminated-string-p'.
10906 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
10908 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
10909 Move declaration before first use.
10910 (reftex-move-to-next-arg): Silence compiler warning.
10912 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
10914 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
10915 Use `window-total-width' instead of `window-width'.
10917 2014-04-03 Daniel Colascione <dancol@dancol.org>
10919 * subr.el (set-transient-map): Remove rms's workaround entirely;
10920 use new `suspicious-object' subr to mark our lambda for closer
10921 scrutiny during gc.
10923 2014-04-02 Richard Stallman <rms@gnu.org>
10925 * subr.el (set-transient-map): Comment out previous change.
10927 2014-04-02 Glenn Morris <rgm@gnu.org>
10929 * menu-bar.el (menu-bar-file-menu):
10930 * vc/ediff.el (ediff-current-file):
10931 Update for revert-buffer-function no longer being nil by default.
10933 * simple.el (command-execute): Respect nil disabled-command-function.
10935 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10937 * simple.el (command-execute): Do not execute the command when it
10938 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
10940 2014-04-02 Juri Linkov <juri@jurta.org>
10942 * dired-aux.el (dired-compress-file): Don't use string-match-p
10943 because its match data is used afterwards.
10945 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
10947 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
10950 2014-04-02 João Távora <joaotavora@gmail.com>
10952 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10953 Inhibit quote pairing if point-max is inside an unterminated string.
10954 (electric-pair--looking-at-unterminated-string-p):
10956 (electric-pair--in-unterminated-string-p): New function.
10958 2014-04-01 Daniel Colascione <dancol@dancol.org>
10960 * minibuffer.el (minibuffer-complete): Prevent assertion failure
10961 when trying to complete the prompt.
10963 2014-03-31 Leo Liu <sdl.web@gmail.com>
10965 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
10966 Refactor out eldoc-documentation-function-default.
10967 (eldoc-documentation-function-default): New function.
10968 (eldoc-documentation-function): Change value.
10970 2014-03-31 Glenn Morris <rgm@gnu.org>
10972 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
10974 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
10975 (vhdl-compose-components-package, vhdl-compose-configuration):
10976 Abbreviate default-directory (missing from some previous upstream sync).
10978 2014-03-31 Reto Zimmermann <reto@gnu.org>
10980 Sync with upstream vhdl mode v3.35.2.
10981 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
10982 (top-level): No longer require assoc.
10983 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
10984 New functions. Use throughout to replace aget etc.
10985 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
10986 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
10987 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
10988 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
10989 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
10990 except `vhdl-compiler'.
10991 (vhdl-error-regexp-add-emacs): Remove all other compilers,
10994 2014-03-31 Glenn Morris <rgm@gnu.org>
10996 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
10997 Revert 2014-03-26 merge goof; go back to using defalias.
10999 2014-03-30 Daniel Colascione <dancol@dancol.org>
11001 * comint.el (comint-send-input):
11002 Deactivate completion-in-region-mode before we send comint input.
11005 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
11008 2014-03-29 Glenn Morris <rgm@gnu.org>
11010 * textmodes/reftex.el: Manage most autoloads automatically.
11011 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
11012 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
11013 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
11014 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
11015 * textmodes/reftex-toc.el: Set generated-autoload-file,
11016 and add autoload cookies for reftex.el.
11017 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
11019 2014-03-28 Glenn Morris <rgm@gnu.org>
11021 * cus-start.el (report-emacs-bug-address): Set custom properties.
11022 * mail/emacsbug.el (report-emacs-bug-address):
11023 Variable is now defined in emacs.c.
11025 * mail/emacsbug.el (report-emacs-bug):
11026 Include system-configuration-features.
11028 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
11030 * simple.el (cycle-spacing): Never delete spaces on first run by
11031 default, but do so in a new 'fast mode and if there are already
11032 N spaces (the previous behavior).
11033 Compare N with its value in previous invocation so that changing
11034 prefix argument restarts `cycle-spacing' sequence.
11035 The idea is that with this change, binding M-SPC to
11036 `cycle-spacing' should not introduce any changes in behavior of
11037 the binding so long as users do not type M-SPC twice in a raw with
11038 the same prefix argument or lack thereof.
11040 2014-03-28 Glenn Morris <rgm@gnu.org>
11042 * faces.el (term-file-aliases): New variable.
11043 (tty-run-terminal-initialization): Respect term-file-aliases.
11044 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
11045 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
11046 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
11048 2014-03-27 Glenn Morris <rgm@gnu.org>
11050 * startup.el (inhibit-startup-hooks): Doc tweak.
11051 (normal-top-level): Simplify running of hooks.
11052 For window-setup-hook, respect inhibit-startup-hooks.
11053 (command-line-1): Don't set window-setup-hook to nil.
11055 Allow selective autoloading from obsolete/ directory.
11056 * Makefile.in (obsolete-autoloads): New rule.
11057 (autoloads): Run obsolete-autoloads.
11058 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
11059 * simple.el (iswitchb-mode): Remove hand-written autoloads.
11061 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
11063 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11064 Highlight special globals with font-lock-builtin-face. (Bug#17057)
11066 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
11067 Don't propertize `?' or `!' as symbol constituent when after
11070 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
11072 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
11073 which is no longer needed and causes trouble in GTK builds (bug#17046).
11075 * emacs-lisp/package-x.el (package--archive-contents-from-url):
11076 Use url-insert-file-contents; package-handle-response no longer exists.
11078 2014-03-26 Daniel Colascione <dancol@dancol.org>
11080 * simple.el (process-menu-mode-map): New variable.
11081 (process-menu-delete-process): New command.
11083 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
11085 * emacs-lisp/package.el: Fix bug#16733 (again).
11086 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
11087 (url-http-target-url): Remove unused declarations.
11088 (package-handle-response): Remove.
11089 (package--with-work-buffer): Use url-insert-file-contents and simplify.
11090 (package--download-one-archive): Use current-buffer instead of
11091 dynamic binding of `buffer'.
11092 (describe-package-1): Do not decode readme-string.
11094 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
11096 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
11098 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
11099 from 2014-03-07, it decreases performance unnecessarily. Let-bind
11100 `remote-file-name-inhibit-cache' to nil in the second pass.
11101 (tramp-find-executable): Do not call "which" on SunOS.
11102 (tramp-send-command-and-check): Fix docstring.
11103 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
11104 check whether source directory has set the sticky bit.
11106 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
11108 * simple.el (primitive-undo): Only process marker adjustments
11109 validated against their corresponding (TEXT . POS). Issue warning
11110 for lone marker adjustments in undo history. (Bug#16818)
11111 (undo-make-selective-list): Add marker adjustments to selective
11112 undo list based on whether their corresponding (TEXT . POS) is in
11113 the region. Remove variable adjusted-markers, which was unused
11114 and only non nil during undo-make-selective-list.
11115 (undo-elt-in-region): Return nil when passed a marker adjustment
11116 and explain in function doc.
11118 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
11120 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
11122 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
11124 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
11125 Match special global variables without curlies, too.
11126 (ruby-font-lock-keywords): Simplify the matcher for special global
11127 variables. Don't require a non-word character after the variable.
11130 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
11132 * simple.el (redisplay-highlight-region-function): Increase priority of
11133 overlay to make sure boundaries are visible (bug#15899).
11135 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
11137 * frameset.el (frameset--initial-params): Fix typo in parameter name.
11138 (frameset-restore): Compare display strings with equal.
11140 * frame.el (make-frame): Don't quote display name in error message,
11141 it is already a string.
11143 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
11145 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
11148 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
11150 * emacs-lisp/package.el (package--add-to-archive-contents):
11151 Include already installed and built-in packages in
11152 `package-archive-contents'.
11153 (package-install): Don't include already installed packages in the
11154 options during interactive invocation. (Bug#16762)
11155 (package-show-package-list): If the buffer is already displayed in
11156 another window, switch to that window.
11158 2014-03-26 Reto Zimmermann <reto@gnu.org>
11160 Sync with upstream vhdl mode v3.35.1.
11161 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
11162 (vhdl-compiler-alist): Doc fix.
11163 (vhdl-goto-line): Remove.
11164 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
11165 (vhdl-mode) <paragraph-start>: Fix value.
11166 (vhdl-fix-statement-region): Not `for' in wait-statement.
11167 (vhdl-beautify-region): Also (un)tabify.
11168 (vhdl-get-visible-signals):
11169 Scan declarative part of generate statements.
11170 (vhdl-template-record): Fix indentation for record type declaration.
11171 (vhdl-expand-abbrev, vhdl-expand-paren):
11172 Revert to using fset again rather than defalias.
11173 (vhdl-scan-directory-contents): Tweak.
11174 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
11175 (vhdl-compose-components-package):
11176 Replace vhdl-goto-line with forward-line.
11177 (top-level): Tweak speedbar frame selection.
11178 (vhdl-generate-makefile-1): Support for compilers with no
11179 unit-to-file name mapping (create directory with dummy files).
11181 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
11183 Sync with upstream verilog-mode revision 702457d.
11184 * progmodes/verilog-mode.el (verilog-mode-version): Update.
11185 (create-lockfiles): Declare.
11186 (verilog-read-decls): Fix module header imports, bug709.
11187 Reported by Victor Lau.
11188 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
11189 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
11190 interface-only modules, bug721. Reported by Dean Hoyt.
11192 2014-03-26 Glenn Morris <rgm@gnu.org>
11194 * obsolete/gulp.el: Move here from emacs-lisp/.
11196 * files.el (lock-buffer, unlock-buffer, file-locked-p):
11197 Remove fallback aliases, since they are always defined now.
11199 2014-03-24 Daniel Colascione <dancol@dancol.org>
11201 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
11202 instead of cl-loop search function.
11204 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
11206 * calendar/parse-time.el (parse-time-iso8601-regexp)
11207 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
11210 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
11212 * net/dns.el (network-interface-list): Define for XEmacs.
11214 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
11216 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
11217 the network interfaces changed.
11218 (dns-query): Use it to flush the data.
11220 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
11222 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
11224 2014-03-23 Daniel Colascione <dancol@dancol.org>
11226 Change subword-mode to use `find-word-boundary-function-table' and
11227 replace `capitalized-words-mode'. Also, convert to lexical binding.
11228 * progmodes/cap-words.el: Delete now-obsolete file.
11229 * progmodes/subword.el: Reimplement using
11230 `find-word-boundary-function-table'.
11231 (subword-mode-map): Hollow out.
11232 (capitalized-words-mode): Define as obsolete alias for
11234 (subword-mode, superword-mode): Tweak documentation to reflect new
11235 implementation; call `subword-setup-buffer'.
11236 (subword-forward, subword-capitalize): Add underscore to indicate
11238 (subword-find-word-boundary-function-table): New constant.
11239 (subword-empty-char-table): New constant.
11240 (subword-setup-buffer): New function.
11241 (subword-find-word-boundary): New function.
11243 2014-03-23 Daniel Colascione <dancol@dancol.org>
11245 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
11246 list to look for keyword arguments instead of `memq', fixing
11247 (Bug#3647) --- unfortunately, only for freshly-compiled code.
11248 Please make bootstrap.
11250 2014-03-22 Glenn Morris <rgm@gnu.org>
11252 * dired.el (dired-read-regexp): Make obsolete.
11253 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
11254 (dired-flag-files-regexp):
11255 * dired-aux.el (dired-mark-read-regexp):
11256 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
11258 * startup.el (fancy-startup-text):
11259 * help.el (describe-gnu-project): Visit online info about GNU project.
11261 * help-fns.el (help-fns--interactive-only): New function.
11262 (help-fns-describe-function-functions): Add the above function.
11263 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
11264 (next-line, previous-line): Remove hand-written interactive-only
11265 information from doc strings, it is auto-generated now.
11266 * bookmark.el (bookmark-write):
11267 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
11268 (epa-mail-import-keys): Mark interactive-only,
11269 and remove hand-written interactive-only information from doc strings.
11270 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
11271 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
11272 * files.el (not-modified):
11273 * simple.el (mark-whole-buffer): Mark interactive-only.
11275 * emacs-lisp/byte-run.el (defun-declarations-alist):
11276 Add interactive-only. Doc tweak.
11277 (macro-declarations-alist): Doc tweak.
11278 * subr.el (declare): Doc tweak (add xref to manual).
11279 * comint.el (comint-run):
11280 * files.el (insert-file-literally, insert-file):
11281 * replace.el (replace-string, replace-regexp):
11282 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
11283 (delete-forward-char, goto-line, insert-buffer, next-line)
11284 (previous-line): Set interactive-only via declare.
11286 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
11288 * emacs-lisp/package.el (package-desc): Use the contents of the
11289 quoted form, not its cdr. (Bug#16873)
11291 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
11293 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
11294 benefit of doc.c; change parameter profile to match the X function.
11296 2014-03-22 Leo Liu <sdl.web@gmail.com>
11298 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
11299 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
11301 2014-03-21 Richard Stallman <rms@gnu.org>
11303 * battery.el (battery-linux-sysfs): Search for each field
11304 from the beginning of the buffer.
11306 * subr.el (set-transient-map): Clear out function and value
11307 of the temporary symbol when we're done with it.
11309 * mail/rmailsum.el (rmail-summary-delete-forward):
11310 Optimize case of reaching end and handling count.
11311 (rmail-summary-mark-deleted): Optimize when N is current msg.
11312 Don't create new summary line.
11313 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
11314 (rmail-summary-undelete-many): Rewrite for speed.
11315 (rmail-summary-msg-number): New function.
11317 * mail/rmail.el (rmail-delete-message): Update summary.
11318 (rmail-undelete-previous-message): Handle repeat count arg.
11319 (rmail-delete-backward, rmail-delete-forward): Likewise.
11321 2014-03-21 Daniel Colascione <dancol@dancol.org>
11323 * mail/emacsbug.el (report-emacs-bug): Include memory usage
11324 information in bug reports.
11326 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
11328 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
11329 and `tramp-copy-env'.
11331 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
11332 (tramp-maybe-open-connection): Handle `tramp-login-env'.
11334 2014-03-21 Glenn Morris <rgm@gnu.org>
11336 * electric.el (electric-indent-post-self-insert-function): Add doc.
11338 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
11340 * emacs-lisp/package.el (package-compute-transaction):
11341 Use `version-list-<=' to compare the requirement version against
11342 the version of package already to be installed. Update the error
11343 message. (Bug#16826)
11345 * progmodes/ruby-mode.el (ruby-smie-rules):
11346 Add indentation rule for ` @ '. (Bug#17050)
11348 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
11350 * align.el (align-regexp): Remove superfluous backslash.
11352 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
11353 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
11354 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
11355 Fix docstring typos.
11356 (ffap-next): Use C-u in docstring.
11357 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
11358 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
11359 Remove superfluous backslashes.
11360 (ffap-string-at-point): Reflow docstring.
11362 * server.el (server-host): Reflow docstring.
11363 (server-unload-function): Fix docstring typo.
11364 (server-eval-at): Remove superfluous backslash.
11366 * skeleton.el (skeleton-insert): Remove superfluous backslash.
11367 (skeleton-insert): Doc fix.
11368 (skeleton-insert): Reflow docstring.
11370 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
11371 (tty-color-approximate, tty-color-by-index, tty-color-values)
11372 (tty-color-desc): Remove superfluous backslashes.
11374 2014-03-21 Glenn Morris <rgm@gnu.org>
11376 * cus-start.el (history-length): Bump :version.
11378 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
11379 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
11380 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
11381 Don't set `make-backup-files'.
11383 * info.el (info--prettify-description): New function,
11384 to give info-finder descriptions consistent case, punctuation.
11385 (Info-finder-find-node): Use it. Sort packages.
11386 Refer to "description" rather than "commentary".
11388 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
11390 * frameset.el (frameset--print-register): New function.
11391 (frameset-to-register): Use it.
11393 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
11395 * progmodes/hideif.el (hif-string-to-number): New function.
11396 (hif-tokenize): Use it to understand non-decimal floats.
11398 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
11400 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
11402 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
11404 * electric.el (electric-newline-and-maybe-indent): New command.
11405 Bind it globally to C-j.
11406 (electric-indent-mode): Don't mess with the global map any more.
11407 Don't drop the post-self-insert-hook is some buffer is still using it
11410 * bindings.el (global-map): Remove C-j binding.
11412 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
11413 the docstring of functions advised before dumping (bug#16993).
11415 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
11417 * ps-print.el (ps-generate-postscript-with-faces):
11418 Explicitly deactivate the mark (bug#16866).
11419 * simple.el (deactivate-mark): Update region highlight.
11421 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
11423 * emacs-lisp/package.el (describe-package-1):
11424 Decode commentary (bug#16733).
11426 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
11428 * custom.el (defcustom): Doc fix: recommend avoiding destructive
11429 modification of the value argument of :set (bug#16755).
11431 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
11433 * simple.el (newline-and-indent): Do autofill (bug#17031).
11435 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
11437 * newcomment.el (comment-normalize-vars): Only add escaping check
11438 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
11439 (comment-beginning): Use `narrow-to-region' instead of moving back
11441 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
11442 (comment-start-skip): Update the docstring.
11444 2014-03-18 Richard Stallman <rms@gnu.org>
11446 * dired.el (dired-display-file): Force use of other window.
11448 2014-03-18 Daniel Colascione <dancol@dancol.org>
11450 * startup.el (tty-handle-args): Remove debug message from 2007.
11452 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
11454 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
11455 (advice--make-interactive-form): Use it to avoid (auto)loading function.
11456 (advice--make-1, advice-add, advice-remove):
11457 Remove braindead :advice-pending hack.
11459 2014-03-17 Glenn Morris <rgm@gnu.org>
11461 * calendar/calendar.el (calendar-generate-month): Apply weekend
11462 face to the right days; fixes 2013-08-06 change. (Bug#17028)
11464 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
11466 * net/tramp.el (tramp-action-out-of-band): Read pending output.
11467 (tramp-call-process): Trace also DESTINATION.
11469 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
11470 Quote file names when they are local. Remove superfluous trace.
11472 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
11474 * newcomment.el (comment-beginning): If `comment-start-skip'
11475 doesn't match, move back one char and try again. (Bug#16971)
11477 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
11478 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
11479 Set `comment-start-skip' to a simpler value that doesn't try to
11480 check if the semicolon is escaped (this is handled by
11481 `syntax-ppss' now). (Bug#16971)
11483 * progmodes/scheme.el (scheme-mode-variables): Same.
11485 2014-03-16 Martin Rudalics <rudalics@gmx.at>
11487 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
11488 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
11489 current (Bug#16816, Bug#17007).
11490 (with-current-buffer-window): New macro doing the same as
11491 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
11492 * help.el (help-print-return-message): Warn in doc-string to not
11493 use this in `with-help-window'.
11494 (describe-bindings-internal): Call `describe-buffer-bindings'
11495 from within help buffer. See Juanma's scenario in (Bug#16816).
11496 (with-help-window): Update doc-string.
11497 * dired.el (dired-mark-pop-up):
11498 * files.el (save-buffers-kill-emacs):
11499 * register.el (register-preview): Use `with-current-buffer-window'
11500 instead of `with-temp-buffer-window'.
11502 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
11504 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
11505 Implement inserting into current buffer, documented in their docstrings.
11506 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
11507 (rst-section-tree-point, rst-forward-section, rst-indent)
11508 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
11509 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
11510 (rst-font-lock-handle-adornment-pre-match-form)
11511 (rst-repeat-last-character): Reflow docstrings.
11512 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
11513 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
11514 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
11515 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
11516 Fix docstring typos.
11517 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
11518 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
11519 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
11521 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
11523 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
11524 for compatibility with other ports.
11525 (ns-initialize-window-system): Use it. It is set in term/common-win.el
11526 from the -xrm command line argument, but in the Nextstep port its value
11527 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
11529 * progmodes/python.el (defconst, python-syntax-count-quotes)
11530 (python-indent-region, python-indent-shift-right)
11531 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
11532 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
11533 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
11534 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
11535 (inferior-python-mode, python-shell-make-comint, run-python-internal)
11536 (python-shell-buffer-substring, python-shell-send-buffer)
11537 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
11538 (python-completion-complete-at-point, python-fill-docstring-style)
11539 (python-eldoc-function, python-imenu-format-item-label)
11540 (python-imenu-format-parent-item-label)
11541 (python-imenu-format-parent-item-jump-label)
11542 (python-imenu--build-tree, python-imenu-create-index)
11543 (python-imenu-create-flat-index): Fix docstring typos.
11544 (python-indent-context, python-shell-prompt-regexp, run-python):
11545 Remove superfluous backslashes.
11546 (python-indent-line, python-nav-beginning-of-defun)
11547 (python-shell-get-buffer, python-shell-get-process)
11548 (python-info-current-defun, python-info-current-line-comment-p)
11549 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
11550 (python-indent-post-self-insert-function, python-shell-send-file)
11551 (python-shell-completion-get-completions)
11552 (python-shell-completion-complete-or-indent)
11553 (python-eldoc--get-doc-at-point): Reflow docstrings.
11555 2014-03-14 Glenn Morris <rgm@gnu.org>
11557 * emacs-lisp/package.el (package-menu-mode-map):
11558 Replace use of obsolete function alias. Tweak menu item text.
11560 * info.el (Info-finder-find-node):
11561 Ignore the `emacs' metapackage. (Bug#10813)
11563 * finder.el (finder-list-matches): Include unversioned packages
11564 in the result of a keyword search.
11566 * finder.el (finder--builtins-descriptions): New constant.
11567 (finder-compile-keywords): Use finder--builtins-descriptions.
11569 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
11571 * simple.el (blink-matching-paren): Describe the new value,
11572 `jump', enabling the old behavior.
11573 (blink-matching-open): Use that value. (Bug#17008)
11575 2014-03-14 Glenn Morris <rgm@gnu.org>
11577 * finder.el (finder-no-scan-regexp): Add leim-list.
11578 (finder-compile-keywords):
11579 Don't skip files with same basename. (Bug#14010)
11580 * Makefile.in (setwins_finder): New, excluding leim.
11581 (finder-data): Use setwins_finder.
11583 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
11584 (help-function-arglist, help-make-usage): Move from here...
11585 * help.el (help-split-fundoc, help-add-fundoc-usage)
11586 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
11587 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
11589 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
11591 * net/socks.el (socks, socks-override-functions)
11592 (socks-find-services-entry):
11593 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
11594 (hif-find-ifdef-block):
11595 * progmodes/modula2.el (m2-indent): Fix docstring typos.
11597 * net/tls.el (tls-program): Reflow docstring.
11599 * progmodes/pascal.el (pascal-mode-abbrev-table)
11600 (pascal-imenu-generic-expression, pascal-auto-endcomments)
11601 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
11602 (pascal-outline-mode): Fix docstring typos.
11603 (pascal-mode): Let define-derived-mode document mode hook.
11604 (pascal-uncomment-area): Reflow.
11605 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
11607 * progmodes/opascal.el (opascal-compound-block-indent)
11608 (opascal-case-label-indent): Fix docstring typos.
11609 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
11611 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
11613 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11614 Fontify multiple adjacent negation chars. (Bug#17004)
11616 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
11618 * emacs-lisp/package.el (package--prepare-dependencies):
11619 Accept requirements without explicit version (bug#14941).
11621 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
11623 * register.el (register-separator, copy-to-register): Doc fixes.
11624 (register-preview-default): Remove unnecessary call to concat.
11626 * frameset.el (frameset-restore): When checking for a visible frame,
11627 use the action map instead of calling visible-frame-list.
11629 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
11631 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
11633 2014-03-12 Martin Rudalics <rudalics@gmx.at>
11635 * window.el (fit-frame-to-buffer): Get maximum width from
11636 display's width instead of height.
11638 2014-03-12 Glenn Morris <rgm@gnu.org>
11640 * desktop.el (desktop-restore-frames)
11641 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
11642 (desktop-restore-reuses-frames): Doc tweaks.
11644 * electric.el (electric-indent-mode): Doc fix.
11646 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
11648 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
11649 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
11650 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
11651 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
11652 (cvs-dired-use-hook): Fix docstring typos.
11653 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
11656 * vc/pcvs-defs.el (cvs-auto-remove-handled)
11657 (cvs-auto-remove-directories, cvs-default-ignore-marks)
11658 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
11659 (cvs-execute-single-dir): Fix docstring typos.
11661 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
11662 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
11664 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
11666 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
11667 Fix docstring typos.
11669 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
11671 * frameset.el (frameset--jump-to-register): Add autoload; it could be
11672 called from jump-to-register after unloading the frameset package.
11674 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
11676 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
11677 (bug#16975). Deactivate the mark before setting it to nil.
11678 (activate-mark): Do nothing if region is already active.
11680 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
11682 * frameset.el (frameset--target-display): Remove definition; declare.
11683 (frameset-save, frameset-restore): Let-bind frameset--target-display.
11685 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
11687 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
11688 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
11689 when the advice is pending.
11690 (advice-remove): Remove this marker when not needed any more.
11692 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
11694 * frameset.el: Separate options for reusing frames and cleaning up.
11695 (frameset--reuse-list): Remove definition; declare.
11696 (frameset--action-map): Declare.
11697 (frameset--find-frame-if): Doc fix.
11698 (frameset--restore-frame): Cache frame action.
11699 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
11700 how to clean up the frame list after restoring. Remove cleaning
11701 options from REUSE-FRAMES. Change all keyword values to symbols.
11702 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
11704 * desktop.el (desktop-restore-forces-onscreen)
11705 (desktop-restore-reuses-frames): Use non-keyword values.
11706 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
11708 2014-03-10 Glenn Morris <rgm@gnu.org>
11710 * files.el (find-file): Doc fix: update info node name.
11712 * emacs-lisp/advice.el (ad-add-advice, defadvice):
11713 Doc fix: remove references to deleted info nodes.
11715 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
11717 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
11718 Do not add nil to the environment, when there's no remote `locale'.
11719 (tramp-find-inline-encoding): Check, that the remote host has
11720 installed perl, before sending scripts.
11722 2014-03-10 Leo Liu <sdl.web@gmail.com>
11724 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
11725 Clear eldoc-last-message. (Bug#16920)
11727 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
11729 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
11732 2014-03-09 Juri Linkov <juri@jurta.org>
11734 * ansi-color.el (ansi-color-names-vector): Copy default colors
11735 from `xterm-standard-colors' that look well on the default white
11736 background (and also on the black background) to avoid illegible
11737 color combinations like yellow-on-white and white-on-white.
11738 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
11740 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
11742 * frameset.el (frameset-restore): When no frame is visible, do not
11743 generate a list of frames, just make visible the selected one.
11745 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
11747 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
11748 it only contains the repository root. (Bug#16897)
11750 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
11752 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
11753 only when `remote-file-name-inhibit-cache' is nil.
11754 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
11756 2014-03-06 Martin Rudalics <rudalics@gmx.at>
11758 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
11760 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
11761 fit-frame-to-buffer variable. Fix doc-string.
11762 (fit-window-to-buffer): Set ONLY argument in call of
11763 fit-frame-to-buffer. Fix doc-string.
11765 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
11767 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
11768 (tramp-action-password): Clear password cache if needed.
11769 (tramp-read-passwd): Do not clear password cache.
11771 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
11772 cache unless it is the first password request.
11774 2014-03-06 Glenn Morris <rgm@gnu.org>
11776 * simple.el (newline): Doc tweak.
11778 * emacs-lisp/shadow.el (load-path-shadows-find):
11779 Ignore dir-locals. (Bug#12357)
11781 2014-03-05 Glenn Morris <rgm@gnu.org>
11783 * files.el (interpreter-mode-alist):
11784 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
11786 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11788 * frameset.el (frameset--initial-params): Filter out null entries.
11790 2014-03-05 Martin Rudalics <rudalics@gmx.at>
11792 * window.el (window-min-height, window-min-width):
11793 Rewrite doc-strings.
11794 (window-body-size): Add PIXELWISE argument to make it consistent
11797 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11799 * finder.el (finder-mode-map, finder-mode-syntax-table):
11800 Revert part of 2014-02-28 change.
11802 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
11804 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
11805 (eww-setup-buffer): Clear next/prev/etc more reliably.
11806 (eww-textarea-map): [tab] doesn't work on tty.
11807 Reported by Mario Lang.
11809 * net/shr.el (shr-map): Ditto.
11811 2014-03-04 Glenn Morris <rgm@gnu.org>
11813 * minibuffer.el (completion-hilit-commonality):
11814 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
11816 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
11818 * hilit-chg.el (hilit-chg-unload-function): New function.
11819 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
11820 (hilit-chg-map-changes): Prefer cardinal number to digit.
11821 (hilit-chg-display-changes): Reflow docstring.
11822 (highlight-changes-rotate-faces): Remove superfluous backslash.
11824 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
11826 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
11827 `tramp-send-command-and-check'.
11829 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
11831 * hexl.el (hexl-address-region, hexl-ascii-region)
11832 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
11833 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
11834 (hexl-forward-word, hexl-previous-line, hexl-next-line):
11835 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
11836 (hexl-mode): Doc fix.
11837 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
11838 (hexl-mode-ruler): Fix typos in docstrings.
11840 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
11841 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
11842 Remove superfluous backslashes.
11843 (strokes-last-stroke, strokes-global-map, strokes-mode):
11845 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
11846 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
11847 (strokes-distance-squared, strokes-global-set-stroke)
11848 (strokes-global-set-stroke-string): Doc fixes.
11849 (strokes-help): Fix typos; reflow docstring.
11851 2014-03-04 Martin Rudalics <rudalics@gmx.at>
11853 * window.el (window-in-direction): Fix doc-string.
11855 2014-03-04 Glenn Morris <rgm@gnu.org>
11857 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
11858 Explicit error if no grammar.
11859 (smie-config-save): Doc fix. Fix quote typo.
11861 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
11863 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
11864 electric-indent-mode-hook if we obey electric-indent-mode.
11865 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
11866 decide whether we obey electric-indent-mode.
11867 (c-change-set-fl-decl-start, c-extend-after-change-region):
11869 (c-electric-indent-mode-hook): Assume we do want to obey
11870 electric-indent-mode.
11872 * electric.el (electric-indent-mode-has-been-called): Remove.
11873 (electric-indent-mode): Fix accordingly.
11875 * files.el (hack-local-variables): Mention file name in warning.
11877 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
11879 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
11881 * bindings.el: Add comment describing why C-d binds to `delete-char'.
11882 * simple.el (delete-forward-char): Mark as interactive-only.
11884 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
11886 * icomplete.el (icomplete-completions):
11887 Follow-up to 2014-03-01 change.
11889 * icomplete.el: Miscellaneous doc fixes.
11890 Use Icomplete everywhere instead of icomplete for consistency.
11891 (icomplete-max-delay-chars): Fix typo.
11892 (icomplete-mode): Use \[].
11893 (icomplete-tidy, icomplete-exhibit): Reflow.
11894 (icomplete-minibuffer-setup-hook, icomplete-completions):
11895 Remove superfluous backlashes.
11897 * ido.el: Miscellaneous doc fixes.
11898 Use Ido everywhere instead of ido or `ido' for consistency.
11899 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
11900 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
11901 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
11902 (ido-separator): Extract obsolescence info from docstring and declare
11903 with make-obsolete-variable.
11904 (ido-minibuffer-setup-hook): Simplify example.
11905 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
11906 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
11907 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
11908 (ido-everywhere): Reflow docstring.
11909 (ido-toggle-vc): Doc fix.
11910 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
11911 of long list of keybindings.
11913 2014-03-03 Glenn Morris <rgm@gnu.org>
11915 * frame.el (display-pixel-height, display-pixel-width)
11916 (display-mm-dimensions-alist, display-mm-height)
11917 (display-mm-width): Doc tweaks.
11919 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
11921 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
11922 case of undo in region.
11924 2014-03-02 Martin Rudalics <rudalics@gmx.at>
11926 * window.el (fit-window-to-buffer): Fix argument in window-size
11927 call when window is horizontally combined.
11929 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
11931 * icomplete.el (icomplete-completions): Use string-width.
11932 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
11934 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
11936 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11937 Highlight regexp options. (Bug#16914)
11939 2014-03-01 Martin Rudalics <rudalics@gmx.at>
11941 * window.el (window--max-delta-1): Round down when calculating
11942 how many lines/columns we can get from a window.
11944 2014-03-01 Glenn Morris <rgm@gnu.org>
11946 * isearch.el (search-invisible): Doc fix.
11948 * minibuffer.el (completion-hilit-commonality):
11949 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
11950 * comint.el (comint-dynamic-list-completions): Doc fix.
11951 * comint.el (comint-dynamic-list-completions):
11952 * filecache.el (file-cache-minibuffer-complete):
11953 * tempo.el (tempo-display-completions):
11954 * eshell/em-hist.el (eshell-list-history):
11955 Replace use of obsolete argument of display-completion-list.
11957 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
11959 * icomplete.el (icomplete-completions):
11960 Revert back to using "..." when ?… cannot be displayed.
11962 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
11964 * finder.el (finder-unload-function): New function.
11966 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
11968 * dframe.el (dframe-detach):
11969 * find-dired.el (find-dired, find-name-dired):
11970 * finder.el (finder-mode-map, finder-mode-syntax-table)
11971 (finder-headmark, finder-select, finder-mouse-select):
11972 Fix docstring typos.
11974 2014-02-28 Martin Rudalics <rudalics@gmx.at>
11976 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
11977 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
11978 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
11979 Fix doc-string based on a suggestion by Nicolas Richard
11980 <theonewiththeevillook@yahoo.fr>.
11981 * help.el (with-help-window): Fix doc-string.
11983 2014-02-28 Ivan Kanis <ivan@kanis.fr>
11985 * net/shr.el (shr-image-animate): New option.
11986 (shr-put-image): Respect shr-image-animate.
11988 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
11990 * net/tramp-adb.el (tramp-adb-parse-device-names):
11991 Use `accept-process-output'.
11992 (tramp-adb-handle-file-truename): Cache the localname only.
11993 (tramp-adb-handle-make-directory)
11994 (tramp-adb-handle-delete-directory): Flush file properties correctly.
11995 (tramp-adb-handle-set-file-modes): Do not raise an error when file
11996 modes cannot be changed.
11998 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
11999 file properties of symlinks.
12001 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
12003 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
12004 required/optional fields to match development biblatex. (Bug#16781)
12006 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
12008 * saveplace.el (toggle-save-place):
12009 Fix argument handling. (Bug#16673)
12011 2014-02-28 Glenn Morris <rgm@gnu.org>
12013 * minibuffer.el (completions-first-difference)
12014 (completions-common-part, completion-hilit-commonality): Doc fixes.
12016 2014-02-28 Karl Berry <karl@gnu.org>
12018 * info.el (Info-mode-map): Add H for describe-mode,
12019 to synchronize with standalone Info.
12021 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
12023 * progmodes/sql.el (sql-interactive-mode):
12024 Avoid setting global comint-input-ring-separator. (Bug#16814)
12026 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
12028 * net/dbus.el (dbus--init-bus): Declare function.
12029 (dbus-path-local, dbus-interface-local): New defconst.
12030 (dbus-init-bus): Use them.
12031 (dbus-return-values-table): Extend doc.
12032 (dbus-handle-bus-disconnect): Extend error message.
12034 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
12036 * subr.el (y-or-n-p): Fix double space issue in message.
12038 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
12040 * net/tramp.el (tramp-call-process): Improve trace message.
12041 (tramp-handle-insert-file-contents): Trace error case.
12043 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
12044 <insert-directory>: Use `tramp-handle-insert-directory'.
12045 (tramp-adb-handle-insert-directory): Remove function.
12046 (tramp-adb-send-command-and-check): New defun, replacing
12047 `tramp-adb-command-exit-status'. Change all callees.
12048 (tramp-adb-handle-file-attributes)
12049 (tramp-adb-handle-directory-files-and-attributes): Use it.
12050 (tramp-adb-ls-output-name-less-p):
12051 Use `directory-listing-before-filename-regexp'.
12052 (tramp-adb-handle-delete-directory): Flush also file properties of
12053 the truename of directory.
12054 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
12055 (tramp-adb-handle-file-local-copy): Make the local copy readable.
12056 (tramp-adb-handle-write-region): Implement APPEND.
12057 (tramp-adb-handle-rename-file): Make it more robust. Flush file
12058 properties correctly.
12059 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
12060 variables. Check for connected devices only when needed.
12062 2014-02-27 Glenn Morris <rgm@gnu.org>
12064 * minibuffer.el (completion-table-dynamic)
12065 (completion-table-with-cache): Doc fixes.
12067 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
12068 (completing-read-multiple): Doc fixes.
12070 2014-02-27 Daniel Colascione <dancol@dancol.org>
12072 * minibuffer.el (completion--nth-completion): Fix indentation.
12074 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
12075 explicit tramp path is empty.
12077 2014-02-27 Glenn Morris <rgm@gnu.org>
12079 * emacs-lisp/crm.el (completing-read-multiple):
12080 Empower help-enable-auto-load.
12082 2014-02-26 Glenn Morris <rgm@gnu.org>
12084 * startup.el (command-line): Don't init the tty in daemon mode.
12086 Avoid calling tty-setup-hook twice, eg if a term file
12087 explicitly calls tty-run-terminal-initialization. (Bug#16859)
12088 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
12089 (tty-create-frame-with-faces): Use it.
12090 * startup.el (command-line): Pass run-hook argument
12091 to tty-run-terminal-initialization.
12093 * dired.el (dired-restore-desktop-buffer): Demote errors;
12094 eg in case a glob match fails. (Bug#16884)
12096 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
12098 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
12099 error from `read-from-string'. (Bug#16850)
12101 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
12102 result of `completing-read' in the interactive form. (Bug#16854)
12104 2014-02-25 Glenn Morris <rgm@gnu.org>
12106 * image.el (image-animate, image-animate-timeout):
12107 Stop animating images in dead buffers. (Bug#16878)
12109 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
12111 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
12113 * startup.el (term-setup-hook): Doc fix. Make obsolete.
12114 * term/sun.el (sun-raw-prefix-hooks):
12115 Use tty-setup-hook instead of term-setup-hook.
12116 (terminal-init-sun): Construct message from bytecomp plist.
12117 * term/wyse50.el (enable-arrow-keys): Doc fix.
12119 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
12121 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
12122 Fix docstring typos.
12124 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
12126 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
12128 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
12130 * minibuffer.el (completion--try-word-completion):
12131 Fix error when completing M-x commands (bug#16808).
12133 2014-02-24 Leo Liu <sdl.web@gmail.com>
12135 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
12137 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
12139 * apropos.el (apropos-print): Avoid formatting error when
12140 apropos-do-all and apropos-compact-layout are both t.
12142 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
12144 * apropos.el (apropos-property, apropos-all-words-regexp)
12145 (apropos-true-hit, apropos-variable, apropos-print):
12146 Fix docstring typos, and remove obsolete comment.
12148 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
12150 * net/tramp-sh.el (tramp-sh-handle-file-truename):
12151 Preserve trailing "/". (Bug#16851)
12153 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
12155 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
12156 after `=>' (bug#16811).
12157 (ruby-smie-rules): Handle the inconsistent second element of the
12158 list returned by `smie-indent--parent'.
12159 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
12162 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
12164 * elec-pair.el (electric-pair-text-syntax-table)
12165 (electric-pair-syntax-info, electric-pair--syntax-ppss)
12166 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
12167 (electric-pair--looking-at-unterminated-string-p): Doc fix.
12168 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
12170 2014-02-22 Glenn Morris <rgm@gnu.org>
12172 * imenu.el (imenu--generic-function): Doc fix.
12174 * register.el (frame-configuration-to-register): Make obsolete.
12176 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
12178 * desktop.el (desktop-save-buffer-p): Do not fail when
12179 desktop-files-not-to-save is nil. Return t for true result
12182 2014-02-22 Daniel Colascione <dancol@dancol.org>
12184 * net/secrets.el (secrets-create-item, secrets-search-items):
12185 Check that attribute values are strings, avoiding the construction
12186 of invalid dbus messages.
12188 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12190 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
12191 defun-declarations-alist.
12193 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
12195 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
12198 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12200 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
12201 (whitespace-newline, whitespace-trailing, whitespace-line)
12202 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
12203 (whitespace-space-after-tab): Fix typo in docstrings.
12205 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
12207 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
12209 * electric.el (electric-indent-functions-without-reindent):
12210 Add `yaml-indent-line'.
12212 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12214 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
12215 It has done nothing for years; should be removed after the release.
12217 * simple.el (choose-completion): Fix docstring typo.
12218 (read-quoted-char-radix): Remove unneeded * in docstring.
12219 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
12220 Don't escape parentheses unnecessarily in docstrings.
12222 2014-02-21 Martin Rudalics <rudalics@gmx.at>
12224 Fix handling of window-min-height/-width (Bug#16738).
12225 * window.el (window--dump-window, window--dump-frame):
12227 (window--min-size-1): Account for window dividers.
12228 When window-resize-pixelwise is nil, delay rounding till after the
12229 sum of the window components has been calculated.
12230 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
12231 nil make sure at least one text line and two text columns remain
12233 (window-resize): Signal an error when window-resize-apply fails.
12234 (window--resize-child-windows): Fix calculation of by how many
12235 pixels a window can still be shrunk via window-new-normal.
12236 (adjust-window-trailing-edge): Call window--resizable with
12237 correct TRAIL argument.
12239 (with-temp-buffer-window): Don't evaluate BODY within
12240 with-current-buffer (Bug#16816).
12242 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
12244 * net/tramp.el (tramp-check-cached-permissions):
12245 Call `file-attributes' with `suffix' being a symbol but a string.
12247 2014-02-21 Daniel Colascione <dancol@dancol.org>
12249 * net/dbus.el (dbus-init-bus-1): Declare new subr.
12250 (dbus-init-bus): New function: call into dbus-init-bus-1
12251 and installs a handler for the disconnect signal.
12252 (dbus-call-method): Rewrite to look for result in cons.
12253 (dbus-call-method-handler): Store result in cons.
12254 (dbus-check-event): Recognize events with nil sender as valid.
12255 (dbus-handle-bus-disconnect): New function. React to bus
12256 disconnection signal by synthesizing dbus error for each
12257 pending synchronous or asynchronous call.
12258 (dbus-notice-synchronous-call-errors): New function.
12259 (dbus-handle-event): Raise errors directly only when `dbus-debug'
12260 is true, not all the time.
12262 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12264 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
12265 Remove obsolescence declarations, these variables do not exist anymore.
12267 * savehist.el (savehist-save-minibuffer-history)
12268 (savehist-additional-variables, savehist-file, savehist-mode-hook)
12269 (savehist-save-hook, savehist-coding-system, savehist-loaded)
12270 (savehist-load, savehist-install, savehist-autosave): Fix typos;
12271 mostly, refer to "Savehist mode" when talking about the mode,
12272 and not the function.
12274 * saveplace.el (save-place): Remove redundant info in docstring.
12275 (save-place-forget-unreadable-files, toggle-save-place)
12276 (save-place-forget-unreadable-files, save-place-dired-hook):
12277 Fix typos and remove unneeded backslashes.
12279 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
12281 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
12282 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
12284 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
12285 <insert-directory>: Use `tramp-handle-insert-directory'.
12286 (tramp-gvfs-handle-insert-directory): Remove function.
12288 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
12289 Call `tramp-handle-insert-directory'.
12291 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
12293 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
12294 before the start of buffer/region (bug#16799).
12296 2014-02-20 Glenn Morris <rgm@gnu.org>
12298 * isearch.el (search-invisible): Doc fix.
12300 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
12302 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
12305 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
12307 * frameset.el (frameset-restore): Delay removing an old frame's
12308 duplicate id until the new frame has been correctly created.
12310 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
12312 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
12313 (tramp-check-cached-permissions): Call `file-attributes' if the
12316 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
12317 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
12319 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
12320 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
12321 (tramp-gvfs-maybe-open-connection): Set always connection
12322 properties, even if target is mounted already.
12324 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
12325 Set tramp-autoload cookie.
12326 (tramp-get-remote-touch): New defun.
12327 (tramp-sh-handle-set-file-times): Use it.
12328 (tramp-sh-handle-directory-files-and-attributes):
12329 Use `tramp-handle-directory-files-and-attributes' if neither stat
12330 nor perl are available on the remote host.
12332 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
12333 "/". Write long listing only when "l" belongs to the switches.
12335 * net/trampver.el: Update release number.
12337 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
12339 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
12341 2014-02-19 Martin Rudalics <rudalics@gmx.at>
12343 * window.el (window-state-put): Allow WINDOW to refer to an
12344 internal window (Bug#16793).
12346 2014-02-19 Glenn Morris <rgm@gnu.org>
12348 * textmodes/remember.el: Move provide statement to end.
12349 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
12350 (remember-notes): Doc fixes.
12352 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
12354 * delsel.el (delete-char): Restore incorrectly erased property
12357 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
12359 * frameset.el (frameset--restore-frame): When a frame is being reused
12360 and its root window is not alive, delete all the frame's windows before
12361 restoring the window state. This works around the issue in bug#16793.
12363 2014-02-18 Glenn Morris <rgm@gnu.org>
12365 * textmodes/remember.el (remember-data-directory)
12366 (remember-directory-file-name-format, remember-store-in-files)
12367 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
12368 (remember-notes-save-and-bury-buffer)
12369 (remember-notes--kill-buffer-query): Doc fixes.
12371 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
12373 2014-02-17 Alan Mackenzie <acm@muc.de>
12375 Connect electric-indent-mode up with CC Mode. Bug #15478.
12376 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
12377 to electric-indent-{,local-}-mode.
12378 (c-basic-common-init): Set electric-indent-inhibit.
12379 Initialize c-electric-flag from electric-indent-mode.
12380 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
12381 New hook functions which propagate electric-indent-mode to CC mode.
12383 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
12384 hit, toggle electric-indent-local-mode.
12386 * electric.el (electric-indent-mode-has-been-called):
12389 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
12391 * frameset.el (frameset-cfg-id): New function.
12392 (frameset--reuse-frame, frameset-restore): Use it.
12393 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
12395 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
12397 * ido.el (ido-file-internal): Remove unused var `d'.
12398 Use \` for to match BoS. Fit within 80n columns.
12400 2014-02-17 Daniel Colascione <dancol@dancol.org>
12402 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
12403 dbus-call-method check for completion using a busy-wait loop with
12406 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
12408 Sync with Tramp 2.2.9.
12410 * net/trampver.el: Update release number.
12412 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
12414 * ido.el (ido-file-internal): Don't add the name of an existing
12415 directory twice. (Bug#16747)
12417 2014-02-16 Glenn Morris <rgm@gnu.org>
12419 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
12420 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
12422 2014-02-15 Michael R. Mauger <michael@mauger.com>
12424 * progmodes/sql.el: Version 3.4
12425 (sql-oracle-options): New default value ("-L").
12426 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
12427 (sql-placeholders-filter): Correct placeholder pattern.
12428 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
12429 (sql-login-delay): New variable.
12430 (sql-product-interactive): Use it.
12432 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
12434 * frameset.el (frameset--jump-to-register): Check that buffer is live
12437 2014-02-15 Glenn Morris <rgm@gnu.org>
12439 * info.el (info-initialize): Revert 2014-01-10 change.
12441 2014-02-14 Glenn Morris <rgm@gnu.org>
12443 * replace.el (map-query-replace-regexp)
12444 (read-regexp-defaults-function, read-regexp): Doc fixes.
12446 * dired.el (dired-read-regexp):
12447 * faces.el (list-faces-display):
12448 * misearch.el (multi-isearch-read-matching-buffers)
12449 (multi-isearch-read-matching-files):
12450 * play/cookie1.el (cookie-apropos):
12451 * progmodes/grep.el (grep-read-regexp): Doc fixes.
12453 * textmodes/remember.el (remember): Use frameset-to-register
12454 rather than frame-configuration-to-register.
12456 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
12458 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
12459 incorrect keybinding.
12461 2014-02-13 Daniel Colascione <dancol@dancol.org>
12463 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
12464 when adding overlays so that line numbers from compiler match line
12467 2014-02-13 Glenn Morris <rgm@gnu.org>
12469 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
12471 * jit-lock.el (jit-lock-mode): Doc fix.
12473 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
12475 * apropos.el (apropos-read-pattern): When the user passes an empty
12476 string, give a more helpful error message than "Wrong type
12477 argument: stringp, nil".
12479 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
12481 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
12483 2014-02-13 Glenn Morris <rgm@gnu.org>
12485 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
12487 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
12489 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
12490 shift-select commands.
12492 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
12494 * progmodes/js.el (js-indent-line): Don't widen.
12495 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
12497 2014-02-12 Glenn Morris <rgm@gnu.org>
12499 * icomplete.el (icomplete): Add info-link to defgroup.
12500 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
12501 (icomplete-minibuffer-map, icomplete-mode)
12502 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
12504 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
12505 (package-menu-filter): Rename from package-menu-filter-interactive.
12508 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
12510 * frameset.el (frameset--jump-to-register): Select the required
12511 window and buffer before restoring position (bug#16696).
12513 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
12515 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
12517 2014-02-10 Glenn Morris <rgm@gnu.org>
12519 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
12521 2014-02-10 Eli Zaretskii <eliz@gnu.org>
12523 * w32-common-fns.el (x-get-selection): Doc fix.
12524 * select.el (x-get-selection): Doc fix. (Bug#15109)
12526 * face-remap.el (face-remap-add-relative)
12527 (face-remap-remove-relative, face-remap-reset-base)
12528 (face-remap-set-base): Call force-mode-line-update to redisplay
12529 the current buffer due to potential change in faces. (Bug#16709)
12531 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
12533 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
12534 script more robustly.
12536 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
12538 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
12540 * simple.el (choose-completion): Doc fix (bug#14160).
12542 * subr.el (event-start): Say what a nil EVENT value means.
12544 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
12547 * progmodes/grep.el (find-program): Doc fix (bug#14289).
12549 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
12551 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
12553 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
12554 predicate function (bug#15455).
12556 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
12558 * ielm.el (inferior-emacs-lisp-mode): Instead of
12559 `comment-use-global-state', set `comment-use-syntax'.
12561 2014-02-10 Glenn Morris <rgm@gnu.org>
12563 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
12565 2014-02-09 Alan Mackenzie <acm@muc.de>
12567 Fix c-invalidate-state-cache on narrowed buffers.
12568 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
12569 Widen when setting and clearing the CPP delimiter properties.
12571 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
12573 * help.el (describe-bindings): Doc fix (bug#9888).
12575 * files.el (save-buffer): Use ARG as the parameter name for
12576 consistency (bug#10346).
12577 (save-buffer): Clarify the 0 argument (bug#10346).
12579 * cus-edit.el (customize-apropos): Fix error string.
12580 (custom-buffer-create): Doc fix (bug#11122).
12581 (custom-sort-items): Doc fix (bug#11121).
12583 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
12585 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
12586 (icomplete-simple-completing-p): Mention the previous variable.
12588 * font-lock.el (font-lock-value-in-major-mode): Clarify the
12589 meaning of the parameter (bug#12282).
12591 * files.el (find-file-noselect): Clarify prompt when changing
12592 readedness (bug#13261).
12593 (locate-file): Suffixes aren't returned, so don't say that they
12595 (backup-inhibited): Doc clarification (bug#12525).
12597 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
12598 before we actually start to delete things (bug#16331).
12600 * subr.el (event-start): Doc fix (bug#14228).
12601 (event-end): Ditto.
12603 2014-02-09 Glenn Morris <rgm@gnu.org>
12605 * emacs-lisp/warnings.el (lwarn):
12606 Empower help-enable-auto-load. (Bug#15940)
12608 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
12610 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
12613 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
12615 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
12616 Insert output at end of buffer. (Bug#16120)
12618 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
12620 * simple.el (choose-completion-string-functions): Document new
12621 calling convention (bug#14153).
12622 (execute-extended-command): Clarify doc string (bug#13373).
12624 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
12626 * find-dired.el (find-name-dired): Doc fix (bug#14290).
12627 (find-grep-dired): Doc fix (bug#14288).
12629 2014-02-08 Juri Linkov <juri@jurta.org>
12631 * isearch.el (isearch-quote-char): Check character validity
12632 like in `quoted-insert' (bug#16677).
12634 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
12636 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
12638 * isearch.el (isearch-hide-immediately): Doc clarification
12641 * simple.el (line-move): Document utility function used many
12642 places in the Emacs sources (bug#14843).
12644 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
12645 (dired-prev-marked-file): Doc fix (bug#14855).
12646 (dired-up-directory): Doc fix (bug#14848).
12648 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
12650 * files.el (file-relative-name): Doc fix (bug#15159).
12652 * fringe.el (fringe-styles): Doc fix (bug#15239).
12654 * isearch.el (isearch-filter-predicate): Documentation typo fix
12657 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
12659 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
12661 * replace.el (replace-match-maybe-edit): Doc clarification
12664 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
12666 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
12668 2014-02-08 Glenn Morris <rgm@gnu.org>
12670 * help-fns.el (describe-variable):
12671 Check {file,dir}-local-variables-alist, and buffer-file-name,
12672 in the correct buffer.
12674 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
12676 * help-fns.el (describe-variable): Fix the case where
12677 a value is directory-local with no dir-locals file. (Bug#16635)
12679 2014-02-08 Glenn Morris <rgm@gnu.org>
12681 * abbrev.el (edit-abbrevs-mode):
12682 Derive from fundamental-mode. (Bug#16682)
12684 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
12686 * simple.el (quoted-insert): Check character validity (bug#16677).
12688 2014-02-07 Juri Linkov <juri@jurta.org>
12690 * desktop.el (desktop-read): Claim the lock when the owner is not
12691 the current process. (Bug#16157)
12693 2014-02-07 Juri Linkov <juri@jurta.org>
12695 * desktop.el (desktop-buffers-not-to-save): Change default from nil
12696 to "\\` ". (Bug#16651)
12698 2014-02-07 Juri Linkov <juri@jurta.org>
12700 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
12701 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
12702 (desktop-auto-save-cancel-timer): New function with some code from
12703 `desktop-auto-save-set-timer'.
12704 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
12705 Instead of setting `desktop-save-mode' to nil, call
12706 `desktop-save-mode' with arg 0. (Bug#16630)
12708 2014-02-07 Glenn Morris <rgm@gnu.org>
12710 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
12711 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
12712 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
12714 * obsolete/iswitchb.el: Move to obsolete/.
12715 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
12716 since obsolete/ is not scanned for autoloads.
12717 * emacs-lisp/authors.el (authors-valid-file-names):
12720 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
12721 Disable now non-functional find-file-hook.
12723 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
12725 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
12726 instead of ";" in order to avoid additional prompts. Let heredoc
12727 scripts read from tty. (Bug#16582)
12728 (tramp-send-command): No special handling of heredocs, it isn't
12731 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
12733 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
12734 with a space (bug#16664). Limit the symbols considered to the ones
12735 that are bound or fbound (bug#16646).
12737 2014-02-06 Glenn Morris <rgm@gnu.org>
12739 * epa.el (epa-mail-aliases): Doc fix.
12741 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
12743 * emacs-lisp/lisp.el (lisp-completion-at-point):
12744 Use `completion-table-merge' instead of `completion-table-in-turn'
12747 * minibuffer.el (completion-table-merge): New function.
12749 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
12751 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
12752 (tramp-sh-handle-set-file-acl)
12753 (tramp-sh-handle-start-file-process)
12754 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
12755 (tramp-find-executable, tramp-send-command): Use it.
12757 2014-02-05 Glenn Morris <rgm@gnu.org>
12759 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
12761 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
12763 * progmodes/python.el (python-shell-send-string)
12764 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
12766 2014-02-04 Anders Lindgren <andlind@gmail.com>
12768 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
12769 the names (bug#16620).
12771 2014-02-03 Martin Rudalics <rudalics@gmx.at>
12773 * faces.el (window-divider): New default value. Rewrite doc-string.
12774 (window-divider-first-pixel, window-divider-last-pixel): New faces.
12776 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
12778 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
12779 `protected' and `public' can also be called without arguments.
12781 2014-02-03 Glenn Morris <rgm@gnu.org>
12783 * register.el (window-configuration-to-register)
12784 (frame-configuration-to-register): Unadvertise unused argument.
12785 * frameset.el (frameset-to-register): Remove unused argument.
12787 * frameset.el (frameset-to-register):
12788 * kmacro.el (kmacro-to-register):
12789 * register.el (increment-register):
12790 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
12791 (calc-append-to-register, calc-prepend-to-register):
12792 * play/gametree.el (gametree-layout-to-register)
12793 (gametree-apply-register-layout):
12794 * textmodes/picture.el (picture-clear-rectangle-to-register)
12795 (picture-yank-rectangle-from-register):
12796 * vc/emerge.el (emerge-combine-versions-register):
12797 Use register-read-with-preview to read registers.
12799 2014-02-03 João Távora <joaotavora@gmail.com>
12801 * elec-pair.el (electric-pair-backward-delete-char): Don't error
12802 when at beginning of (possibly narrowed) buffer.
12804 2014-02-02 Daniel Colascione <dancol@dancol.org>
12806 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
12807 Also try to display local help from just before point.
12809 2014-02-02 Alan Mackenzie <acm@muc.de>
12811 c-parse-state. Don't "append-lower-brace-pair" in certain
12812 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
12813 recognised as a comment.
12815 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
12816 as well as normal comment starter.
12817 (c-parse-state-get-strategy): Extra return possibility
12819 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
12820 return value list to indicate replacement of a brace-pair cons
12822 (c-parse-state-1): With 'back-and-forward, only call
12823 c-append-lower-brace-pair-to state-cache when cons-separated.
12825 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
12827 * term/ns-win.el (ns-suspend-error): New function.
12828 (ns-initialize-window-system): Add ns-suspend-error to
12829 suspend-hook (Bug#16612).
12831 2014-02-02 Daniel Colascione <dancol@dancol.org>
12833 * progmodes/cc-defs.el (c-find-assignment-for-mode):
12834 Make loading cc-mode silent.
12836 2014-02-02 Daniel Colascione <dancol@dancol.org>
12838 * comint.el (comint-prompt-read-only): Change doc to suggest
12841 2014-02-02 Glenn Morris <rgm@gnu.org>
12843 * register.el (register-read-with-preview, point-to-register)
12844 (window-configuration-to-register, frame-configuration-to-register)
12845 (jump-to-register, number-to-register, view-register, insert-register)
12846 (copy-to-register, append-to-register, prepend-to-register)
12847 (copy-rectangle-to-register): Doc fixes.
12849 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
12851 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
12852 * emacs-lisp/find-func.el (find-function-C-source): Idem.
12853 * emacs-lisp/nadvice.el (advice--cd*r): New function.
12854 * help-fns.el (describe-function-1): Use it.
12856 2014-02-02 Glenn Morris <rgm@gnu.org>
12858 * register.el (register-preview-default): New function,
12859 split from register-preview.
12860 (register-preview-function): Rename from register-preview-functions,
12861 make it not a hook.
12862 (register-preview): Use register-preview-function.
12863 (register-read-with-preview): Error on non-character event. (Bug#16595)
12865 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
12867 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
12868 `:' before binary operators (bug#16609). Don't check for `:'
12869 before `[' and `(', or their syntax status. A percent literal
12870 can't end with either.
12871 (ruby-font-lock-keywords): For built-ins that require arguments,
12872 check that they're followed by something that looks like argument
12875 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
12877 * subr.el (butlast): Document what an omitted N means (bug#13437).
12880 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
12882 * net/shr.el (shr-generic): Make into a defsubst to make the stack
12883 depth shallower (bug#16587).
12884 (shr-tag-svg): Respect `shr-inhibit-images'.
12885 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
12887 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
12889 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
12890 (ruby-smie-grammar): Make "." right-associative. Make its priority
12891 lower than the ternary and all binary operators.
12892 (ruby-smie-rules): Indent "(" relative to the first non-"."
12893 parent, or the first "." parent at indentation.
12894 Use `ruby-align-chained-calls' for indentation of "." tokens.
12897 2014-01-31 Juri Linkov <juri@jurta.org>
12899 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
12900 from `make-hash-table'.
12902 * textmodes/ispell.el (ispell-init-process): Change message format
12903 to be consistent with other messages.
12905 2014-01-31 Glenn Morris <rgm@gnu.org>
12907 * delsel.el (delete-selection-mode): Doc fix.
12909 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
12910 (trace-function-background): Doc fixes.
12912 * ido.el (ido-use-virtual-buffers): Doc fix.
12913 Reset :version, since the default value has not changed.
12915 * register.el (register-preview-delay, register-read-with-preview):
12918 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
12919 do not mess with mail-buffer position (fixes 2009-11-03 change).
12920 * progmodes/cc-mode.el (c-submit-bug-report):
12921 Check auto-fill-mode is bound. (Bug#16592)
12923 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
12925 * startup.el (fancy-splash-image-file): New function,
12926 split from fancy-splash-head.
12927 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
12928 so that we are both using the same image. (Bug#16574)
12930 2014-01-30 Glenn Morris <rgm@gnu.org>
12932 * simple.el (eval-expression): Doc fix.
12934 * hexl.el (hexl-mode-hook):
12935 * ielm.el (ielm-mode-hook):
12936 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
12937 (lisp-interaction-mode-hook):
12938 * progmodes/cfengine.el (cfengine3-documentation-function):
12939 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
12941 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
12943 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
12944 is a symbol (bug#16584).
12946 2014-01-30 Glenn Morris <rgm@gnu.org>
12948 * help.el (help-for-help-internal): Add "P" to text.
12950 2014-01-29 Glenn Morris <rgm@gnu.org>
12952 * simple.el (just-one-space, cycle-spacing): Doc fixes.
12954 2014-01-28 Martin Rudalics <rudalics@gmx.at>
12956 * window.el (fit-frame-to-buffer): Fix calculations for margins and
12957 height constraints.
12959 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
12961 * progmodes/hideif.el: Extend to full CPP expression syntax.
12962 (hif-token-alist): Add missing tokens.
12963 (hif-token-regexp): Add support for float/octal/hex immediates.
12964 (hif-string-literal-regexp): New const.
12965 (hif-tokenize): Recognize strings and float/octal/hex immediates.
12966 (hif-exprlist): New function.
12967 (hif-parse-if-exp): Use it.
12968 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
12969 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
12970 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
12971 (hif-logxor, hif-comma): New functions.
12973 2014-01-28 Glenn Morris <rgm@gnu.org>
12975 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
12977 * indent.el (tab-stop-list): Doc fix. Add :version.
12979 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
12980 (cvs-append-to-ignore): Add compatibility alias.
12982 2014-01-27 Glenn Morris <rgm@gnu.org>
12984 * dired.el (dired-hide-details-mode): Don't autoload it,
12985 since it cannot be used outside Dired buffers anyway.
12987 * emulation/cua-base.el (cua-mode): Doc fix.
12989 * dired.el (dired-hide-details-hide-symlink-targets)
12990 (dired-hide-details-hide-information-lines)
12991 (dired-hide-details-mode): Doc fixes.
12993 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
12994 * strokes.el (strokes-file): Doc fix. Bump :version.
12995 (strokes-help): Doc fix.
12996 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
12997 * emulation/viper.el (viper): Doc fix for custom group.
12998 (top-level): Remove oh-so-no-longer-relevant text about vip.
12999 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
13001 * ido.el (ido-save-directory-list-file):
13002 * saveplace.el (save-place-file):
13003 * calendar/timeclock.el (timeclock-file):
13004 * net/quickurl.el (quickurl-url-file):
13005 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
13006 * progmodes/idlwave.el (idlwave-config-directory):
13007 * textmodes/remember.el (remember-data-file):
13010 2014-01-26 Glenn Morris <rgm@gnu.org>
13012 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
13013 Doc fix. Make obsolete.
13014 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
13016 * sort.el (delete-duplicate-lines): Doc fix.
13018 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13020 * progmodes/ada-mode.el (ada):
13021 * woman.el (woman): Link to info manual and Commentary section.
13023 * progmodes/flymake.el (flymake):
13024 * nxml/nxml-mode.el (nxml):
13025 * net/eww.el (eww):
13026 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
13027 * htmlfontify.el (htmlfontify):
13030 * ido.el (ido): Link to info manual.
13032 2014-01-25 Leo Liu <sdl.web@gmail.com>
13034 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
13036 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
13038 * net/shr.el (shr-tag-img): Prefer the title over the alt text
13041 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
13043 * net/eww.el (eww-download-callback):
13044 Fix reference to eww-download-directory.
13046 * emacs-lisp/bytecomp.el (byte-compile-file):
13047 Remove unused local variable `file-name'.
13049 2014-01-24 Glenn Morris <rgm@gnu.org>
13051 * woman.el (woman-default-faces, woman-monochrome-faces):
13052 Fix obsolescence specification.
13054 * subr.el (with-demoted-errors): Doc fix.
13056 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
13058 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
13059 (cl--macroexp-fboundp): New function.
13060 (cl--make-type-test): Use it.
13062 2014-01-23 Glenn Morris <rgm@gnu.org>
13064 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
13065 * simple.el (eval-expression): Doc fixes.
13067 2014-01-22 Glenn Morris <rgm@gnu.org>
13069 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
13071 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
13073 * emacs-lisp/package.el: Write files silently.
13074 (package-autoload-ensure-default-file, package--write-file-no-coding)
13075 (package-generate-description-file, package--download-one-archive)
13076 (package-install-from-archive): Tell `write-region' to stay quiet.
13077 (package-menu-mode, package-menu--print-info): Omit the Archive column
13078 if there's only one archive.
13079 (package-all-keywords, package--has-keyword-p): Remove dead code.
13081 2014-01-22 Glenn Morris <rgm@gnu.org>
13083 * version.el (emacs-bzr-version-bzr): Fix typo.
13085 * version.el (emacs-repository-get-version):
13086 Check either .bzr or .git, but not both.
13087 Make the git case actually use the DIR argument, and return nil
13088 rather than the empty string.
13089 Avoid error if .git exists but the git executable is not found.
13091 2014-01-22 Martin Rudalics <rudalics@gmx.at>
13093 Fixes in window size functions around Bug#16430 and Bug#16470.
13094 * window.el (window-total-size, window-size): New argument ROUND.
13095 (window--min-delta-1, window-min-delta, window--max-delta-1):
13096 Be more conservative when calculating the numbers of lines or
13097 columns a window can shrink (Bug#16430).
13098 (fit-window-to-buffer): Simplify code.
13099 * term.el (term-window-width): Call window-body-width again.
13101 2014-01-22 Glenn Morris <rgm@gnu.org>
13103 * image.el (image-format-suffixes): Doc fix.
13105 * international/quail.el (quail-define-package): Doc fix.
13107 * emacs-lisp/authors.el (authors-valid-file-names)
13108 (authors-renamed-files-alist): Additions.
13110 * vc/vc-git.el (vc-git-print-log): Remove --follow;
13111 reverts 2014-01-09 change. (Bug#16422)
13113 * calc/calc-embed.el (thing-at-point-looking-at):
13114 * emacs-lisp/map-ynp.el (x-popup-dialog):
13115 * obsolete/lmenu.el (x-popup-dialog):
13116 * emacs-lisp/package.el (url-recreate-url):
13117 * mail/mailclient.el (clipboard-kill-ring-save):
13118 * subr.el (x-popup-dialog): Update declaration.
13119 * mail/rmail.el (rmail-mime-message-p):
13120 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
13122 2014-01-21 Daniel Colascione <dancol@dancol.org>
13124 * progmodes/sh-script.el (sh--inside-noncommand-expression):
13125 Correctly detect when we're inside an arithmetic expansion form
13126 containing nested parenthesis.
13127 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
13128 to detect cases where we shouldn't expand "<<" to a heredoc
13131 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
13133 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
13134 (eldoc--message-command-p): New function.
13135 (eldoc-display-message-p): Use it.
13136 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
13137 message is not automatically erased for us.
13138 (eldoc-print-current-symbol-info): Erase previous message, if any.
13140 2014-01-21 Tassilo Horn <tsdh@gnu.org>
13142 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
13143 specify it's an interactive function.
13145 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
13146 Fix regex used for scanning for citation keys which failed for
13147 citations with optional arguments.
13149 2014-01-21 Leo Liu <sdl.web@gmail.com>
13151 * simple.el (read--expression): Don't enable eldoc-mode.
13153 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
13155 * simple.el (move-beginning-of-line): Make sure we don't move forward
13158 2014-01-20 Juri Linkov <juri@jurta.org>
13160 * saveplace.el (toggle-save-place, save-place-to-alist)
13161 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
13162 'dired-mode) before checking for dired-directory. (Bug#16477)
13164 2014-01-20 Juri Linkov <juri@jurta.org>
13166 * indent.el (indent-line-to): Use backward-to-indentation
13167 instead of back-to-indentation. (Bug#16461)
13169 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
13171 Revert some of the CANNOT_DUMP fix (Bug#16494).
13172 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
13173 but fixing this can wait until after the next release.
13174 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
13176 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
13178 * eshell/esh-mode.el (eshell-password-prompt-regexp):
13179 Use `password-word-equivalents'.
13180 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
13181 to t. (Bug#5664, Bug#13124)
13183 2014-01-19 Alan Mackenzie <acm@muc.de>
13185 Bind open-paren-in-column-0-is-defun-start to nil at some entry
13187 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
13188 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
13189 * progmodes/cc-mode.el (c-before-change, c-after-change)
13190 (c-font-lock-fontify-region): Bind it here.
13192 2014-01-19 Martin Rudalics <rudalics@gmx.at>
13194 * term.el (term-window-width): Call window-text-width instead of
13195 window-width (Bug#16470).
13197 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
13199 * simple.el (password-word-equivalents): Remove duplicates.
13200 Sort, to make this easier next time.
13201 Downcase. Omit ": " after "jelszó".
13203 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
13205 * term/common-win.el (saved-region-selection): Defvar it.
13206 (x-select-text): Set saved-region-selection (Bug#16382).
13208 2014-01-18 Glenn Morris <rgm@gnu.org>
13210 * emacs-lisp/authors.el (authors-aliases)
13211 (authors-renamed-files-alist): Add some entries.
13213 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
13215 * net/tramp.el (tramp-password-prompt-regexp):
13216 Use `password-word-equivalents' if available.
13217 (tramp-action-password, tramp-process-one-action)
13218 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
13220 2014-01-17 Chong Yidong <cyd@gnu.org>
13222 * simple.el (password-word-equivalents): New defcustom.
13223 * comint.el (comint-password-prompt-regexp): Use it. Bump version
13225 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
13228 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
13230 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
13231 (ruby-align-to-stmt-keywords): Change the default value.
13232 Use `ruby-alignable-keywords' to generate the possible customization
13234 (ruby-smie-rules): Instead of using a hardcoded list of alignable
13235 keywords, check against the value of `ruby-alignable-keywords'
13236 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
13238 2014-01-17 Glenn Morris <rgm@gnu.org>
13240 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
13242 Make M-x authors return zero *Authors Errors* from current logs.
13243 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
13244 (authors-ignored-files): Add some entries, remove others.
13245 (authors-ambiguous-files, authors-valid-file-names):
13247 (authors-renamed-files-alist): Add, remove, and adjust entries.
13248 (authors-renamed-files-regexps): Add some entries.
13249 Remove some very broad ones. Make some entries `lax'.
13250 (authors-lax-changelogs): New constant.
13251 (authors-disambiguate-file-name): Treat top-level specially.
13252 (authors-lax-changelog-p): New function.
13253 (authors-canonical-file-name): Check file as written against
13254 authors-valid-file-names. Do not special-case etc/.
13255 Handle `lax' logs and authors-renamed-files-regexps elements.
13257 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
13259 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
13260 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
13263 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
13265 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
13266 Assume we're already in the proper buffer.
13267 Inspired by Anders Lindgren <andlind@gmail.com>.
13268 (follow-post-command-hook): Call it from the right buffer.
13269 (follow-comint-scroll-to-bottom): Adjust call.
13270 (follow-all-followers): Use get-buffer-window-list.
13272 2014-01-15 Daniel Colascione <dancol@dancol.org>
13274 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
13275 `buffer-file-name' in interactive-form so that we don't leave
13276 pathless file names in `file-name-history'.
13278 2014-01-15 Juri Linkov <juri@jurta.org>
13280 * indent.el (indent-rigidly): Set deactivate-mark to nil
13281 in transient indentation mode. (Bug#16438)
13283 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
13285 * emacs-lisp/package.el (package-desc-keywords): New function
13287 (describe-package-1, package-all-keywords)
13288 (package--has-keyword-p): Use it.
13290 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
13292 * simple.el (define-alternatives): When creating the
13293 COMMAND-alternatives variable, assign COMMAND as its definition
13294 name so that `describe-variable' can relocate it.
13296 2014-01-14 Matthew Leach <matthew@mattleach.net>
13298 * font-lock.el (font-lock-keywords): Fix typo in docstring
13301 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
13303 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
13304 line instead of wrongly reset `add-coment' (bug#13577).
13306 2014-01-14 Daiki Ueno <ueno@gnu.org>
13308 * epa-file.el (epa-file-write-region): Encode the region according
13309 to `buffer-file-format'. Problem reported at:
13310 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
13312 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
13314 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
13315 so it applies in the right buffer (bug#16410).
13317 2014-01-13 Daniel Colascione <dancol@dancol.org>
13319 * textmodes/rst.el (rst-define-key): Provide deprecated
13320 keybindings through named functions instead of anonymous ones so
13321 that "??" doesn't appear in describe-mode output.
13323 2014-01-13 Bastien Guerry <bzg@gnu.org>
13325 * simple.el (define-alternatives): Call the selected command
13326 interactively. When setting `COMMAND--implementation' for the
13327 first time, tell the user how to chose another implementation.
13328 Enhance the docstring.
13330 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
13332 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
13333 (log-edit--match-first-line): New function.
13334 (log-edit-font-lock-keywords): Use it.
13335 (log-edit-mode): Make jit-lock-defer-multiline work.
13337 2014-01-13 Bastien Guerry <bzg@gnu.org>
13339 * rect.el (rectangle-mark-mode): When the region is not active,
13340 display a message saying that the mark as been set and that
13341 rectangle mode is in use.
13342 (rectangle--highlight-for-redisplay): Only put an overlay with a
13343 visible vertical bar when (display-graphic-p) is non-nil.
13344 This partially fixes Bug#16403.
13346 2014-01-13 Juri Linkov <juri@jurta.org>
13348 * info.el (Info-find-file): Go to DIR before displaying the error
13349 about a nonexistent file if no previous Info file is visited.
13350 Use `user-error' instead of `error' for "Info file %s does not exist".
13351 (Info-find-node-2): In case of a nonexistent node in unwind forms
13352 go to the Top node if there is no previous node to revert to.
13355 2014-01-13 Martin Rudalics <rudalics@gmx.at>
13357 fit-frame/window-to-buffer code fixes including one for Bug#14096.
13358 * window.el (fit-frame-to-buffer): Fix doc-string.
13359 Respect window-min-height/-width. Fit pixelwise when
13360 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
13361 when avoiding that frame goes partially off-screen.
13362 (fit-window-to-buffer): Respect window-min-height/-width
13365 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
13367 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
13368 after an empty line.
13370 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
13372 * net/shr.el (shr-render-region): Autoload.
13374 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
13376 * net/eww.el (eww-download-directory): Rename from
13377 `eww-download-path' (Bug#16419).
13379 2014-01-12 Leo Liu <sdl.web@gmail.com>
13381 * dired-x.el (dired-mode-map): Fix last change.
13383 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
13385 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
13388 * emacs-lisp/generic.el (generic--normalize-comments):
13389 Rename from generic--normalise-comments. All uses changed.
13390 * play/bubbles.el (bubbles--neighborhood-score)
13391 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
13392 (bubbles--neighborhood-available)
13393 (bubbles--update-neighborhood-score):
13394 Rename from names with 'neighbourhood'. All uses changed.
13396 2014-01-12 Leo Liu <sdl.web@gmail.com>
13398 Re-implement the feature of showing eldoc info after editing.
13399 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
13400 (eldoc-edit-message-commands): New function.
13401 (eldoc-print-after-edit): New variable.
13402 (eldoc-pre-command-refresh-echo-area): Emit message only by
13403 eldoc-message-commands.
13404 (eldoc-mode): Restrict eldoc-message-commands to editing commands
13405 if eldoc-print-after-edit is set. (Bug#16346)
13406 * simple.el (read--expression): Enable eldoc-mode.
13407 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
13409 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
13410 Eric S. Raymond <esr@thyrsus.com>
13412 * version.el (emacs-repository-get-version): Enhance so the
13413 function works correctly in either a Bazaar or Git repo.
13415 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
13417 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
13418 Goes with removal of the joke manpages from /etc.
13420 2014-01-10 Kenichi Handa <handa@gnu.org>
13422 * mail/rmail.el (rmail-get-coding-system):
13423 Check rmail-get-coding-function before "funcall"ing it.
13425 2014-01-10 Glenn Morris <rgm@gnu.org>
13427 * emacs-lisp/authors.el (authors-fixed-entries):
13428 Update for files that no longer exist.
13430 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
13432 * version.el (emacs-bzr-get-version): Restore compatibilty with
13435 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
13437 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
13440 2014-01-10 Eli Zaretskii <eliz@gnu.org>
13442 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
13444 2014-01-10 Chong Yidong <cyd@gnu.org>
13446 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
13448 2014-01-10 Anders Lindgren <andlind@gmail.com>
13450 * follow.el (follow-cache-command-list): Include right-char and
13453 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
13456 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
13457 * woman.el (woman-mark-horizontal-position):
13458 Rename from woman-mark-horizonal-position. Use changed.
13460 2014-01-10 Glenn Morris <rgm@gnu.org>
13462 * info.el (info-initialize): If running uninstalled, ensure our
13463 own info files are always found first, even if INFOPATH is set.
13465 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
13467 2014-01-09 David Engster <deng@randomsample.de>
13469 * emacs-lisp/eieio-custom.el:
13470 * emacs-lisp/eieio-opt.el: Set generated autoload file to
13471 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
13472 * emacs-lisp/eieio.el: Regenerate autoloads.
13474 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
13476 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
13477 following renames. (Bug#8756)
13479 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
13481 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
13483 (activate-mark): Add `no-tmm' argument.
13484 (set-mark, push-mark-command): Use it instead of running
13485 activate-mark-hook by hand.
13487 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
13489 In preparation for the move to git, sanitize out some
13490 Bazaar-specific names.
13492 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
13494 * version.el (emacs-bzr-version): Name changed to
13495 emacs-repository-version. Obsolete-variable alias made.
13496 * loadup.el: Follow through on this name change.
13497 * mail/emacsbug.el (report-emacs-bug): Factor out any
13498 assumption about the version control system in use.
13500 2014-01-08 David Engster <deng@randomsample.de>
13502 * help-fns.el (help-fns-describe-function-functions):
13503 New variable to call functions for augmenting help buffers.
13504 (describe-function-1): Remove explicit calls to
13505 `help-fns--compiler-macro', `help-fns--parent-mode' and
13506 `help-fns--obsolete'. Put them in above new variable instead, and
13507 call them through `run-hook-with-args'.
13508 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
13509 `eieio-describe-class'. Not meant for interactive use anymore,
13510 but to augment existing help buffers. Remove optional second
13511 argument. Create proper button for file location.
13512 Rewrite function to use `insert' instead of `princ' and `prin1' where
13514 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
13515 (eieio-method-def, eieio-class-def): Move further up.
13516 (describe-method, describe-generic, eieio-describe-method):
13518 (eieio-help-constructor, eieio-help-generic): Rename from
13519 `eieio-describe-constructor' and `eieio-describe-generic', resp.
13520 Rewrite to use `insert' in the current buffer and use proper help
13522 (eieio-help-find-method-definition)
13523 (eieio-help-find-class-definition): Also accept symbols as
13525 (eieio-help-mode-augmentation-maybee): Remove.
13526 (eieio-describe-class-sb): Use `describe-function'.
13527 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
13528 Add `eieio-help-generic' and `eieio-help-constructor'.
13530 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
13533 * language/china-util.el (hz-ascii-designation):
13534 Rename from hz-ascii-designnation.
13535 (hz-ascii-designation): Rename from hz-ascii-designnation.
13538 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
13540 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
13543 2014-01-08 Bastien Guerry <bzg@gnu.org>
13545 * emacs-lisp/package.el (package-delete):
13546 Correctly delete the package from package-alist.
13548 2014-01-08 Daiki Ueno <ueno@gnu.org>
13550 * emacs-lisp/package.el (url-recreate-url): Declare.
13551 (url-http-target-url): Declare.
13552 (package-handle-response): Include requested URL in the error message.
13553 (package--check-signature): Don't re-signal errors from
13554 package--with-work-buffer. Suggested by Stefan Monnier.
13556 2014-01-07 Bastien Guerry <bzg@gnu.org>
13558 * minibuffer.el (completion--try-word-completion): When both a
13559 hyphen and a space are possible candidates for the character
13560 following a word, display both candidates. (Bug#15980)
13562 2014-01-07 Martin Rudalics <rudalics@gmx.at>
13564 * window.el (balance-windows-2): While rounding don't give a
13565 window more than the remainder. Bug#16351, bug#16383.
13567 2014-01-07 Glenn Morris <rgm@gnu.org>
13569 * menu-bar.el (menu-bar-help-extra-packages): Remove.
13570 (menu-bar-help-menu): Use view-external-packages instead.
13572 2014-01-07 Bastien Guerry <bzg@gnu.org>
13574 * emacs-lisp/package.el (package-delete): Also delete the package
13575 name from `package-alist', not its description only.
13577 2014-01-07 Glenn Morris <rgm@gnu.org>
13579 * help.el (view-external-packages):
13580 * menu-bar.el (menu-bar-help-extra-packages):
13581 Visit efaq.info rather than etc/MORE.STUFF.
13583 2014-01-07 Juri Linkov <juri@jurta.org>
13585 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
13586 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
13588 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
13589 that shadows RET. (Bug#16342)
13591 2014-01-07 Chong Yidong <cyd@gnu.org>
13593 * isearch.el (isearch-yank-char, isearch-yank-word)
13594 (isearch-yank-line): Doc fix.
13596 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
13598 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
13599 * emacs-lisp/elint.el (elint-find-builtins):
13600 * emacs-lisp/eldoc.el (eldoc-symbol-function):
13601 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
13602 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
13603 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
13604 * apropos.el (apropos-safe-documentation):
13605 * subr.el (symbol-file): Remove redundant fboundp.
13606 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
13608 2014-01-06 Bastien Guerry <bzg@gnu.org>
13610 * hl-line.el (global-hl-line-overlay): Make a local variable.
13611 (global-hl-line-overlays): New variable to store all overlays.
13612 (global-hl-line-mode): Don't delete overlays from the current
13613 buffer when `global-hl-line-sticky-flag' is non-nil.
13614 (global-hl-line-highlight): Add new overlays to
13615 `global-hl-line-overlays'.
13616 (global-hl-line-unhighlight-all): New function to delete all
13617 overlays when turning off `global-hl-line-mode'.
13618 This fixes Bug#16183.
13620 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
13622 * subr.el (set-transient-map): Fix nested case and docstring.
13624 2014-01-06 Tassilo Horn <tsdh@gnu.org>
13626 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
13629 2014-01-06 Daniel Colascione <dancol@dancol.org>
13631 Fix defun navigation in vc log view.
13633 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
13634 like `beginning-of-defun'.
13635 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
13636 log-view-end-of-defun to log-view-end-of-defun-1. Replace
13637 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
13638 (log-view-extract-comment): Call `log-view-current-entry' directly
13639 instead of relying on broken `log-view-beginning-of-defun' behavior.
13641 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
13644 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
13645 * emacs-lisp/debug.el (cancel-debug-on-entry):
13646 * epg.el (epg-error-to-string):
13647 * files.el (recover-file):
13648 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
13649 * mail/emacsbug.el (report-emacs-bug-hook):
13650 * mail/sendmail.el (mail-recover):
13651 * ses.el (ses-yank-resize):
13652 * term/ns-win.el (ns-print-buffer):
13653 Spelling fixes in diagnostics, mostly for "canceled" with one L.
13654 * epg.el (epg-key-capability-alist): Rename from misspelled version.
13656 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
13658 2014-01-06 Leo Liu <sdl.web@gmail.com>
13660 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
13661 to avoid shadowing global key. (Bug#16354)
13663 2014-01-06 Daniel Colascione <dancol@dancol.org>
13665 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
13668 2014-01-05 Martin Rudalics <rudalics@gmx.at>
13670 * window.el (balance-windows): Add mising t to fix Bug#16351.
13672 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
13674 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
13676 (shr-insert): If we have a word that's longer than `shr-width',
13677 break after it anyway. Otherwise we'll do no breaking once we get
13680 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13682 * net/eww.el (eww): Support single/double quote for search.
13683 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
13684 (eww-history-quit): Delete and use quit-window.
13685 (eww-history-kill): Delete, because it doesn't work well and
13687 (eww-history-mode-map): Delete some keys and add easy-menu.
13689 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
13691 Fix misspelling of 'chinese' in rx (Bug#16237).
13692 * emacs-lisp/rx.el (rx-categories): Correct spelling of
13695 Change subword regexps back to vars (Bug#16296).
13696 * progmodes/subword.el (subword-forward-regexp)
13697 (subword-backward-regexp): Change these back to variables.
13699 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
13701 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
13702 syntax-begin-function (bug#16247).
13704 2014-01-03 Chong Yidong <cyd@gnu.org>
13706 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
13707 (advice--docstring): Delete variable.
13708 (advice--make-1): Leave the docstring empty.
13709 (advice-add): Use function-documentation for advised docstring.
13711 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
13712 Ignore function-documentation property when getting documentation.
13713 (ad-activate-advised-definition): Use function-documentation
13714 generate the docstring.
13715 (ad-make-advised-definition): Don't call
13716 ad-make-advised-definition-docstring.
13717 (ad-make-advised-definition-docstring, ad-advised-definition-p):
13720 * progmodes/sql.el (sql-help): Use function-documentation instead
13721 of dynamic-docstring-function property. No need to autoload now.
13722 (sql--help-docstring): New variable.
13723 (sql--make-help-docstring): Use it.
13725 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
13727 * ielm.el (ielm-tab): Retarget.
13728 (ielm-map): Use ielm-tab for tab.
13729 (ielm-complete-filename): Use comint-filename-completion.
13730 (ielm-complete-symbol): Remove.
13731 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
13732 remove ielm-tab from completion-at-point-functions (bug#16224).
13734 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
13735 Beware signals raised by predicates (bug#16201).
13737 2014-01-02 Richard Stallman <rms@gnu.org>
13739 * dired-aux.el (dired-do-print): Handle printer-name.
13741 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
13742 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
13743 (rmail-epa-decrypt): Turn off mime processing.
13745 * mail/rmail.el (rmail-make-in-reply-to-field):
13746 Add parens in message-id.
13748 * mail/rmail.el (rmail-get-coding-function): Variable.
13749 (rmail-get-coding-system): Use it.
13751 2013-12-31 Eli Zaretskii <eliz@gnu.org>
13753 * international/mule-conf.el: Unify the charset indian-is13194.
13754 (indian-is13194): Specify unify-map.
13756 2013-12-31 Leo Liu <sdl.web@gmail.com>
13758 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
13760 2013-12-30 Daniel Colascione <dancol@dancol.org>
13762 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
13763 of printing a useless when we resume from sleep.
13765 * progmodes/sh-script.el
13766 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
13767 in indentation code. (Bug#16233)
13769 2013-12-28 João Távora <joaotavora@gmail.com>
13771 * elec-pair.el (electric-pair-post-self-insert-function):
13772 Don't open extra newlines at beginning of buffer. (Bug#16272)
13774 2013-12-28 Eli Zaretskii <eliz@gnu.org>
13776 * frame.el (window-system-for-display): Don't allow to create a
13777 GUI frame from a -nw session on MS-Windows. (Bug#14739)
13779 2013-12-28 Glenn Morris <rgm@gnu.org>
13781 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
13784 * apropos.el (apropos-match-face):
13785 * calculator.el (calculator-displayer):
13786 * dabbrev.el (dabbrev-search-these-buffers-only):
13787 * face-remap.el (buffer-face-mode-face):
13788 * simple.el (yank-handled-properties):
13789 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
13790 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
13791 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
13792 (hashcash-double-spend-database):
13793 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
13794 (ruby-deep-indent-paren-style):
13795 * textmodes/flyspell.el (flyspell-auto-correct-binding):
13796 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
13797 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
13798 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
13799 Specify custom types.
13801 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
13802 * bookmark.el (bookmark-bmenu-use-header-line):
13803 * doc-view.el (doc-view-scale-internally):
13804 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
13805 * register.el (register-preview-delay):
13806 * net/shr.el (shr-bullet):
13807 * progmodes/cfengine.el (cfengine-cf-promises)
13808 (cfengine-parameters-indent):
13809 * progmodes/octave.el (inferior-octave-error-regexp-alist):
13810 * textmodes/reftex-vars.el (reftex-label-regexps):
13811 * vc/log-edit.el (log-edit-setup-add-author): Add version.
13813 * net/tls.el (tls-certtool-program): Fix default value.
13815 * desktop.el (desktop-restore-in-current-display):
13816 * newcomment.el (comment-empty-lines):
13817 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
13818 (idlwave-pad-keyword):
13819 * progmodes/tcl.el (tcl-tab-always-indent):
13820 * textmodes/reftex-vars.el (reftex-index-default-tag):
13821 * elec-pair.el (electric-pair-skip-whitespace):
13822 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
13824 * emacs-lisp/authors.el (authors-ignored-files)
13825 (authors-valid-file-names, authors-renamed-files-alist): Additions.
13827 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
13829 * shell.el (shell-dynamic-complete-command): Doc fix.
13830 (shell--command-completion-data): Shell completion now matches
13831 executable filenames from the current buffer's directory, on
13832 systems in which this behavior is the default (windows-nt, ms-dos).
13834 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
13836 * net/shr.el (shr-insert): Don't infloop if the width is zero.
13838 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
13840 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
13843 * electric.el: Move all electric-pair-* to elec-pair.el.
13844 * elec-pair.el: New file, split from electric.el.
13846 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
13848 * net/shr.el (shr-find-fill-point): Don't try to fill if the
13849 indentation level is larger than the width, because that will
13851 (shr-insert): Fill repeatedly long texts, so that Japanese is
13852 formatted correctly (bug#16263).
13853 (shr-find-fill-point): Off by one error in comparison with the
13856 2013-12-26 João Távora <joaotavora@gmail.com>
13858 * electric.el (electric-pair-mode): More flexible engine for skip-
13859 and inhibit predicates, new options for pairing-related functionality.
13860 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
13861 if that keeps or improves their balance in buffers.
13862 (electric-pair-delete-adjacent-pairs): Delete the pair when
13863 backspacing over adjacent matched delimiters.
13864 (electric-pair-open-extra-newline): Open extra newline when
13865 inserting newlines between adjacent matched delimiters.
13866 (electric--sort-post-self-insertion-hook):
13867 Sort post-self-insert-hook according to priority values when
13868 minor-modes are activated.
13869 * simple.el (newline-and-indent): Call newline with interactive
13871 (blink-paren-post-self-insert-function): Set priority to 100.
13872 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
13873 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
13874 comments. Locally set electric-pair-skip-whitespace to 'chomp and
13875 electric-pair-open-newline-between-pairs to nil.
13877 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
13879 * progmodes/python.el: Use lexical-binding.
13880 (python-nav-beginning-of-defun): Stop searching ASAP.
13882 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
13884 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
13885 Fix interactive spec. Doc fix. (Bug#15754)
13887 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
13889 * emacs-lisp/byte-run.el (eval-when-compile):
13890 * progmodes/cc-defs.el (cc-eval-when-compile):
13891 Fix edebug spec (bug#16184).
13893 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
13895 * net/shr.el (shr-visit-file): Remove debugging function.
13896 (shr-insert): Don't infloop if we can't find a good place to break
13897 the line (bug#16256).
13899 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
13901 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
13902 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
13903 python-nav-lisp-forward-sexp-safe.
13904 (python-nav--forward-sexp): New argument SAFE allows switching
13905 forward sexp movement behavior for parens.
13906 (python-nav-forward-sexp): Throw errors on unterminated parens
13908 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
13909 (python-nav-backward-sexp-safe): New functions.
13910 (python-shell-buffer-substring):
13911 Use `python-nav-forward-sexp-safe'.
13913 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
13915 * net/shr.el (shr-find-fill-point): Don't break lines before a
13917 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
13918 (shr-find-fill-point): Remove the special checks for the quotation
13919 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
13921 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13923 * net/eww.el (eww-form-textarea): Use a different face for
13924 textareas than text input since they have different keymaps
13927 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
13929 * progmodes/python.el (python-nav-beginning-of-statement):
13930 Speed up (Bug#15295).
13932 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
13934 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
13935 the window configuration.
13937 2013-12-24 Eli Zaretskii <eliz@gnu.org>
13939 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
13940 we run on MS-Windows or MS-DOS.
13942 2013-12-24 Martin Rudalics <rudalics@gmx.at>
13944 * window.el (balance-windows-area): Call window-size instead of
13945 window-height and window-width. Bug#16241.
13947 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
13949 * net/eww.el (eww-bookmark-quit): Remove.
13950 (eww-bookmark-browse): Restore the window configuration when you
13951 choose a bookmark (bug#16144).
13953 2013-12-24 Daniel Colascione <dancol@dancol.org>
13955 * icomplete.el: Remove redundant :group arguments to `defcustom'
13957 (icomplete-show-matches-on-no-input): New customizable variable.
13958 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
13959 we have something to show.
13960 (icomplete-exhibit): Compute completions even if we have no user input.
13962 2013-12-23 Daniel Colascione <dancol@dancol.org>
13964 * icomplete.el: Move `provide' to end of file.
13966 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
13968 * net/gnutls.el (gnutls-verify-error): Add version tag.
13970 2013-12-23 Chong Yidong <cyd@gnu.org>
13972 * subr.el (set-transient-map): Rename from
13973 set-temporary-overlay-map. Doc fix.
13975 * face-remap.el (text-scale-adjust):
13976 * indent.el (indent-rigidly):
13977 * kmacro.el (kmacro-call-macro):
13978 * minibuffer.el (minibuffer-force-complete):
13979 * repeat.el (repeat):
13980 * simple.el (universal-argument--mode):
13981 * calendar/todo-mode.el (todo-insert-item--next-param):
13982 * progmodes/f90.el (f90-abbrev-start): Callers changed.
13984 * indent.el (indent-rigidly): Use substitute-command-keys.
13986 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13988 * net/eww.el (eww-tag-select): Add text-property to jump to next
13990 (eww): Add non-supported ftp error.
13992 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13994 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
13995 comments. Handle electric indent after typing `?' and `!'.
13997 2013-12-22 Chong Yidong <cyd@gnu.org>
13999 * faces.el (face-spec-recalc): If the theme specs are not
14000 applicable to a frame, fall back on the defface spec.
14001 This prevents themes from obliterating faces on low-color terminals.
14003 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14005 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
14006 after `{'. We need it after block openers, and it doesn't seem
14007 to hurt after hash openers.
14009 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14011 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
14012 extracted from `ruby-smie-rules'.
14013 (ruby--electric-indent-chars): New variable.
14014 (ruby--electric-indent-p): New function.
14015 (ruby-mode): Use `electric-indent-functions' instead of
14016 `electric-indent-chars'.
14018 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14020 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
14022 (ruby-smie-rules): Indent plus one level after `=>'.
14024 2013-12-21 Richard Stallman <rms@gnu.org>
14026 * simple.el (newline): Doc fix.
14028 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14030 * net/eww.el (eww-list-histories, eww-list-histories)
14031 (eww-history-browse, eww-history-quit, eww-history-kill)
14032 (eww-history-mode-map, eww-history-mode): New command and
14033 functions to list browser histories.
14034 (eww-form-text): Support text form with disabled
14035 and readonly attributes.
14036 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
14038 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14040 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
14041 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
14042 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
14043 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
14045 (eww-bookmark-mode-map): Add menu.
14046 (eww-render, eww-mode): Use `setq-local'.
14047 (eww-tool-bar-map): New variable.
14048 (eww-mode): Set `tool-bar-map'.
14049 (eww-view-source): Check for `html-mode' with `fboundp'.
14051 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
14053 * net/shr.el (shr--extract-best-source): Don't bug out on audio
14054 elements with text inside. Also remove debugging.
14056 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
14058 * cus-start.el (all): Add ns-use-srgb-colorspace.
14060 2013-12-21 Chong Yidong <cyd@gnu.org>
14062 * custom.el (custom-theme-recalc-face): Do nothing if the face is
14063 undefined. Thus, theme settings for undefined faces do not take
14064 effect until the faces are defined with defface, the same as with
14067 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
14068 (face-spec-reset-face): Don't assign extra properties in temacs.
14069 (face-spec-recalc): Apply X resources too.
14071 2013-12-21 Chong Yidong <cyd@gnu.org>
14073 * faces.el (face-spec-set):
14074 * cus-face.el (custom-theme-set-faces, custom-set-faces):
14075 * custom.el (defface): Doc fixes (Bug#16203).
14077 * indent.el (indent-rigidly-map): Add docstring, and move commands
14078 into named functions.
14079 (indent-rigidly-left, indent-rigidly-right)
14080 (indent-rigidly-left-to-tab-stop)
14081 (indent-rigidly-right-to-tab-stop): New functions. Decide on
14082 indentation direction based on bidi direction, and accumulate
14083 sequential commands in a single undo boundary.
14084 (indent-rigidly--pop-undo): New utility function.
14086 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
14088 * faces.el (read-face-name): Require crm.el when using crm-separator.
14090 2013-12-20 Daniel Colascione <dancol@dancol.org>
14092 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
14093 so that we don't reflow comments into the shebang line.
14095 2013-12-20 Juri Linkov <juri@jurta.org>
14097 * saveplace.el (save-place-to-alist): Add `dired-filename' as
14098 a position when `dired-directory' is non-nil. Check integer
14099 positions with `integerp'.
14100 (toggle-save-place, save-places-to-alist): Add check for
14102 (save-place-find-file-hook): Check integer positions with
14104 (save-place-dired-hook): Use `dired-goto-file' when
14105 `dired-filename' is found in the assoc list. Check integer
14106 positions with `integerp'.
14107 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
14109 * dired.el (dired-initial-position-hook): Rename back from
14110 `dired-initial-point-hook'.
14111 (dired-initial-position): Rename `dired-initial-point-hook' to
14112 `dired-initial-position-hook'.
14113 (dired-file-name-at-point): Doc fix. (Bug#15329)
14115 2013-12-20 Juri Linkov <juri@jurta.org>
14117 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
14118 (read-regexp-suggestions): New function.
14119 (read-regexp): Use `read-regexp-defaults-function' to get default values.
14120 Use `read-regexp-suggestions'. Add non-empty default to history
14122 (occur-read-regexp-defaults-function): Remove function.
14123 (occur-read-primary-args): Use `regexp-history-last' instead of
14124 `occur-read-regexp-defaults-function'.
14126 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
14127 (hi-lock-line-face-buffer, hi-lock-face-buffer)
14128 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
14129 `hi-lock-read-regexp-defaults-function'. Doc fix.
14130 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
14131 with `find-tag-default-as-symbol-regexp'. Doc fix.
14132 (hi-lock-read-regexp-defaults): Remove function.
14133 (hi-lock-regexp-okay): Add check for null.
14135 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
14136 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
14138 * subr.el (find-tag-default-as-symbol-regexp): New function.
14139 (find-tag-default-as-regexp): Move symbol regexp formatting to
14140 `find-tag-default-as-symbol-regexp'.
14142 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
14144 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
14147 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
14149 * calendar/todo-mode.el: New implementation of item insertion
14150 commands and key bindings.
14151 (todo-key-prompt): New face.
14152 (todo-insert-item): New command.
14153 (todo-insert-item--parameters): New defconst, replacing defvar
14154 todo-insertion-commands-args-genlist.
14155 (todo-insert-item--param-key-alist): New defconst, replacing
14156 defvar todo-insertion-commands-arg-key-list.
14157 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
14158 (todo-insert-item--argsleft, todo-insert-item--apply-args)
14159 (todo-insert-item--next-param): New functions.
14160 (todo-insert-item--args, todo-insert-item--argleft)
14161 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
14163 (todo-key-bindings-t): Change binding of "i" from
14164 todo-insertion-map to todo-insert-item.
14165 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
14166 (todo-insertion-command-name, todo-insertion-commands-names)
14167 (todo-define-insertion-command, todo-insertion-commands)
14168 (todo-insertion-key-bindings, todo-insertion-map): Remove.
14170 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
14172 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
14173 (todo-toggle-item-highlighting): Use eval-and-compile instead of
14175 (todo-move-category): Allow choosing a non-existing todo file to
14176 move the category to, and create that file.
14177 (todo-default-priority): New user option.
14178 (todo-set-item-priority): Use it.
14179 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
14180 (desktop-restore-file-buffer): Declare.
14181 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
14182 (todo-modes-set-2): Locally set desktop-save-buffer to
14183 todo-desktop-save-buffer.
14184 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
14185 (auto-mode-alist): Add autoload cookie.
14187 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
14189 * emacs-lisp/subr-x.el: Renamed from helpers.el.
14190 helpers.el was a poor choice of name.
14191 (string-remove-prefix): New function.
14192 (string-remove-suffix): New function.
14194 2013-12-20 Martin Rudalics <rudalics@gmx.at>
14196 Fix assignment for new window total sizes.
14197 * window.el (window--pixel-to-size): Remove function.
14198 (window--pixel-to-total-1, window--pixel-to-total):
14199 Fix calculation of new total sizes.
14201 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
14203 * comint.el (comint-output-filter): Fix rear-nonsticky property
14204 placement (Bug#16010).
14206 2013-12-20 Chong Yidong <cyd@gnu.org>
14208 * faces.el (read-color): Minor fix for completion function.
14210 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
14212 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
14213 New option. (Bug#16182)
14214 (ruby-smie--indent-to-stmt-p): Use it.
14215 (ruby-smie-rules): Revert the logic in the handling of `when'.
14216 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
14217 (ruby-deep-arglist, ruby-deep-indent-paren)
14218 (ruby-deep-indent-paren-style): Update docstrings to note that the
14219 vars don't have any effect with SMIE.
14221 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
14223 * calc/calc.el (calc-enter, calc-pop): Use the variable
14224 `calc-context-sensitive-enter'.
14226 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
14228 * net/shr.el (shr-insert): Protect against infloops in degenerate
14231 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14233 * progmodes/octave.el (octave): Add link to manual and octave
14235 (octave-mode-menu): Link to octave-mode manual.
14237 2013-12-20 Leo Liu <sdl.web@gmail.com>
14239 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
14240 insertion using skeleton-end-newline. (Bug#16138)
14242 2013-12-20 Juri Linkov <juri@jurta.org>
14244 * replace.el (occur-engine): Use `add-face-text-property'
14245 to add the face property to matches and titles. (Bug#14645)
14247 * hi-lock.el (hi-green): Use lighter color "light green" closer to
14248 the palette of other hi-lock colors.
14249 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
14251 2013-12-19 Juri Linkov <juri@jurta.org>
14253 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
14254 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
14255 (minibuffer-history-symbol): Move variable declaration closer to
14258 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
14261 2013-12-19 Juri Linkov <juri@jurta.org>
14263 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
14265 (log-edit-hook): Add it to :options. (Bug#16170)
14267 2013-12-19 Juri Linkov <juri@jurta.org>
14269 * simple.el (eval-expression-print-format): Don't check for
14270 command names and the last command. Always display additional
14271 formats of the integer result in the echo area, and insert them
14272 to the current buffer only with a zero prefix arg.
14273 Display character when char-displayable-p is non-nil.
14274 (eval-expression): With a zero prefix arg, set `print-length' and
14275 `print-level' to nil, and insert the integer values from
14276 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
14278 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
14279 `eval-last-sexp-arg-internal'. Doc fix.
14280 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
14281 `eval-last-sexp-print-value'. Doc fix.
14282 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
14283 Set `print-length' and `print-level' to nil when arg is zero.
14284 (eval-last-sexp): Doc fix.
14285 (eval-defun-2): Print the integer values from
14286 `eval-expression-print-format' at the end.
14288 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
14289 values from `eval-expression-print-format' at the end.
14291 * ielm.el (ielm-eval-input): Print the integer
14292 values from `eval-expression-print-format' at the end.
14294 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
14296 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
14297 2013-12-11T19:01:44Z!tzz@lifelogs.com.
14299 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
14301 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
14302 (hl-line-highlight, global-hl-line-highlight): Use it.
14303 (hl-line-overlay): Use defvar-local.
14305 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
14307 * term/ns-win.el: Require dnd.
14308 (global-map): Remove drag items.
14309 (ns-insert-text, ns-set-foreground-at-mouse)
14310 (ns-set-background-at-mouse):
14311 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
14312 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
14315 2013-12-19 Glenn Morris <rgm@gnu.org>
14317 * emacs-lisp/ert.el (ert-select-tests):
14318 Fix string/symbol mixup. (Bug#16121)
14320 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
14322 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
14323 keywords to their parent.
14325 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
14327 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
14328 first arg to be a string (fixed dead code), or an operator symbol.
14329 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
14331 (ruby-smie-rules): Remove parent token check in the `.' clause, it
14332 did nothing. Don't respond to `(:after ".")', it will be called
14333 with :before anyway. Remove the ` @ ' rule, it didn't seem to
14334 change anything. Only return indentation for binary operators
14335 when they are hanging. De-dent opening paren when its parent is
14336 `.', otherwise it looks bad when the dot is not at bol or eol
14339 2013-12-19 Juri Linkov <juri@jurta.org>
14341 * replace.el (query-replace-read-args): Split a non-negative arg
14342 and a negative arg into separate elements.
14343 (query-replace, query-replace-regexp, replace-string)
14344 (replace-regexp): Add arg `backward'. Doc fix.
14345 (replace-match-maybe-edit): When new arg `backward' is non-nil,
14346 move point to the beginning of the match.
14347 (replace-search, replace-highlight): Use new arg `backward'
14348 to set the value of `isearch-forward'.
14349 (perform-replace): Add arg `backward' and use it to perform
14350 replacement backward. (Bug#14979)
14352 * isearch.el (isearch-query-replace): Use a negative prefix arg
14353 to call `perform-replace' with a non-nil arg `backward'.
14355 2013-12-18 Juri Linkov <juri@jurta.org>
14357 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
14358 to the default list. Move `log-edit-show-files' to the end.
14359 Add more available functions to options.
14360 (log-edit): Move default specific settings to
14361 `log-edit-insert-message-template'. Don't move point.
14362 (log-edit-insert-message-template): New function.
14363 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
14366 2013-12-18 Juri Linkov <juri@jurta.org>
14368 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
14369 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
14371 2013-12-18 Leo Liu <sdl.web@gmail.com>
14373 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
14376 2013-12-18 Eli Zaretskii <eliz@gnu.org>
14378 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
14379 formats for displaying file sizes when the -s switch is given.
14380 Instead, compute a separate format for displaying the size in
14381 blocks, which is displayed in addition to the "regular" size.
14382 When -h is given in addition to -s, produce size in blocks in
14383 human-readable form as well. (Bug#16179)
14385 2013-12-18 Tassilo Horn <tsdh@gnu.org>
14387 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
14388 Reference tables with ~\ref{...} instead of only \ref{...}.
14390 2013-12-18 Chong Yidong <cyd@gnu.org>
14392 * cus-edit.el (custom-magic-alist): Fix "themed" description
14395 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
14396 is non-nil, do not create a new entry in the symbol's theme-value
14397 or theme-face property; update theme-settings only (Bug#14664).
14398 (custom-available-themes): Doc fix.
14400 * cus-theme.el (custom-new-theme-mode-map): Add bindings
14403 * replace.el (occur-engine): Avoid infloop (Bug#7593).
14405 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
14407 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
14410 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
14412 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
14414 2013-12-18 Glenn Morris <rgm@gnu.org>
14416 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
14417 * cus-start.el (load-prefer-newer): New option.
14419 2013-12-18 Le Wang <l26wang@gmail.com>
14421 * comint.el (comint-previous-matching-input-from-input):
14422 Retain point (Bug#13404).
14424 2013-12-18 Chong Yidong <cyd@gnu.org>
14426 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
14428 2013-12-18 Glenn Morris <rgm@gnu.org>
14430 * mail/emacsbug.el (report-emacs-bug):
14431 Only mention enable-multibyte-characters if non-standard.
14433 2013-12-17 Juri Linkov <juri@jurta.org>
14435 * arc-mode.el (archive-extract-by-file): Check if directory exists
14436 before deletion to not show irrelevant errors if it doesn't exist.
14438 2013-12-17 Juri Linkov <juri@jurta.org>
14440 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
14443 * net/eww.el (browse-web): Add alias to `eww'.
14444 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
14445 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
14447 * net/browse-url.el (browse-url-browser-function): Move `eww'
14448 closer to similar functions.
14450 * startup.el (fancy-startup-screen, fancy-about-screen):
14451 Set browse-url-browser-function to eww-browse-url locally.
14454 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
14456 * window.el (window--pixel-to-total): Remove unused `mini' var.
14457 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
14458 (split-window): Remove unused `new' var.
14459 (window--display-buffer): Remove unused `frame' and `delta' vars.
14460 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
14461 and display-width'.
14463 2013-12-17 Martin Rudalics <rudalics@gmx.at>
14465 * dired.el (dired-mark-pop-up):
14466 * register.el (register-preview): Don't bind
14467 split-height-threshold here since it's now done in
14468 display-buffer-below-selected.
14470 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
14472 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
14473 xterm-rgb-convert-to-16bit.
14474 (rxvt-register-default-colors): Standardize with
14475 xterm-register-default-colors (Bug#14078).
14477 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
14479 * simple.el (kill-region): Pass mark first, then point, so that
14480 kill-append works right (Bug#12819).
14481 (copy-region-as-kill, kill-ring-save): Likewise.
14483 2013-12-17 Leo Liu <sdl.web@gmail.com>
14485 * net/rcirc.el (rcirc-add-face):
14486 * eshell/em-prompt.el (eshell-emit-prompt):
14487 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
14490 2013-12-17 Chong Yidong <cyd@gnu.org>
14492 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
14493 Suggested by Xue Fuqiao.
14495 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
14497 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
14499 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
14501 * net/shr.el (shr-insert-document): Remove unused var
14502 `shr-preliminary-table-render'.
14503 (shr-rescale-image): Remove unused arg `force'.
14504 (shr-put-image): Update calls accordingly.
14505 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
14507 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
14509 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
14510 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
14511 :close-all, to see which indentation method to use (Bug#16116).
14512 (smie-rules-function): Document the method :close-all.
14514 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
14516 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
14518 * net/eww.el (eww-display-html): If we can't find the anchor we're
14519 looking for, then go to point-min.
14521 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
14523 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
14524 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
14525 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
14526 Expand dir too, in case it's relative.
14528 2013-12-16 Juri Linkov <juri@jurta.org>
14530 * desktop.el (desktop-auto-save-timeout): Change default to
14531 `auto-save-timeout'. Doc fix.
14532 (desktop-save): Skip the timestamp in desktop-saved-frameset
14533 when checking for auto-save changes.
14534 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
14535 `desktop-auto-save' is called repeatedly by the idle timer.
14536 (desktop-auto-save-set-timer): Replace `run-with-timer' with
14537 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
14540 2013-12-16 Juri Linkov <juri@jurta.org>
14542 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
14544 (isearch-pre-command-hook): Check `this-command' for symbolp.
14546 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
14548 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
14550 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
14552 * progmodes/cfengine.el (cfengine3--current-word): Remove.
14553 (cfengine3--current-function): Bring in the current-function
14554 functionality from `cfengine3--current-word'.
14555 (cfengine3-completion-function): Bring in the
14556 bounds-of-current-word functionality from
14557 `cfengine3--current-word'.
14559 2013-12-16 Martin Rudalics <rudalics@gmx.at>
14561 * window.el (display-buffer-below-selected):
14562 Bind split-height-threshold to 0 as suggested by Juri Linkov.
14564 2013-12-16 Leo Liu <sdl.web@gmail.com>
14566 * progmodes/compile.el (compile-goto-error): Do not push-mark.
14567 Remove NOMSG arg and all uses changed.
14569 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
14571 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
14572 (cua--deactivate-rectangle): Don't deactivate the mark.
14573 (cua-set-rectangle-mark): Don't set mark-active since
14574 cua--activate-rectangle already does it for us.
14575 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
14576 non-rectangular region.
14578 * emulation/cua-base.el (cua-repeat-replace-region):
14579 Use with-current-buffer.
14581 * net/gnutls.el: Use cl-lib.
14582 (gnutls-negotiate): `mapcan' -> cl-mapcan.
14584 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
14586 * emacs-lisp/package.el (package-built-in-p): Support both
14587 built-in and the package.el converted package descriptions.
14588 (package-show-package-list): Allow keywords.
14589 (package-keyword-button-action): Use it instead of
14590 `finder-list-matches'.
14591 (package-menu-filter-interactive): Interactive filtering (by
14593 (package-menu--generate): Support keywords and change keymappings
14594 and headers when they are given.
14595 (package--has-keyword-p): Helper function.
14596 (package-menu--refresh): Use it.
14597 (package--mapc): Helper function.
14598 (package-all-keywords): Use it.
14599 (package-menu-mode-map): Set up menu items and keybindings to
14600 provide a filtering UI.
14602 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
14604 * net/gnutls.el (gnutls-verify-error): New defcustom to control
14605 the behavior when a certificate fails validation. Defaults to
14606 old behavior: never abort, just warn.
14607 (gnutls-negotiate): Use it.
14609 2013-12-14 Martin Rudalics <rudalics@gmx.at>
14611 * window.el (display-buffer-below-selected): Never split window
14612 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
14614 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
14616 * emacs-lisp/package.el (package--prepare-dependencies): New function.
14617 (package-buffer-info): Use it (bug#15108).
14619 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
14621 * icomplete.el (icomplete-completions): Make sure the prefix is already
14622 displayed elsewhere before hiding it (bug#16219).
14624 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
14626 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
14627 open-paren tokens when preceded by a open-paren, too.
14628 (ruby-smie-rules): Handle virtual indentation after open-paren
14629 tokens specially. If there is code between it and eol, return the
14630 column where is starts (Bug#16118).
14632 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
14634 * progmodes/cfengine.el: Fix `add-hook' doc.
14635 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
14636 (cfengine3--current-word): Fix parameters.
14637 (cfengine3-make-syntax-cache): Simplify further.
14638 (cfengine3-completion-function, cfengine3--current-function):
14639 Use `assq' for symbols.
14640 (cfengine3--current-function): Fix `cfengine3--current-word' call.
14642 2013-12-13 Glenn Morris <rgm@gnu.org>
14644 * loadup.el (load-path): Warn if site-load or site-init changes it.
14645 No more need to reset it when bootstrapping.
14647 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
14649 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
14650 locations for cf-promises.
14651 (cfengine-mode-syntax-functions-regex): New caching variable.
14652 (cfengine3-fallback-syntax): Fallback syntax for cases where
14653 cf-promises doesn't run.
14654 (cfengine3--current-word): Reimplement using
14655 `cfengine-mode-syntax-functions-regex'.
14656 (cfengine3-completion-function, cfengine3--current-function):
14657 Use `cfengine3-make-syntax-cache' directly.
14658 (cfengine3-clear-syntax-cache): New function.
14659 (cfengine3-make-syntax-cache): Simplify and create
14660 `cfengine-mode-syntax-functions-regex' on demand.
14661 (cfengine3-format-function-docstring): Don't call
14662 `cfengine3-make-syntax-cache' explicitly.
14664 2013-12-13 Martin Rudalics <rudalics@gmx.at>
14666 Fix windmove-find-other-window broken after pixelwise resizing
14668 * windmove.el (windmove-other-window-loc): Revert change from
14670 (windmove-find-other-window): Call window-in-direction.
14671 * window.el (window-in-direction): New arguments SIGN, WRAP and
14672 MINI to emulate original windmove-find-other-window behavior.
14674 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
14676 * simple.el (blink-matching--overlay): New variable.
14677 (blink-matching-open): Instead of moving point, highlight the
14678 matching paren with an overlay
14679 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
14681 * faces.el (paren-showing-faces, show-paren-match)
14682 (show-paren-mismatch): Move from paren.el.
14684 2013-12-13 Leo Liu <sdl.web@gmail.com>
14686 * indent.el (indent-region): Disable progress reporter in
14687 minibuffer. (Bug#16108)
14689 * bindings.el (visual-order-cursor-movement): Fix version.
14691 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
14693 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
14694 Also match after beginning of line.
14695 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
14696 files. Thanks to Russell Sim. (Bug#15378)
14698 2013-12-13 Juri Linkov <juri@jurta.org>
14700 * simple.el <Keypad support>: Remove key bindings duplicated
14701 with bindings.el. (Bug#14397)
14703 2013-12-13 Juri Linkov <juri@jurta.org>
14705 * comint.el (comint-mode-map): Replace `delete-char' with
14706 `delete-forward-char'. (Bug#16109)
14708 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
14710 * progmodes/python.el (python-indent-calculate-indentation):
14711 Fix de-denters cornercase. (Bug#15731)
14713 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
14715 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
14716 (advice--make): Pay attention to `depth'.
14717 (advice--make-1): Don't autoload commands eagerly.
14718 * emacs-lisp/elp.el (elp-instrument-function):
14719 * emacs-lisp/trace.el (trace-function-internal):
14720 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
14722 * iswitchb.el (iswitchb-mode): Don't belittle ido.
14724 2013-12-12 Eli Zaretskii <eliz@gnu.org>
14726 * term/w32-win.el (w32-handle-dropped-file):
14727 * startup.el (normal-top-level):
14728 * net/browse-url.el (browse-url-file-url):
14729 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
14730 decode file names using 'utf-8' rather than
14731 file-name-coding-system.
14733 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
14735 * progmodes/python.el (python-indent-context)
14736 (python-indent-calculate-indentation): Fix auto-identation
14737 behavior for comment blocks. (Bug#15916)
14739 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14741 * progmodes/python.el (python-indent-calculate-indentation):
14742 When determining indentation, don't treat "return", "pass", etc., as
14743 operators when they are just string constituents. (Bug#15812)
14745 2013-12-12 Juri Linkov <juri@jurta.org>
14747 * uniquify.el (uniquify-buffer-name-style): Change default to
14748 `post-forward-angle-brackets'.
14750 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
14751 `uniquify'. Change default to `post-forward-angle-brackets'.
14753 2013-12-11 Glenn Morris <rgm@gnu.org>
14755 * emacs-lisp/package.el (finder-list-matches):
14756 Autoload rather than falsely declaring.
14758 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
14760 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
14761 (eww-mode-map): Use them.
14763 2013-12-11 Martin Rudalics <rudalics@gmx.at>
14765 * window.el (display-buffer-in-side-window): Fix doc-string
14768 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
14770 * vc/vc-git.el: Silence byte-compiler warnings.
14771 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
14772 (log-edit-set-header): Declare.
14774 2013-12-11 Eli Zaretskii <eliz@gnu.org>
14776 * Makefile.in (custom-deps, finder-data): Run output file names
14777 through unmsys--file-name. (Bug#16099)
14779 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
14781 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
14782 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
14784 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
14785 instead of deleting the selection "by hand" (bug#16098).
14786 Rely on insert-for-yank to yank rectangles.
14787 (cua-highlight-region-shift-only): Mark obsolete.
14788 (cua-mode): Don't enable/disable transient-mark-mode,
14789 shift-select-mode (cua-mode works both with and without them), and
14790 pc-selection-mode (obsolete).
14791 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
14792 (cua--deactivate-rectangle): Deactivate it.
14794 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
14795 (delete-selection-helper): Make sure yank starts at the top of the
14797 (minibuffer-keyboard-quit): Use region-active-p.
14799 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
14801 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
14802 to `delete' (bug#16109).
14804 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14806 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
14807 info manual and show keybindings and set `:group' keyword.
14809 2013-12-11 Juri Linkov <juri@jurta.org>
14811 * delsel.el (delete-active-region): Let-bind `this-command'
14812 to prevent `kill-region' from changing its original value.
14813 (delete-selection-helper): Handle `overwrite-mode' for the type
14814 `kill' exactly the same way as for the type `t'.
14815 (insert-char, quoted-insert, reindent-then-newline-and-indent):
14816 Support more commands. (Bug#13312)
14818 2013-12-11 Juri Linkov <juri@jurta.org>
14820 * bindings.el: Map kp keys to non-kp keys systematically
14821 with basic modifiers control, meta and shift. (Bug#14397)
14823 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14825 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
14826 "Close browser" menu items. Fix wrong function of "List
14829 2013-12-11 Juri Linkov <juri@jurta.org>
14831 * misearch.el (multi-isearch-buffers): Set the value of
14832 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
14833 arg of isearch-forward to t.
14834 (multi-isearch-buffers-regexp): Set the value of
14835 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
14836 arg of isearch-forward-regexp to t.
14837 (multi-isearch-files): Set the value of
14838 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
14839 arg of isearch-forward to t.
14840 (multi-isearch-files-regexp): Set the value of
14841 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
14842 arg of isearch-forward-regexp to t. (Bug#16035)
14844 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
14845 arg of isearch-forward to t.
14846 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
14847 arg of isearch-forward-regexp to t.
14848 (dired-isearch-filter-filenames): Remove unnecessary check for
14849 `dired-isearch-filenames'.
14851 * comint.el (comint-history-isearch-backward):
14852 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
14853 (comint-history-isearch-backward-regexp):
14854 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
14856 2013-12-10 Eli Zaretskii <eliz@gnu.org>
14858 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
14859 unmsys--file-name. (Bug#16099)
14861 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
14863 * emacs-lisp/package.el (package-keyword-button-action):
14864 Remove finder.el require dependency.
14866 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
14868 * emacs-lisp/package.el: Require finder.el.
14869 (describe-package-1): Add keyword buttons.
14870 (package-make-button): New convenience function.
14871 (package-keyword-button-action): Keyword button action using
14872 `finder-list-matches'.
14874 2013-12-09 Eli Zaretskii <eliz@gnu.org>
14876 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
14879 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
14881 * autorevert.el (auto-revert-notify-add-watch): Do not handle
14884 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
14886 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
14887 after the end of a percent literal.
14889 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
14891 * progmodes/ruby-mode.el (ruby-forward-string): Document.
14892 Handle caret-delimited strings (Bug#16079).
14894 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
14896 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
14897 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
14898 `ruby-parse-partial' (Bug#16078).
14900 2013-12-09 Leo Liu <sdl.web@gmail.com>
14902 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
14904 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
14906 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
14907 (js-switch-indent-offset): New option.
14908 (js--proper-indentation): Use it. And handle the case when
14909 "default" is actually a key in an object literal.
14910 (js--same-line): New function.
14911 (js--multi-line-declaration-indentation): Use it.
14912 (js--indent-in-array-comp, js--array-comp-indentation):
14914 (js--proper-indentation): Use them, to handle array comprehension
14917 2013-12-08 Leo Liu <sdl.web@gmail.com>
14919 * progmodes/flymake.el (flymake-highlight-line): Re-write.
14920 (flymake-make-overlay): Remove arg MOUSE-FACE.
14921 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
14923 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
14925 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
14927 (redisplay-highlight-region-function): Use it.
14929 * emulation/cua-base.el (cua--explicit-region-start)
14930 (cua--last-region-shifted): Remove.
14931 (cua--deactivate): Use deactivate-mark.
14932 (cua--pre-command-handler-1): Don't handle shift-selection.
14933 (cua--post-command-handler-1): Don't change transient-mark-mode.
14934 (cua--select-keymaps): Use region-active-p rather than
14935 cua--explicit-region-start or cua--last-region-shifted.
14936 (cua-mode): Enable shift-select-mode.
14938 2013-12-08 Leo Liu <sdl.web@gmail.com>
14940 * progmodes/flymake.el (flymake-popup-current-error-menu):
14941 Rename from flymake-display-err-menu-for-current-line. Reimplement.
14942 (flymake-posn-at-point-as-event, flymake-popup-menu)
14943 (flymake-make-emacs-menu): Remove. (Bug#16077)
14945 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
14947 * rect.el (rectangle-mark-mode): Activate mark even if
14948 transient-mark-mode is off (bug#16066).
14949 (rectangle--highlight-for-redisplay): Fix boundary condition when point
14950 is > mark and at bolp.
14952 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
14953 (region-extract-function): Use it.
14954 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
14955 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
14957 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
14958 kill-ring-save, kill-region, delete-char, delete-forward-char.
14959 Ignore self-insert-iso.
14961 * emulation/cua-gmrk.el (cua--init-global-mark):
14962 Ignore `self-insert-iso'.
14964 * emulation/cua-base.el (cua--prefix-copy-handler)
14965 (cua--prefix-cut-handler): Rely on region-extract-function rather than
14966 checking cua--rectangle.
14967 (cua-delete-region): Use region-extract-function.
14968 (cua-replace-region): Delete function.
14969 (cua-copy-region, cua-cut-region): Obey region-extract-function.
14970 (cua--pre-command-handler-1): Don't do the delete-selection thing.
14971 (cua--self-insert-char-p): Ignore `self-insert-iso'.
14972 (cua--init-keymaps): Don't remap delete-selection commands.
14973 (cua-mode): Use delete-selection-mode instead of rolling our own
14976 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
14977 Obey region-extract-function.
14979 Make registers and delete-selection-mode work on rectangles.
14980 * register.el (describe-register-1): Don't modify the register's value.
14981 (copy-to-register): Obey region-extract-function.
14982 * delsel.el (delete-active-region): Obey region-extract-function.
14984 2013-12-08 Leo Liu <sdl.web@gmail.com>
14986 * progmodes/flymake.el (flymake, flymake-error-bitmap)
14987 (flymake-warning-bitmap, flymake-fringe-indicator-position)
14988 (flymake-compilation-prevents-syntax-check)
14989 (flymake-start-syntax-check-on-newline)
14990 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
14991 (flymake-start-syntax-check-on-find-file, flymake-log-level)
14992 (flymake-xml-program, flymake-master-file-dirs)
14993 (flymake-master-file-count-limit)
14994 (flymake-allowed-file-name-masks): Relocate.
14995 (flymake-makehash, flymake-float-time)
14996 (flymake-replace-regexp-in-string, flymake-split-string)
14997 (flymake-get-temp-dir): Remove.
14998 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
14999 (flymake-current-row, flymake-selected-frame)
15000 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
15001 related functions. (Bug#16077)
15003 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
15005 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
15007 2013-12-07 Tassilo Horn <tsdh@gnu.org>
15009 * help-fns.el (describe-function-1): Use new advice-* functions
15010 rather than old ad-* functions. Fix function type description and
15011 source links for advised functions and subrs.
15013 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
15015 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
15017 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
15019 * progmodes/compile.el (compilation-start):
15020 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
15022 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
15023 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
15025 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
15027 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
15028 Touch up the last change.
15030 2013-12-06 Leo Liu <sdl.web@gmail.com>
15032 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
15033 (inferior-octave-startup): Always use "octave> " for prompt.
15034 (octave-goto-function-definition)
15035 (octave-sync-function-file-names)
15036 (octave-find-definition-default-filename): Remove redundant backquotes.
15038 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
15040 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
15042 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
15043 where appropriate already.
15044 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
15045 end of method names (Bug#15874).
15047 2013-12-06 Juri Linkov <juri@jurta.org>
15049 * isearch.el (isearch--saved-overriding-local-map):
15050 New internal variable.
15051 (isearch-mode): Set it to the initial value of
15052 `overriding-terminal-local-map'.
15053 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
15054 with `isearch--saved-overriding-local-map'. (Bug#16035)
15056 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
15058 * progmodes/octave.el (inferior-octave-completion-table):
15059 Turn back into function, use `completion-table-with-cache'
15060 (Bug#11906). Update all references.
15062 * minibuffer.el (completion-table-with-cache): New function.
15064 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
15066 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
15068 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
15070 * net/eww.el (eww-current-source): New variable to store page
15072 (eww-display-html, eww-mode, eww-save-history)
15073 (eww-restore-history): Use it.
15074 (eww-view-source): New command to view page source.
15075 Opportunistically uses `html-mode' to highlight the buffer.
15076 (eww-mode-map): Install it.
15078 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
15080 * net/dbus.el (dbus-unregister-service)
15081 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
15083 (dbus-unregister-service): Skip :serial entries in
15084 `dbus-registered-objects-table'.
15085 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
15087 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
15089 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
15090 around keywords with extra `split-string' argument.
15092 2013-12-04 Martin Rudalics <rudalics@gmx.at>
15094 * windmove.el (windmove-other-window-loc): Handle navigation
15095 between windows (excluding the minibuffer window - Bug#16017).
15097 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
15099 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
15100 in D-Bus type syntax.
15101 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
15102 preserve unibyte strings. (Bug#16048)
15104 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
15106 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
15107 Call force-mode-line-update is the proper buffer (bug#16042).
15109 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
15111 * vc/log-edit.el (log-edit-add-new-comment): Rename to
15112 `log-edit-remember-comment', make argument optional. Adjust all
15114 (log-edit-mode): Add `log-edit-remember-comment' to
15115 `kill-buffer-hook' locally.
15116 (log-edit-kill-buffer): Don't remember comment explicitly since
15117 the buffer is killed anyway.
15119 2013-12-04 Juri Linkov <juri@jurta.org>
15121 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
15122 add-hook and remove-hook for multi-buffer search. (Bug#16035)
15124 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
15126 * notifications.el (notifications-close-notification): Call the
15127 D-Bus method with ID being a `:uint32'. (Bug#16030)
15129 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
15131 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
15133 2013-12-03 Juri Linkov <juri@jurta.org>
15135 * progmodes/compile.el (compilation-start): Rename window alist
15136 entry `no-display-ok' to `allow-no-window'.
15138 * simple.el (shell-command): Add window alist entry
15139 `allow-no-window' to `display-buffer'.
15140 (async-shell-command): Doc fix.
15142 * window.el (display-buffer-no-window): New action function.
15143 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
15145 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
15147 * vc/log-edit.el (log-edit-set-header): Extract from
15148 `log-edit-toggle-header'.
15149 (log-edit-extract-headers): Separate the summary, when extracted
15150 from header, from the rest of the message with an empty line.
15152 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
15153 line, if present, to the Summary header.
15155 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
15157 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
15158 in current-buffer (bug#16029).
15160 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
15162 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
15163 (debugger-mode-map): Bind it.
15164 (debugger--backtrace-base): New function.
15165 (debugger-eval-expression): Use it.
15166 (debugger-frame-number): Skip local vars when present.
15167 (debugger--locals-visible-p, debugger--insert-locals)
15168 (debugger--show-locals, debugger--hide-locals): New functions.
15170 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
15172 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
15174 (tramp-get-remote-locale): New defun.
15175 (tramp-open-connection-setup-interactive-shell): Use it.
15177 2013-12-02 Leo Liu <sdl.web@gmail.com>
15179 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
15181 * progmodes/sh-script.el (sh-shell-process):
15182 * progmodes/octave.el (inferior-octave-process-live-p):
15183 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
15184 (gdb-inferior-io-sentinel):
15185 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
15187 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
15189 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
15190 `save-selected-window' to `log-edit-hide-buf'. This makes
15191 `log-edit-show-files' idempotent.
15192 (log-edit-show-files): Mark the new window as dedicated.
15194 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
15196 * vc/log-edit.el (log-edit-mode-map): Add binding for
15197 `log-edit-kill-biffer'.
15198 (log-edit-hide-buf): Add a FIXME comment.
15199 (log-edit-add-new-comment): New function, extracted from
15201 (log-edit-done, log-edit-add-to-changelog): Use it.
15202 (log-edit-kill-buffer): New command.
15204 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
15206 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
15207 instead of killing the buffer.
15209 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
15211 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
15213 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15215 * net/eww.el (eww-form-checkbox-selected-symbol)
15216 (eww-form-checkbox-symbol): New customizable variable.
15217 (eww-form-checkbox, eww-toggle-checkbox):
15218 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
15220 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
15221 (shr--get-media-pref, shr--extract-best-source): New function.
15222 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
15223 no :src tag was specified.
15225 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
15226 (eww-render): Handle `eww-use-external-browser-for-content-type'.
15227 Use \\` to match beginning of string instead of ^.
15228 (eww-browse-with-external-browser): Provide optional URL parameter.
15229 (eww-render): Set `eww-current-title' back to "".
15231 * net/shr.el (shr-tag-video): Display content for video if no
15232 poster is available.
15233 (shr-tag-audio): Add support for <audio> tag.
15235 * net/eww.el (eww-text-input-types): New const.
15236 (eww-process-text-input): Treat input types in
15237 `eww-text-input-types' as text.
15239 * net/shr.el (shr-tag-table): Fix comment typo.
15241 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
15243 * net/eww.el (eww-follow-link): New command to avoid reloading
15244 pages when we follow #target links (bug#15243).
15245 (eww-quit): Special mode buffers shouldn't query before exiting.
15247 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
15249 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
15252 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
15254 * net/eww.el (eww-restore-history): Update the window title after
15255 moving in the history.
15256 (eww-current-dom): New variable used to save the current DOM.
15258 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
15260 * vc/log-edit.el (log-edit-mode-map): Add binding for
15261 `log-edit-beginning-of-line'.
15262 (log-edit-setup-add-author): New user option.
15263 (log-edit-beginning-of-line): New command.
15264 (log-edit): Move major mode call above the contents setup so that
15265 the local variable values are already applied.
15266 (log-edit): Only insert "Author: " when
15267 `log-edit-setup-add-author' is non-nil.
15268 (log-edit): When SETUP is non-nil, position point after ": "
15269 instead of point-min.
15271 2013-12-01 Glenn Morris <rgm@gnu.org>
15273 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
15275 2013-11-30 Eli Zaretskii <eliz@gnu.org>
15277 * startup.el (fancy-splash-frame): On MS-Windows, trigger
15278 redisplay to make sure the initial frame gets a chance to become
15279 visible. (Bug#16014)
15281 2013-11-30 Martin Rudalics <rudalics@gmx.at>
15283 Support resizing frames and windows pixelwise.
15284 * cus-start.el (frame-resize-pixelwise)
15285 (window-resize-pixelwise): New entries.
15286 * emacs-lisp/debug.el (debug): Use window-total-height instead
15287 of window-total-size.
15288 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
15289 * help.el (describe-bindings-internal): Use help-buffer as
15290 argument for with-help-window.
15291 (temp-buffer-max-width): New option.
15292 (resize-temp-buffer-window, help-window-setup)
15293 (with-help-window): Rewrite.
15294 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
15296 * window.el (frame-char-size, window-min-pixel-height)
15297 (window-safe-min-pixel-height, window-safe-min-pixel-width)
15298 (window-min-pixel-width, window-safe-min-pixel-size)
15299 (window-combination-p, window-safe-min-size)
15300 (window-resizable-p, window--size-to-pixel)
15301 (window--pixel-to-size, window--resize-apply-p): New functions.
15302 (window-safe-min-height): Fix doc-string.
15303 (window-size, window-min-size, window--min-size-1)
15304 (window-sizable, window-sizable-p, window--min-delta-1)
15305 (window-min-delta, window--max-delta-1, window-max-delta)
15306 (window--resizable, window--resizable-p, window-resizable)
15307 (window-full-height-p, window-full-width-p, window-at-side-p)
15308 (window--in-direction-2, window-in-direction)
15309 (window--resize-reset-1, window--resize-mini-window)
15310 (window-resize, window-resize-no-error)
15311 (window--resize-child-windows-normal)
15312 (window--resize-child-windows, window--resize-siblings)
15313 (window--resize-this-window, window--resize-root-window)
15314 (window--resize-root-window-vertically)
15315 (adjust-window-trailing-edge, enlarge-window, shrink-window)
15316 (maximize-window, minimize-window, delete-window)
15317 (quit-restore-window, window-split-min-size, split-window)
15318 (balance-windows-2, balance-windows)
15319 (balance-windows-area-adjust, balance-windows-area)
15320 (window--state-get-1, window-state-get, window--state-put-1)
15321 (window--state-put-2, window-state-put)
15322 (display-buffer-record-window, window--display-buffer):
15323 Make functions handle pixelwise sizing of windows.
15324 (display-buffer--action-function-custom-type)
15325 (display-buffer-fallback-action):
15326 Add display-buffer-in-previous-window.
15327 (display-buffer-use-some-window): Resize window to height it had
15329 (fit-window-to-buffer-horizontally): New option.
15330 (fit-frame-to-buffer): Describe new values.
15331 (fit-frame-to-buffer-bottom-margin): Replace with
15332 fit-frame-to-buffer-margins.
15333 (window--sanitize-margin): New function.
15334 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
15335 using window-text-pixel-size.
15337 2013-11-30 Glenn Morris <rgm@gnu.org>
15339 * emacs-lisp/bytecomp.el (byte-compile-form):
15340 Make the `interactive-only' warning like the `obsolete' one.
15341 * comint.el (comint-run):
15342 * files.el (insert-file-literally, insert-file):
15343 * replace.el (replace-string, replace-regexp):
15344 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
15345 (goto-line, insert-buffer, next-line, previous-line):
15346 Tweak `interactive-only' spec.
15348 Stop keeping (most) generated cedet grammar files in the repository.
15349 * Makefile.in (semantic): New.
15350 (compile-main): Depend on semantic.
15352 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
15354 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
15355 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
15357 * uniquify.el (uniquify-buffer-name-style): Change default.
15359 * loadup.el: Preload "uniquify".
15361 * time.el (display-time-update): Update all mode lines (bug#15999).
15363 * electric.el (electric-indent-mode): Enable by default.
15364 * loadup.el: Preload "electric".
15366 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
15368 * emacs-lisp/helpers.el (string-empty-p): New function.
15369 (string-blank-p): New function.
15371 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
15373 * imenu.el (imenu--index-alist): Add missing dot to the docstring
15376 2013-11-29 Andreas Politz <politza@fh-trier.de>
15377 * imenu.el (imenu--subalist-p): Don't error on non-conses and
15378 allow non-lambda lists as functions.
15379 (imenu--in-alist): Don't recurse into non-subalists.
15380 (imenu): Don't pass function itself as an argument (Bug#14029).
15382 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
15384 * progmodes/python.el (python-mode-map): Remove binding for ":".
15385 (python-indent-electric-colon): Remove command.
15386 (python-indent-post-self-insert-function): Integrate the previous code
15387 of python-indent-electric-colon. Make it conditional on
15388 electric-indent-mode.
15389 (python-mode): Add ?: to electric-indent-chars.
15390 Move python-indent-post-self-insert-function to the end of
15391 post-self-insert-hook.
15393 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
15395 * doc-view.el (doc-view-goto-page): Update mode-line.
15397 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
15399 2013-11-27 Glenn Morris <rgm@gnu.org>
15401 * international/charprop.el, international/uni-bidi.el:
15402 * international/uni-category.el, international/uni-combining.el:
15403 * international/uni-comment.el, international/uni-decimal.el:
15404 * international/uni-decomposition.el, international/uni-digit.el:
15405 * international/uni-lowercase.el, international/uni-mirrored.el:
15406 * international/uni-name.el, international/uni-numeric.el:
15407 * international/uni-old-name.el, international/uni-titlecase.el:
15408 * international/uni-uppercase.el:
15409 Remove generated files from VCS repository.
15411 2013-11-27 Eli Zaretskii <eliz@gnu.org>
15413 * filenotify.el (file-notify-add-watch): Don't special-case
15414 w32notify when computing the directory to watch.
15416 2013-11-27 Glenn Morris <rgm@gnu.org>
15418 Make bootstrap without generated uni-*.el files possible again.
15419 * loadup.el: Update command-line-args checking for unidata-gen.
15420 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
15421 * composite.el, international/characters.el:
15422 Handle unicode tables being undefined.
15424 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
15425 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
15426 (compile-main): Depend on leim rule.
15428 * loadup.el: Move leim-list.el to leim/ subdirectory.
15429 * startup.el (normal-top-level): No more leim directory.
15430 * international/ja-dic-cnv.el (skkdic-convert):
15431 Disable version-control and autoloads in output files.
15432 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
15433 Disable version-control and autoloads in output files.
15434 * leim/quail: Move here from ../leim.
15435 * leim/quail/hangul.el (hangul-input-method-activate):
15436 Add autoload cookie.
15437 (generated-autoload-load-name): Set file-local value.
15438 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
15439 (generated-autoload-load-name): Set file-local value.
15441 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
15443 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
15444 (eww-add-bookmark): Ask confirmation when add to bookmarks.
15445 (eww-quit): Ask confirmation before quitting eww.
15447 2013-11-26 Eli Zaretskii <eliz@gnu.org>
15449 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
15450 reading output from Diff on MS-Windows and MS-DOS.
15452 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
15454 * emacs-lisp/helpers.el (string-reverse): New function.
15456 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
15458 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
15459 names on MS Windows, like "/[::1]:".
15461 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
15464 2013-11-26 Glenn Morris <rgm@gnu.org>
15466 * progmodes/python.el (python-indent-guess-indent-offset):
15467 Avoid corner-case error. (Bug#15975)
15469 Preload leim-list.el. (Bug#4789)
15470 * loadup.el: Load leim-list.el when found.
15471 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
15473 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
15475 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
15477 * emacs-lisp/helpers.el (string-join): New function.
15479 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
15481 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
15482 Mark as obsolete and replace it with a symbol property.
15483 (byte-compile-form): Use new 'interactive-only property.
15484 * comint.el, files.el, replace.el, simple.el:
15485 Apply new 'interactive-only properly.
15487 2013-11-25 Martin Rudalics <rudalics@gmx.at>
15489 * window.el (display-buffer-at-bottom): Make sure that
15490 split-window-sensibly creates the new window on bottom
15493 2013-11-23 David Kastrup <dak@gnu.org>
15495 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
15496 on the conflict markers when available.
15497 (smerge--get-marker): New function.
15498 (smerge-end-re, smerge-base-re): Add subgroup.
15500 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
15502 * frame.el (handle-focus-in, handle-focus-out): Add missing
15505 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
15507 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
15508 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
15510 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
15512 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
15513 (gomoku--last-pos): New var.
15514 (gomoku--intangible-chars): New const.
15515 (gomoku--intangible): New function.
15516 (gomoku-mode): Use it. Derive from special-mode.
15517 (gomoku-move-up): Adjust line count.
15518 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
15519 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
15520 Simplify accordingly.
15522 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
15523 Remove blink-cursor code.
15524 (blink-cursor-timer-function, blink-cursor-suspend):
15525 Don't special-case GUIs.
15526 (blink-cursor-mode): Use focus-in/out-hook.
15528 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
15530 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
15531 work when annotation is invisible (Bug#13886).
15533 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
15535 * json.el (json-alist-p): Only return non-nil if the alist has
15536 simple keys (Bug#13518).
15538 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
15540 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
15541 when control-statement is the first statement in a buffer (Bug#15956).
15543 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
15545 * imenu.el (imenu-generic-skip-comments-and-strings):
15546 New option (Bug#15560).
15547 (imenu--generic-function): Use it.
15549 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
15551 * minibuffer.el (completion--in-region-1): Scroll the correct window.
15554 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
15556 * emacs-lisp/helpers.el: Add some string helpers.
15557 (string-trim-left): Removes leading whitespace.
15558 (string-trim-right): Removes trailing whitespace.
15559 (string-trim): Removes leading and trailing whitespace.
15561 * subr.el (string-suffix-p): New function.
15563 2013-11-23 Glenn Morris <rgm@gnu.org>
15565 * progmodes/python.el (python-shell-send-file):
15566 Add option to delete file when done. (Bug#15647)
15567 (python-shell-send-string, python-shell-send-region): Use it.
15569 2013-11-23 Ivan Shmakov <ivan@siamics.net>
15571 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
15572 to set buffer-read-only to t, never to nil. (Bug#15938)
15574 * textmodes/tex-mode.el (latex-noindent-environments):
15575 Add safe-local-variable property. (Bug#15936)
15577 2013-11-23 Glenn Morris <rgm@gnu.org>
15579 * textmodes/enriched.el (enriched-mode): Doc fix.
15580 * emacs-lisp/authors.el (authors-renamed-files-alist):
15581 Add enriched.doc -> enriched.txt.
15583 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
15585 2013-11-22 Leo Liu <sdl.web@gmail.com>
15587 * progmodes/octave.el (inferior-octave-startup): Spit out error
15590 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
15592 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
15595 (ruby-encoding-magic-comment-style): Add :version.
15597 2013-11-22 Leo Liu <sdl.web@gmail.com>
15599 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
15601 (octave-help-mode): Adapt to change to help-mode-finish to use
15602 derived-mode-p on 2013-09-17.
15603 (inferior-octave-prompt): Also match octave-gui.
15604 (octave-kill-process): Don't ask twice. (Bug#10564)
15606 2013-11-22 Leo Liu <sdl.web@gmail.com>
15608 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
15609 (inferior-octave-startup, inferior-octave-check-process)
15610 (inferior-octave-track-window-width-change)
15611 (octave-completion-at-point, octave-eldoc-function): Use it.
15612 (octave-kill-process): Provide confirmation. (Bug#10564)
15614 2013-11-21 Leo Liu <sdl.web@gmail.com>
15616 * progmodes/octave.el (octave-mode, inferior-octave-mode):
15617 Fix obsolete variable comment-use-global-state.
15619 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15621 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
15622 Add `octave-source-file'.
15623 (octave-source-file): New function. (Bug#15935)
15625 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
15627 * net/eww.el (eww-local-regex): New variable.
15628 (eww): Use it to detect localhost and similar.
15630 2013-11-21 Leo Liu <sdl.web@gmail.com>
15632 Add completion for command `ag'.
15633 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
15634 (pcomplete/ag): New function.
15635 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
15637 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
15639 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
15641 (make-obsolete): Remove interactive spec.
15643 2013-11-21 Glenn Morris <rgm@gnu.org>
15645 * startup.el (command-line-1): Use path-separator with -L.
15647 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
15649 * emacs-lisp/package.el (describe-package-1): Add package archive
15652 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
15654 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
15655 Change default to "# encoding: %s" to differentiate it from the
15656 default Ruby encoding comment template.
15658 2013-11-20 Era Eriksson <era+emacsbugs@iki.fi>
15660 * ses.el (ses-mode): Doc fix. (Bug#14748)
15662 2013-11-20 Leo Liu <sdl.web@gmail.com>
15664 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
15666 2013-11-19 Dan Nicolaescu <dann@gnu.org>
15668 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
15669 when rebase or bisect are in progress.
15671 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
15673 * filenotify.el (file-notify-add-watch): Doc fix.
15675 2013-11-19 Leo Liu <sdl.web@gmail.com>
15677 * obsolete/rcompile.el: Mark obsolete.
15679 * progmodes/compile.el (compilation-start)
15680 (compilation-goto-locus, compilation-find-file):
15681 Pass no-display-ok and handle nil value from display-buffer.
15684 * window.el (display-buffer-alist, display-buffer): Document the
15685 new parameter no-display-ok. Return either a window or nil
15686 but never a non-window value.
15688 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
15690 * electric.el (electric-indent-mode-map): Remove.
15691 (electric-indent-mode): Change the global-map instead (bug#15915).
15693 * textmodes/text-mode.el (paragraph-indent-minor-mode):
15696 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
15698 * emacs-lisp/nadvice.el (remove-function): Align with
15699 add-function's behavior.
15701 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
15702 (gdb--string-regexp): New constant.
15703 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
15704 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
15705 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
15707 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
15708 Adjust use accordingly.
15709 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
15711 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
15713 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
15714 interpolation curlies (Bug#15914).
15716 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
15718 * calc/calc.el (calc-context-sensitive-enter): New variable.
15719 (calc-enter): Use `calc-context-sensitive-enter'.
15721 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
15723 * progmodes/cfengine.el: Version bump.
15724 (cfengine-cf-promises): New defcustom to locate cf-promises.
15725 (cfengine3-vartypes): Add new "data" type.
15726 (cfengine3--current-word): New function to get current name-like
15727 word or its bounds.
15728 (cfengine3--current-function): New function to look up a CFEngine
15729 function's definition.
15730 (cfengine3-format-function-docstring): New function.
15731 (cfengine3-make-syntax-cache): New function.
15732 (cfengine3-documentation-function): New function: ElDoc glue.
15733 (cfengine3-completion-function): New function: completion glue.
15734 (cfengine3-mode): Set `compile-command',
15735 `eldoc-documentation-function', and add to
15736 `completion-at-point-functions'.
15738 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
15740 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
15741 `tramp-current-connection'.
15743 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
15745 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
15746 nil/self/true/false with "end of symbol".
15748 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
15750 * subr.el (version-regexp-alist): Fix a typo.
15752 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
15754 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
15755 "en_US.utf8" and "LC_CTYPE" to "".
15756 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
15757 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
15759 2013-11-15 Leo Liu <sdl.web@gmail.com>
15761 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
15763 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15765 * progmodes/gud.el (ctl-x-map):
15766 Remove C-x SPC binding. (Bug#12342)
15767 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
15769 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
15771 * subr.el (version-regexp-alist):
15772 Recognize hg, svn and darcs versions as snapshot versions.
15774 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
15775 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
15776 (ruby--encoding-comment-required-p): Extract from
15777 `ruby-mode-set-encoding'.
15778 (ruby-mode-set-encoding): Add the ability to always insert an
15779 utf-8 encoding comment. Fix and simplify coding comment update
15782 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
15784 * net/tramp-gvfs.el (top): Run init code only when
15785 `tramp-gvfs-enabled' is not nil.
15786 (tramp-gvfs-enabled): Check also :system bus.
15788 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15790 Sync with upstream verilog-mode revision 78e66ba.
15791 * progmodes/verilog-mode.el (verilog-end-of-defun)
15792 (verilog-type-completion, verilog-get-list): Remove unused funcs.
15793 (verilog-get-end-of-defun): Remove unused argument.
15794 (verilog-comment-depth): Remove unused local `e'.
15795 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
15796 Don't pass arg to verilog-get-end-of-defun.
15798 2013-11-14 Glenn Morris <rgm@gnu.org>
15800 * obsolete/assoc.el (aget): Prefix dynamic variable.
15802 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
15804 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15806 * widget.el, hfy-cmap.el: Remove bogus package version number.
15808 2013-11-13 Glenn Morris <rgm@gnu.org>
15810 * replace.el (replace-eval-replacement):
15811 Try to give more helpful error message. (Bug#15836)
15813 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
15814 (archive-7z-update): Avoid custom type mismatches.
15816 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
15818 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
15820 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
15821 address can be empty.
15823 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
15824 Accept nil SWITCHES.
15825 (tramp-gvfs-handle-write-region): Implement APPEND.
15827 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
15829 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
15830 binary "|" operator and closing block args delimiter.
15831 Remove FIXME comment referring to Ruby 1.8-only syntax.
15832 (ruby-smie--implicit-semi-p): Not after "|" operator.
15833 (ruby-smie--closing-pipe-p): New function.
15834 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
15835 (ruby-smie-rules): Indent after "|".
15837 2013-11-12 Glenn Morris <rgm@gnu.org>
15839 * ps-print.el (ps-face-attribute-list):
15840 Handle anonymous faces. (Bug#15827)
15842 2013-11-12 Martin Rudalics <rudalics@gmx.at>
15844 * window.el (display-buffer-other-frame): Fix doc-string.
15847 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15849 * subr.el (force-mode-line-update): Delete, move to buffer.c.
15851 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
15853 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
15854 (tramp-sh-handle-file-local-copy): Don't write a message when
15855 saving temporary files.
15857 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
15858 both directories are remote.
15859 (tramp-smb-handle-directory-files): Do not return double entries.
15860 Do not expand full file names.
15861 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
15862 (tramp-smb-handle-write-region): Implement APPEND.
15863 (tramp-smb-get-stat-capability): Fix a stupid bug.
15865 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15867 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
15869 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15871 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
15872 throwing error over malformed let/let* (bug#15814).
15874 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15876 * iswitchb.el (iswitchb-mode): Mark obsolete.
15878 2013-11-11 Glenn Morris <rgm@gnu.org>
15880 * international/uni-bidi.el, international/uni-category.el:
15881 * international/uni-name.el, international/uni-numeric.el:
15882 Regenerate for Unicode 6.3.0.
15884 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
15886 * net/tramp.el (tramp-methods):
15887 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
15888 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
15890 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
15892 * progmodes/sh-script.el (sh-font-lock-keywords-var):
15893 Force highlighting text after Summary keyword in doc face for rpm.
15895 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
15897 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
15898 available and the word has no wildcards, append one to the grep pattern.
15899 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
15900 (ispell-complete-word): Call `ispell-lookup-words' with the value
15901 independent of `ispell-look-p'.
15903 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15905 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
15907 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
15910 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
15912 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
15913 (ruby-font-lock-keywords): Use backquote.
15915 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15917 * progmodes/ruby-mode.el (ruby-smie--forward-token)
15918 (ruby-smie--backward-token): Only consider full-string matches.
15920 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
15922 * faces.el (describe-face): Add distant-foreground.
15924 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
15926 * progmodes/ruby-mode.el: Improve encoding comment handling.
15927 (ruby-encoding-magic-comment-style): New option.
15928 (ruby-custom-encoding-magic-comment-template): New option.
15929 (ruby--insert-coding-comment, ruby--detect-encoding):
15930 New functions extracted from `ruby-mode-set-encoding'.
15931 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
15932 to control the style of the auto-inserted encoding comment.
15934 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15936 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
15937 Use `smie-backward-sexp' with token argument.
15939 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
15941 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
15942 Remove instrumentation code.
15944 2013-11-08 Glenn Morris <rgm@gnu.org>
15946 * progmodes/autoconf.el (autoconf-mode):
15947 Tweak comment-start-skip. (Bug#15822)
15949 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
15951 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
15952 at bobp (bug#15826).
15953 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
15955 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
15957 * man.el (Man-start-calling): New macro, extracted from
15958 Man-getpage-in-background.
15959 (Man-getpage-in-background): Use it.
15960 (Man-update-manpage): New command.
15961 (Man-mode-map): Bind it.
15963 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15965 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
15966 of "and", "or", "&&" and "||".
15967 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
15968 argument. Prohibit opening curly brace because it could only be a
15969 block opener in that position.
15970 (ruby-smie--forward-token, ruby-smie--backward-token):
15971 Separate "|" from "&" or "*" going after it. That can happen in block
15973 (ruby-smie--indent-to-stmt): New function, seeks the end of
15974 previous statement or beginning of buffer.
15975 (ruby-smie-rules): Use it.
15976 (ruby-smie-rules): Check if there's a ":" before a curly block
15977 opener candidate; if there is, it's a hash.
15979 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
15981 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
15982 (cl--block-wrapper): Fix last accidental change.
15984 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
15986 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
15987 Instrument, in order to hunt failure on hydra.
15989 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15991 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
15992 malformed bindings form (bug#15814).
15994 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
15996 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
15997 "." compared to " @ ". This incidentally fixes some indentation
15998 examples with "do".
15999 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
16000 (ruby-smie-grammar): New tokens: "and" and "or".
16001 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
16002 Exclude "and" and "or". Remove "do" in order to work around token
16004 (ruby-smie-rules): Add all infix tokens. Handle the case of
16005 beginning-of-buffer.
16007 2013-11-06 Glenn Morris <rgm@gnu.org>
16009 * Makefile.in (setwins_almost, setwins_for_subdirs):
16010 Avoid accidental matches.
16012 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
16014 * menu-bar.el (popup-menu): Use key-binding.
16016 2013-11-06 Eli Zaretskii <eliz@gnu.org>
16018 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
16019 menus, support also the menus produced by minor modes.
16022 2013-11-06 Leo Liu <sdl.web@gmail.com>
16024 * thingatpt.el (thing-at-point-looking-at): Add optional arg
16025 DISTANCE to bound the search. All uses changed. (Bug#15808)
16027 2013-11-06 Glenn Morris <rgm@gnu.org>
16029 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
16030 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
16031 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
16033 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
16035 * electric.el (electric-indent-just-newline): New command.
16036 (electric-indent-mode-map): New keymap.
16037 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
16038 Re-add :group which weren't redundant.
16040 * electric.el (electric-indent-local-mode): New minor mode.
16041 (electric-indent-functions-without-reindent): New var.
16042 (electric-indent-post-self-insert-function): Use it.
16043 * emacs-lisp/gv.el (buffer-local-value): Add setter.
16045 2013-11-05 Eli Zaretskii <eliz@gnu.org>
16047 * international/quail.el (quail-help): Be more explicit about the
16048 meaning of the labels shown on the keys. (Bug#15800)
16050 * startup.el (normal-top-level): Load the subdirs.el files before
16051 setting the locale environment. (Bug#15805)
16053 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
16055 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
16056 via arguments so as to get the right ones (bug#15418).
16058 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
16060 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
16062 Fix problems found while writing a test suite.
16064 * net/tramp-compat.el (tramp-compat-load): New defun.
16065 * net/tramp.el (tramp-handle-load): Use it.
16067 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
16068 "(numberp ok-if-already-exists)" correctly.
16070 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
16072 * international/characters.el (glyphless-char-display-control):
16075 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
16077 * progmodes/python.el (python-mode):
16078 * progmodes/scheme.el (scheme-mode):
16079 * progmodes/prolog.el (prolog-mode):
16080 * progmodes/ruby-mode.el (ruby-mode):
16081 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
16082 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
16084 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
16086 * rect.el (rectangle--highlight-for-redisplay):
16087 * emacs-lisp/smie.el (smie--next-indent-change):
16088 Use buffer-chars-modified-tick.
16090 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
16092 * electric.el (electric-indent-post-self-insert-function):
16093 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
16095 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
16097 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
16099 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16101 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
16104 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
16106 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
16108 * progmodes/python.el: Fix up last change.
16109 (python-shell--save-temp-file): New function.
16110 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
16111 `string' comes from the current buffer.
16112 (python-shell-send-string-no-output): Remove `msg' arg.
16113 (python--use-fake-loc): New var.
16114 (python-shell-buffer-substring): Obey it. Try to compensate for the
16115 extra coding line added by python-shell--save-temp-file.
16116 (python-shell-send-region): Use python-shell--save-temp-file and
16117 python-shell-send-file directly. Add `nomain' argument.
16118 (python-shell-send-buffer): Use python-shell-send-region.
16119 (python-electric-pair-string-delimiter): New function.
16120 (python-mode): Use it.
16122 2013-11-04 Eli Zaretskii <eliz@gnu.org>
16124 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
16125 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
16126 environment and decoding all of the default-directory's to here
16128 (command-line): Decode also argv[0].
16130 * loadup.el: Error out if default-directory is a multibyte string
16131 when we are dumping.
16133 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
16135 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
16137 * emacs-lisp/package.el (package-menu-mode)
16138 (package-menu--print-info, package-menu--archive-predicate):
16139 Add Archive column to package list.
16141 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
16143 Fix problems found while writing a test suite.
16145 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
16146 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
16147 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
16148 to nil when running original file name handler. Otherwise,
16149 there are problems with constructs like "$$FOO".
16151 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
16154 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
16156 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
16158 * subr.el (version<, version<=, version=):
16159 Update docstrings with information for snapshot versions.
16161 * helpers.el: New library for misc helper functions.
16162 (hash-table-keys): New function returning a list of hash keys.
16163 (hash-table-values): New function returning a list of hash values.
16165 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
16167 * progmodes/ruby-mode.el (ruby-smie--forward-token)
16168 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
16170 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
16172 * textmodes/fill.el (fill-single-char-nobreak-p): New function
16173 checking whether point is after a 1-letter word.
16175 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16177 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
16178 Don't infloop when expanding region over `multiline' syntax-type that
16179 begins a line (bug#15778).
16181 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
16183 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
16184 Make it into a proper minor mode.
16185 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
16186 (rectangle-mark-mode-map): New keymap.
16187 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
16189 2013-11-04 Glenn Morris <rgm@gnu.org>
16191 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
16193 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
16195 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
16196 (ruby-smie-rules): Use smie-rule-parent instead.
16198 * emacs-lisp/smie.el (smie-rule-parent): Always call
16199 smie-indent-virtual rather than only for hanging tokens.
16200 (smie--next-indent-change): New helper command.
16202 2013-11-03 Glenn Morris <rgm@gnu.org>
16204 * Makefile.in (abs_srcdir): Remove.
16205 (emacs): Unset EMACSLOADPATH.
16207 2013-11-02 Glenn Morris <rgm@gnu.org>
16209 * Makefile.in (EMACS): Use a relative filename.
16210 (abs_top_builddir): Remove.
16211 (custom-deps, finder-data, autoloads): Use --chdir.
16213 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
16215 Use relative filenames in TAGS files.
16216 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
16217 (lisptagsfiles4, TAGS): Use relative file names.
16218 (TAGS-LISP): Remove.
16219 (maintainer-clean): No more TAGS-LISP file.
16221 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
16222 (lisptagsfiles4): Use absolute filenames again.
16223 (TAGS, TAGS-LISP): Not everything needs to run in one line.
16224 Remove all *loaddefs files, not just the first. Remove esh-groups.
16225 (maintainer-clean): Delete TAGS, TAGS-LISP.
16227 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
16229 * emacs-lisp/package.el (package-version-join):
16230 Recognize snapshot versions.
16232 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
16234 * subr.el (version-regexp-alist): Add support for snapshot versions.
16236 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
16238 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
16239 New function, replacement for `smie-rule-parent' for when we want to
16240 skip over our direct parent if it's an assignment token..
16241 (ruby-smie-rules): Use it.
16243 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
16245 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
16246 unconditionally. Remove now unnecessary forward declarations.
16247 Remove XEmacs-specific setup.
16248 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
16249 (ruby-font-lock-syntactic-keywords)
16250 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
16251 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
16252 (ruby-here-doc-end-syntax): Remove.
16253 (ruby-mode): Don't check whether `syntax-propertize-rules' is
16254 defined as function.
16256 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
16258 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
16260 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
16262 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
16263 table and abbrev table, `define-derived-mode' does that for us
16266 2013-11-01 Glenn Morris <rgm@gnu.org>
16268 * Makefile.in: Remove manual mh-e dependencies (writing .elc
16269 files is atomic for some time, so no parallel compilation issues).
16271 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
16273 * faces.el (face-x-resources): Add :distant-foreground.
16274 (region): Use :distant-foreground for gtk and ns.
16276 2013-11-01 Tassilo Horn <tsdh@gnu.org>
16278 Allow multiple bibliographies when BibLaTeX is used rather than
16280 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
16281 (reftex-locate-bibliography-files): Us it.
16283 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
16285 * image.el (image-type-header-regexps): Fix the 'pbm' part to
16286 allow comments in pbm files.
16288 * term/w32-win.el (dynamic-library-alist): Support newer versions
16289 of libjpeg starting with v7: look only for the DLL from the
16290 version against which Emacs was built.
16291 Support versions of libpng beyond 1.4.x.
16292 Support libtiff v4.x.
16294 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
16296 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
16297 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
16298 Add property :safe.
16299 (ruby-deep-arglist): Add property :type.
16301 2013-10-31 Glenn Morris <rgm@gnu.org>
16303 * Makefile.in (custom-deps, finder-data): No need to setq the target
16304 variables, we are in the right directory and the defaults work fine.
16306 2013-10-30 Glenn Morris <rgm@gnu.org>
16308 * Makefile.in (autoloads): Do not use abs_lisp.
16310 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
16311 `newline' does not respect `standard-output', so use `princ'.
16313 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
16315 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
16316 * buff-menu.el (Buffer-menu--unmark): New function.
16317 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
16319 2013-10-30 Glenn Morris <rgm@gnu.org>
16321 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
16323 * emacs-lisp/package.el (lm-homepage): Declare.
16325 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
16328 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
16330 * Makefile.in (finder-data, autoloads, update-subdirs)
16331 (compile-main, compile-clean, compile-always, bootstrap-clean):
16332 Check return value of cd.
16333 (compile-calc): Remove.
16335 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
16337 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
16339 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
16340 (byte-compile-and-folded): New function.
16341 (=, <, >, <=, >=): Use it.
16343 * dos-w32.el (minibuffer-history-case-insensitive-variables)
16344 (path-separator, null-device, buffer-file-coding-system)
16345 (lpr-headers-switches): Check system-type before modifying them.
16346 (find-buffer-file-type-coding-system): Mark obsolete.
16347 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
16348 find-file-not-found-set-buffer-file-coding-system.
16349 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
16350 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
16351 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
16352 (w32-direct-print-region-helper, w32-direct-print-region-function)
16353 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
16354 * startup.el (normal-top-level-add-subdirs-to-load-path):
16355 * ps-print.el (ps-print-region-function):
16356 * lpr.el (print-region-function): Use new name.
16358 * subr.el (custom-declare-variable-early): Remove function.
16359 (custom-declare-variable-list): Remove var.
16360 (error, user-error): Remove `while' loop.
16361 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
16362 (user-emacs-directory-warning, locate-user-emacs-file):
16364 * simple.el (read-quoted-char-radix, read-quoted-char):
16365 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
16367 * custom.el (custom-declare-variable-list): Don't process
16368 custom-declare-variable-list.
16370 * progmodes/python.el (python-shell-get-buffer): New function.
16371 (python-shell-get-process): Use it.
16372 (python-shell-send-string): Always use utf-8 and add a cookie to tell
16373 Python which encoding was used. Don't split-string since we only care
16374 about the first line. Return the temp-file, if applicable.
16375 (python-shell-send-region): Tell compile.el how to turn locations in
16376 the temp-file into locations in the source buffer.
16378 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
16380 * subr.el (undefined): Add missing behavior from the C code for
16383 * rect.el: Use lexical-binding. Add new rectangular region support.
16384 (rectangle-mark): New command.
16385 (rectangle--region): New var.
16386 (deactivate-mark-hook): Reset rectangle--region.
16387 (rectangle--extract-region, rectangle--insert-for-yank)
16388 (rectangle--highlight-for-redisplay)
16389 (rectangle--unhighlight-for-redisplay): New functions.
16390 (region-extract-function, redisplay-unhighlight-region-function)
16391 (redisplay-highlight-region-function): Use them to handle
16392 rectangular region.
16393 * simple.el (region-extract-function): New var.
16394 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
16395 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
16396 (kill-region): Replace obsolete `yank-handler' arg with `region'.
16397 (copy-region-as-kill, kill-ring-save): Add `region' argument.
16398 (redisplay-unhighlight-region-function)
16399 (redisplay-highlight-region-function): New vars.
16400 (redisplay--update-region-highlight): New function.
16401 (pre-redisplay-function): Use it.
16402 (exchange-point-and-mark): Don't deactivate the mark before
16403 reactivate-it anyway.
16404 * comint.el (comint-kill-region): Remove yank-handler argument.
16405 * delsel.el (delete-backward-char, backward-delete-char-untabify)
16406 (delete-char): Remove property, since it's now part of their
16408 (self-insert-iso): Remove property since this command doesn't exist.
16410 * emacs-lisp/package.el (package--download-one-archive)
16411 (describe-package-1): Don't query the user about final newline.
16413 2013-10-29 Daniel Colascione <dancol@dancol.org>
16415 * net/tramp.el (tramp-methods): Document new functionality.
16416 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
16417 tramp-hostname-checker if method provides one instead of scanning
16418 argument list for "%h" to decide hostname acceptability.
16420 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
16422 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
16423 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
16424 Handle COPY-CONTENTS. (Bug#15737)
16426 2013-10-28 Daiki Ueno <ueno@gnu.org>
16428 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
16429 Document that this option has no effect with GnuPG 2.0 (bug#15552).
16431 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
16433 * image.el (defimage, image-load-path): Doc fixes.
16435 2013-10-27 Alan Mackenzie <acm@muc.de>
16437 Indent statements in macros following "##" correctly.
16438 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
16439 Modify the "#" arm of a cond form to handle "#" and "##" operators.
16441 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16443 * linum.el (linum-update-window): Fix boundary test (bug#13446).
16445 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
16447 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
16448 after `=' is probably a new expression.
16450 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16452 * man.el (man-imenu-title): New option.
16453 (Man-mode-map): Add menu. (Bug#15722)
16454 (Man-mode): Add imenu to menu.
16456 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
16458 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
16459 specific in what the first arg can be: a non-keyword word,
16460 string/regexp/percent literal opener, opening paren, or unary
16461 operator followed directly by word.
16463 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
16465 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
16466 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
16467 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
16468 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
16469 Remove vars, they do not apply any more.
16470 (prolog-mode-abbrev-table): Remove redundant declaration.
16471 (prolog-upper-case-string, prolog-lower-case-string): Remove.
16472 (prolog-use-smie): Remove.
16473 (prolog-smie-rules): Add indentation rule for the if-then-else layout
16474 supported by prolog-electric-if-then-else-flag.
16475 (prolog-mode-variables, prolog-menu): Use setq-local.
16476 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
16477 Remove binding to `Backspace' since this key doesn't exist anyway.
16478 Remove bindings for electric self-inserting keys.
16479 (prog-mode): Assume it's defined.
16480 (prolog-post-self-insert): New function.
16481 (prolog-mode): Use it.
16482 (prolog-indent-line, prolog-indent-level)
16483 (prolog-find-indent-of-matching-paren)
16484 (prolog-indentation-level-of-line, prolog-goto-comment-column)
16485 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
16486 (prolog-goto-next-paren, prolog-in-string-or-comment)
16487 (prolog-tokenize, prolog-inside-mline-comment)
16488 (prolog-find-start-of-mline-comment): Remove functions.
16489 (prolog-find-unmatched-paren, prolog-clause-end)
16490 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
16491 (prolog-electric--if-then-else): Rename from
16492 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
16493 (prolog-tokenize-searchkey): Remove const.
16494 (prolog-clause-info): Use forward-sexp.
16495 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
16496 (prolog-electric-if-then-else): Remove commands.
16497 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
16498 for use in post-self-insert-hook.
16499 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
16500 for use in post-self-insert-hook.
16501 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
16502 for use in post-self-insert-hook.
16503 (prolog-electric--underscore): Rename from prolog-electric--underscore;
16504 adapt it for use in post-self-insert-hook.
16506 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
16508 * emacs-lisp/ert.el (ert-run-tests-interactively):
16509 Use `completing-read'. (Bug#9756)
16511 2013-10-25 Eli Zaretskii <eliz@gnu.org>
16513 * simple.el (line-move): Call line-move-1 instead of
16514 line-move-visual when the current window hscroll is zero, but
16515 temporary-goal-column indicates we will need to hscroll as result
16516 of the movement. (Bug#15712)
16518 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
16520 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
16521 capitalization. Use :visible instead of :active.
16522 Fix `ruby-indent-exp' reference. Add menu items for the generic
16523 commands that are used with SMIE.
16524 (ruby-do-end-to-brace): Insert space after `{'.
16526 2013-10-25 John Anthony <john@jo.hnanthony.com>
16528 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
16530 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
16532 2013-10-25 Glenn Morris <rgm@gnu.org>
16534 * vc/vc.el (vc-print-log): Don't use a working revision unless
16535 one was explicitly specified. (Bug#15322)
16537 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
16539 * subr.el (add-to-list): Preserve return value in compiler-macro
16542 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16544 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
16545 result. Ask user to retry using '-all' flag. (Bug#15701)
16547 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
16549 * emacs-lisp/smie.el: New smie-config system.
16550 (smie-config): New defcustom.
16551 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
16552 (smie-config-guess, smie-config-save): New commands.
16553 (smie-config--mode-local, smie-config--buffer-local)
16554 (smie-config--trace, smie-config--modefuns): New vars.
16555 (smie-config--advice, smie-config--mode-hook)
16556 (smie-config--setter, smie-config-local, smie-config--get-trace)
16557 (smie-config--guess-value, smie-config--guess): New functions.
16558 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
16559 text properties. Treat "string fence" syntax like string syntax.
16561 * progmodes/sh-script.el (sh-use-smie): Change default.
16562 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
16563 (sh-var-value): Simplify by CSE.
16564 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
16565 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
16567 (sh-guess-basic-offset): Use cl-incf.
16568 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
16570 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
16572 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
16575 2013-10-24 Glenn Morris <rgm@gnu.org>
16577 * Makefile.in (abs_top_srcdir): Remove.
16578 (update-subdirs): Use relative path to update-subdirs.
16580 2013-10-24 Eli Zaretskii <eliz@gnu.org>
16582 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
16583 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
16584 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
16585 Call unmsys--file-name before expand-file-name, not after it.
16587 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
16589 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
16590 (ert-test-skipped): New error.
16591 (ert-skip, ert-stats-skipped): New defuns.
16592 (ert--skip-unless): New macro.
16593 (ert-test-skipped): New struct.
16594 (ert--run-test-debugger, ert-test-result-type-p)
16595 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
16596 (ert--stats-set-test-and-result, ert-char-for-test-result)
16597 (ert-string-for-test-result, ert-run-tests-batch)
16598 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
16599 Handle skipped tests. (Bug#9803)
16601 2013-10-24 Glenn Morris <rgm@gnu.org>
16603 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
16605 * Makefile.in (abs_top_srcdir): New, set by configure.
16606 (update-subdirs): Correct build-aux location.
16608 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
16610 * vc/vc.el (vc-print-root-log): Always set `default-directory'
16611 value, whether we could auto-deduce `backend', or not.
16613 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
16614 with parameters" example. Simplify the "is it block or is it
16615 hash" check, but also make it more thorough.
16617 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
16619 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
16621 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
16623 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
16624 { if it is hanging.
16626 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
16629 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
16631 * progmodes/compile.el (compilation-directory-matcher)
16632 (compilation-page-delimiter):
16633 Support GNU Make-4.0 directory quoting. (Bug#15678)
16635 2013-10-23 Leo Liu <sdl.web@gmail.com>
16637 * ido.el (ido-tidy): Handle read-only text.
16639 2013-10-23 Glenn Morris <rgm@gnu.org>
16641 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
16642 (emacs, compile, compile-always):
16643 Quote entities that might contain whitespace.
16644 (custom-deps, finder-data, autoloads): Use abs_lisp.
16645 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
16646 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
16647 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
16649 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
16651 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
16652 Use `following-char'.
16654 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
16656 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
16657 * progmodes/ruby-mode.el (ruby-smie-rules):
16658 Remove corresponding workaround. Fix indentation rule of ";" so it
16659 also applies when ";" is the parent.
16661 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
16663 * frame.el (display-screens, display-pixel-height)
16664 (display-pixel-width, display-mm-width, display-backing-store)
16665 (display-save-under, display-planes, display-color-cells)
16666 (display-visual-class, display-monitor-attributes-list):
16667 Mention the optional ‘display’ argument in doc strings.
16669 2013-10-22 Michael Gauland <mikelygee@amuri.net>
16671 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
16672 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
16674 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
16676 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
16677 TODO. Add "." after " @ ".
16678 (ruby-smie--at-dot-call): New function. Checks if point at method
16679 call with explicit target.
16680 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
16681 to the method name tokens when it precedes them.
16682 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
16683 (ruby-smie-rules): Add rule for indentation before and after "."
16686 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
16688 * textmodes/remember.el (remember-diary-extract-entries):
16691 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
16694 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
16696 * progmodes/ruby-mode.el (ruby-smie-grammar):
16697 Add (almost) all infix operators.
16698 (ruby-smie--implicit-semi-p): Add new operator chars.
16700 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
16702 (ruby-smie--args-separator-p): Check that there's no newline
16703 between method call and its arguments.
16705 2013-10-20 Alan Mackenzie <acm@muc.de>
16707 Allow comma separated lists after Java "implements".
16709 * progmodes/cc-engine.el (c-backward-over-enum-header):
16711 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
16712 from a "disallowed" list in enum fontification.
16714 2013-10-20 Johan Bockgård <bojohan@gnu.org>
16716 * startup.el (default-frame-background-mode): Remove unused defvar.
16718 * progmodes/verilog-mode.el (verilog-mode): Don't set
16719 comment-indent-function globally.
16721 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
16723 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
16724 Move Info menu item creation to ns-win.el.
16726 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
16729 * menu-bar.el: Move GNUstep specific menus...
16731 * term/ns-win.el (ns-initialize-window-system): ... to here.
16733 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
16735 * simple.el (newline): Only run post-self-insert-hook when
16736 called interactively.
16738 2013-10-19 Johan Bockgård <bojohan@gnu.org>
16740 * icomplete.el (icomplete-with-completion-tables): Add :version.
16742 2013-10-19 Alan Mackenzie <acm@muc.de>
16744 Fix fontification bugs with constructors and const.
16746 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
16747 CASE 2) Remove the check for the absence of a suffix construct
16748 after a function declaration with only types (no identifiers) in
16749 the parentheses. Also, accept a function declaration with just a
16750 type inside the parentheses, if this type can be positively
16751 recognised as such, or if a prefix keyword like "explicit" nails
16752 down the construct as a declaration.
16754 2013-10-19 Eli Zaretskii <eliz@gnu.org>
16756 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
16757 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
16758 the problem whereby selecting a menu item that leads to a
16759 minibuffer prompt moves the cursor out of the minibuffer window,
16760 making it hard to type at the prompt. Suggested by Stefan Monnier
16761 <monnier@iro.umontreal.ca>.
16763 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
16765 * menu-bar.el: Don't make Services menu.
16767 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16769 * ffap.el: Handle "/usr/include/c++/<version>" directories.
16770 (ffap-alist): Use ffap-c++-mode for c++-mode.
16771 (ffap-c++-path): New variable.
16772 (ffap-c++-mode): New function.
16774 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
16776 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
16778 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
16780 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
16781 introduced on 2013-09-08, which results in an infinite loop
16782 requesting a password.
16784 2013-10-18 Glenn Morris <rgm@gnu.org>
16786 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
16788 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
16790 Sync with upstream verilog-mode revision 1a6ecec7.
16791 * progmodes/verilog-mode.el (verilog-mode-version): Update.
16792 (verilog-mode-release-date): Remove.
16793 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
16794 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
16795 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
16796 (verilog-auto-tieoff-ignore-regexp)
16797 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
16798 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
16799 (verilog-signals-with, verilog-dir-cache-preserving)
16800 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
16802 (verilog-case-fold): New option, to control case folding in
16803 regexp searches, bug597.
16804 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
16805 (verilog-string-match-fold, verilog-in-paren-count)
16806 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
16807 (verilog-at-close-struct-p): New functions.
16808 (verilog-beg-block-re-ordered, verilog-extended-case-re)
16809 (verilog-forward-sexp, verilog-set-auto-endcomments)
16810 (verilog-leap-to-case-head): Handle "unique0" case.
16811 (verilog-in-constraint-re): New constant.
16812 (verilog-keywords, verilog-type-font-keywords):
16813 Add some SystemVerilog 1800-2012 keywords.
16814 (verilog-label-be): Remove unimplemented argument, bug669.
16815 (verilog-batch-execute-func): When batch expanding clear
16816 create-lockfiles to prevent spurious user locks when a file ends
16818 (verilog-calculate-indent, verilog-calc-1)
16819 (verilog-at-close-constraint-p, verilog-at-constraint-p)
16820 (verilog-do-indent): Fix indentation of nested constraints
16822 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
16823 (verilog-auto-inst-param): Use verilog-string-match-fold.
16824 (verilog-read-inst-module-matcher):
16825 Fix AUTOINST on gate primitives with #1.
16826 (verilog-read-decls): Fix double-declaring user-defined typed signals.
16827 Reads all user-defined typed variables.
16828 (verilog-read-defines): Fix reading definitions inside comments, bug647.
16829 (verilog-signals-matching-regexp)
16830 (verilog-signals-not-matching-regexp, verilog-auto):
16831 Respect verilog-case-fold.
16832 (verilog-diff-report): Fix line count.
16833 (verilog-auto-assign-modport): Remove unused local `modi'.
16834 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
16835 better handle multidimensional arrays.
16836 Fix packed array ports misadding bit index in AUTOINST, bug637.
16837 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
16838 to not double-declare existing outputs and inputs, respectively.
16839 (verilog-template-map): Bind U to verilog-sk-uvm-component.
16840 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
16841 (verilog-sk-uvm-component): New skeleton.
16842 (verilog-submit-bug-report): Add verilog-case-fold,
16843 remove verilog-mode-release-date.
16845 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
16847 * subr.el (sit-for): Call (input-pending-p t) so as to behave
16850 2013-10-18 Reuben Thomas <rrt@sc3d.org>
16852 * textmodes/remember.el (remember): Set buffer-offer-save in
16853 remember buffers (bug#13566).
16855 2013-10-18 Daniel Colascione <dancol@dancol.org>
16857 When evaluating forms in ielm, direct standard output to ielm
16858 buffer. Add new ielm-return-for-effect command. Remove trailing
16859 whitespace throughout.
16861 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
16862 (ielm-return-for-effect): New command.
16863 (ielm-send-input): Accept optional `for-effect' parameter.
16864 (ielm-eval-input): Accept optional `for-effect' parameter.
16865 Bind `standard-output' to stream we create using
16866 `ielm-standard-output-impl'. Suppress printing result when
16868 (ielm-standard-output-impl): New function.
16869 (inferior-emacs-lisp-mode): Explain new features in documentation.
16871 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
16875 * net/tramp.el (tramp-debug-message): Do not check for connection
16877 (tramp-message): Use "vector" connection property.
16879 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
16880 (tramp-equal-remote, tramp-eshell-directory-change)
16881 * net/tramp-adb.el (tramp-adb-handle-copy-file)
16882 (tramp-adb-handle-rename-file)
16883 * net/tramp-cmds.el (tramp-list-remote-buffers)
16884 (tramp-cleanup-connection, tramp-cleanup-this-connection)
16885 * net/tramp-compat.el (tramp-compat-process-running-p)
16886 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
16887 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
16888 (tramp-gvfs-handle-rename-file)
16889 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
16890 (tramp-set-file-uid-gid)
16891 * net/tramp-smb.el (tramp-smb-handle-copy-file)
16892 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
16893 of `file-remote-p'.
16895 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
16896 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
16897 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
16898 (tramp-gw-open-network-stream): Suppress unrelated traces.
16900 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
16901 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
16902 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
16903 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
16904 connection property.
16906 * net/tramp-cache.el (top): Suppress traces when reading
16909 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
16910 Refactor common code. Improve debug message.
16911 (tramp-maybe-open-connection)
16912 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
16913 connection buffer too early.
16915 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
16916 from `tramp-smb-actions-with-acl'.
16917 (tramp-smb-actions-set-acl): New defconst.
16918 (tramp-smb-handle-copy-directory)
16919 (tramp-smb-action-get-acl): New defun, renamed from
16920 `tramp-smb-action-with-acl'.
16921 (tramp-smb-action-set-acl): New defun.
16922 (tramp-smb-handle-set-file-acl): Rewrite.
16924 2013-10-17 Glenn Morris <rgm@gnu.org>
16926 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
16928 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
16930 * skeleton.el (skeleton-newline): Remove.
16931 (skeleton-internal-1): Use (insert "\n") instead.
16933 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
16936 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
16937 forward-sexp-function while we redo its job (bug#15613).
16939 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
16941 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
16942 represented by lists.
16944 2013-10-16 Glenn Morris <rgm@gnu.org>
16946 * tmm.el (tmm--history): New dynamic variable.
16947 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
16949 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
16951 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
16952 (tramp-smb-errors): Add error messages.
16953 (tramp-smb-actions-with-acl): New defconst.
16954 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
16955 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
16956 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
16957 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
16958 (tramp-smb-get-stat-capability): Fix tests.
16960 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
16962 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
16965 2013-10-16 Glenn Morris <rgm@gnu.org>
16967 * ansi-color.el (ansi-color-drop-regexp):
16968 Add 1J, 1K, 2K. (Bug#15617)
16970 * files.el (hack-local-variables--warned-lexical): New.
16971 (hack-local-variables):
16972 Warn about misplaced lexical-binding. (Bug#15616)
16974 * net/eww.el (eww-render): Always set eww-current-url,
16975 and update header line. (Bug#15622)
16976 (eww-display-html): ... Rather than just doing it here.
16978 2013-10-15 Eli Zaretskii <eliz@gnu.org>
16980 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
16981 menu navigations commands.
16983 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
16985 * progmodes/subword.el (subword-capitalize): Be careful when
16986 the search for [[:alpha:]] fails (bug#15580).
16988 2013-10-14 Eli Zaretskii <eliz@gnu.org>
16990 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
16991 to commands that scroll the menu.
16993 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
16995 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
16996 Handle methods ending with `?' and `!'.
16998 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
17000 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
17001 `japanese-cp932' to `cp932' to fix the problem where saving a
17002 source file written in Shift_JIS twice would end up having
17003 `coding: japanese-cp932' which Ruby could not recognize.
17004 (ruby-mode-set-encoding): Add support for encodings mapped to nil
17005 in `ruby-encoding-map'.
17006 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
17007 doesn't need to be explicitly declared in magic comment.
17008 (ruby-encoding-map): Add type declaration for better customize UI.
17010 2013-10-13 Glenn Morris <rgm@gnu.org>
17012 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
17013 Occur buffers are read-only. http://bugs.debian.org/720775
17015 * emacs-lisp/authors.el (authors-fixed-entries):
17016 Comment out old alpha stuff.
17018 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
17020 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
17021 to `after-save-hook' instead of `before-save-hook'.
17022 (ruby-mode-set-encoding): Use the value of coding system used to
17023 write the file. Call `basic-save-buffer-1' after modifying the
17026 2013-10-13 Alan Mackenzie <acm@muc.de>
17028 Fix indentation/fontification of Java enum with
17029 "implements"/generic.
17031 * progmodes/cc-engine.el (c-backward-over-enum-header):
17032 Extracted from the three other places and enhanced to handle generics.
17033 (c-inside-bracelist-p): Uses new function above.
17034 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
17036 (c-font-lock-enum-tail): Uses new function above.
17038 2013-10-13 Kenichi Handa <handa@gnu.org>
17040 * international/mule-cmds.el (select-safe-coding-system): Remove a
17041 superfluous condition in chekcing whether a coding system is safe
17044 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
17046 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
17048 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
17050 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
17052 2013-10-13 Glenn Morris <rgm@gnu.org>
17054 * menu-bar.el (menu-bar-update-buffers):
17055 Unify Buffers menu prompt string. (Bug#15576)
17057 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
17059 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
17061 (authors-fixed-entries): Use accented form of name.
17063 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
17065 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
17066 method calls (bug#15594).
17067 (ruby-smie--args-separator-p): New function.
17068 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
17069 recognize paren-free method calls.
17071 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
17072 internals of universal-argument.
17074 2013-10-11 Eli Zaretskii <eliz@gnu.org>
17076 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
17077 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
17078 dropped menu on second mouse click on the menu bar.
17080 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
17082 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
17083 (explicit-shell-file-name): Declare.
17084 (sh--vars-before-point, sh--cmd-completion-table): New functions.
17085 (sh-completion-at-point-function): New function.
17087 (sh-smie--keyword-p): Remove unused argument.
17088 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
17090 (sh-set-shell): Always setup SMIE, even if we use the
17091 old indentation code.
17093 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
17095 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
17097 (ruby-smie-rules): Simplify the "do" rule. The cases when the
17098 predicate would return nil are almost non-existent.
17099 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
17101 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
17102 cache also after commands that modify the buffer but don't move
17105 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
17107 * env.el (substitute-env-in-file-name): New function.
17108 (substitute-env-vars): Extend the meaning of the optional arg.
17110 2013-10-10 Eli Zaretskii <eliz@gnu.org>
17112 * term/w32-win.el (dynamic-library-alist): Define separate lists
17113 of GIF DLLs for versions before and after 5.0.0 of giflib.
17116 2013-10-10 João Távora <joaotavora@gmail.com>
17118 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
17119 not locked, use last revision and current source as
17120 defaults. (Bug#15569)
17122 2013-10-10 Masatake YAMATO <yamato@redhat.com>
17124 * menu-bar.el (menu-bar-open): Don't use popup-menu if
17125 menu-bar is hidden.
17127 2013-10-10 Martin Rudalics <rudalics@gmx.at>
17129 * window.el (pop-to-buffer-same-window): Fix doc-string.
17132 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
17134 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
17136 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
17138 * calendar/icalendar.el (icalendar-import-file):
17139 Fix interactive spec. (Bug#15482)
17141 2013-10-10 Glenn Morris <rgm@gnu.org>
17143 * desktop.el (desktop-save): Default to saving in .emacs.d,
17144 since PWD is no longer in desktop-path by default. (Bug#15319)
17146 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
17147 now that text mode has a menu with the same entry.
17148 (menu-bar-text-mode-auto-fill): Remove now unused func.
17149 * textmodes/text-mode.el (text-mode-map):
17150 Use auto-fill help text from menu-bar.el.
17152 2013-10-10 John Anthony <john@jo.hnanthony.com>
17154 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
17156 2013-10-09 Juri Linkov <juri@jurta.org>
17158 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
17159 instead of this-command-keys. Add universal-argument-more and
17160 universal-argument-minus to the list of prefix commands. (Bug#15568)
17162 2013-10-09 Glenn Morris <rgm@gnu.org>
17164 * vc/vc-svn.el (vc-svn-create-repo):
17165 Expand paths in file://... url. (Bug#15446)
17167 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
17169 (authors): Remove unused local variables.
17171 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
17173 * profiler.el: Create a more coherent calltree from partial backtraces.
17174 (profiler-format): Hide the tail with `invisible' so that C-s can still
17175 find the hidden elements.
17176 (profiler-calltree-depth): Don't recurse so enthusiastically.
17177 (profiler-function-equal): New hash-table-test.
17178 (profiler-calltree-build-unified): New function.
17179 (profiler-calltree-build): Use it.
17180 (profiler-report-make-name-part): Indent the calltree less.
17181 (profiler-report-mode): Add visibility specs for profiler-format.
17182 (profiler-report-expand-entry, profiler-report-toggle-entry):
17183 Expand the whole subtree when provided with a prefix arg.
17185 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
17187 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
17189 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
17190 hanging iuwu-mod token.
17191 (ruby-smie--forward-token): Do not include a dot after a token in
17193 (ruby-smie--backward-token): Likewise.
17195 2013-10-08 Juri Linkov <juri@jurta.org>
17197 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
17198 to isearch-other-control-char.
17199 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
17200 and isearch-post-command-hook to post-command-hook.
17201 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
17202 and isearch-post-command-hook from post-command-hook.
17203 (isearch-unread-key-sequence)
17204 (isearch-reread-key-sequence-naturally)
17205 (isearch-lookup-scroll-key, isearch-other-control-char)
17206 (isearch-other-meta-char): Remove functions.
17207 (isearch-pre-command-hook, isearch-post-command-hook):
17208 New functions based on isearch-other-meta-char rewritten
17209 relying on the new behavior of overriding-terminal-local-map
17210 that does not replace the local keymaps any more. (Bug#15200)
17212 2013-10-08 Eli Zaretskii <eliz@gnu.org>
17214 Support menus on text-mode terminals.
17215 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
17218 * tooltip.el (tooltip-mode): Don't error out on TTYs.
17220 * menu-bar.el (popup-menu, popup-menu-normalize-position):
17221 Move here from mouse.el.
17222 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
17224 (tty-menu-navigation-map): New map for TTY menu navigation.
17226 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
17228 * frame.el (display-mouse-p): Report text-mode mouse as available
17230 (display-popup-menus-p): Report availability if mouse is
17231 available; don't condition on window-system.
17233 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
17234 (tty-menu-selected-face): New faces.
17236 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
17238 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
17239 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
17240 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
17241 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
17243 (lisp-mode-variables): New `elisp' argument.
17244 (emacs-lisp-mode): Use it.
17245 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
17246 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
17248 * indent.el: Use lexical-binding.
17249 (indent-region): Add progress reporter.
17250 (tab-stop-list): Make it implicitly extend to infinity by repeating the
17252 (indent--next-tab-stop): New function to implement this behavior.
17253 (tab-to-tab-stop, move-to-tab-stop): Use it.
17255 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
17257 * indent.el (indent-rigidly--current-indentation): New function.
17258 (indent-rigidly-map): New var.
17259 (indent-rigidly): Use it to provide interactive mode (bug#8196).
17261 2013-10-08 Bastien Guerry <bzg@gnu.org>
17263 * register.el (insert-register): Fix 2013-10-07 change.
17265 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
17267 * progmodes/perl-mode.el: Use lexical-binding.
17268 Remove redundant :group args.
17269 (perl-nochange): Change default to be closer to other major modes's
17271 (perl-indent-line): Don't consider text on current line as a
17272 valid beginning of function from which to indent.
17274 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
17275 with more than one argument (bug#15538).
17277 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
17279 * vc/pcvs.el: Use lexical-binding.
17280 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
17281 environment of `eval'.
17282 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
17283 than a list of expressions. Adjust callers.
17284 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
17286 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
17288 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
17289 case of the dot in a chained method call being on the following line.
17291 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
17293 * electric.el (electric-indent-inhibit): New var.
17294 (electric-indent-post-self-insert-function): Use it.
17295 * progmodes/python.el (python-mode): Set it.
17297 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
17300 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
17302 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
17303 (css-mode): Use electric-indent-chars.
17305 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
17306 (font-lock-beg, font-lock-end): Move before first use.
17307 (nxml-mode): Use syntax-propertize-function.
17308 (nxml-after-change, nxml-after-change1): Adjust accordingly.
17309 (nxml-extend-after-change-region): Remove.
17310 * nxml/xmltok.el: Use lexical-binding.
17311 (xmltok-save): Use `declare'.
17312 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
17313 * nxml/nxml-util.el: Use lexical-binding.
17314 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
17316 * nxml/nxml-ns.el: Use lexical-binding.
17317 (nxml-ns-save): Use `declare'.
17318 (nxml-ns-prefixes-for): Avoid add-to-list.
17319 * nxml/rng-match.el: Use lexical-binding.
17320 (rng--ipattern): Use cl-defstruct.
17321 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
17322 (rng-cons-group-after, rng-subst-group-after)
17323 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
17324 Use closures instead of `(lambda...).
17326 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
17328 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
17331 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17332 Use `tramp-handle-insert-file-contents'.
17333 (tramp-gvfs-handle-insert-file-contents): Remove function.
17335 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
17336 Use `save-restriction' in order to keep markers.
17338 * net/trampver.el: Update release number.
17340 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
17342 * progmodes/compile.el (compilation-parse-errors):
17343 Use compilation--put-prop.
17344 (compilation--ensure-parse): Check compilation-multiline.
17346 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
17348 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
17351 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
17353 * progmodes/ruby-mode.el: Fix recently added tests.
17354 (ruby-smie-grammar): Add - and +.
17355 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
17356 (ruby-smie--backward-id): New functions.
17357 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
17358 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
17361 2013-10-07 Leo Liu <sdl.web@gmail.com>
17363 * register.el (register-preview-delay)
17364 (register-preview-functions): New variables.
17365 (register-read-with-preview, register-preview)
17366 (register-describe-oneline): New functions.
17367 (point-to-register, window-configuration-to-register)
17368 (frame-configuration-to-register, jump-to-register)
17369 (number-to-register, view-register, insert-register)
17370 (copy-to-register, append-to-register, prepend-to-register)
17371 (copy-rectangle-to-register): Use register-read-with-preview to
17372 read register. (Bug#15525)
17374 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
17376 * net/network-stream.el (network-stream-open-starttls): Don't add
17377 --insecure if it's already present, because that gnutls-cli
17378 rejects getting that parameter twice.
17380 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
17382 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
17385 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
17387 * newcomment.el (comment-use-global-state): Change default value
17388 to t, mark obsolete (Bug#15251).
17389 (comment-beginning): In addition to `comment-to-syntax', check the
17390 value of `comment-use-global-state'.
17392 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
17394 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
17395 (ruby-comment-column): Follow the global default, by default.
17396 (ruby-smie-grammar): Add assignment syntax.
17397 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
17398 open-paren, a comma, or a \.
17399 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
17400 and line continuations.
17401 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
17402 followed by implicit semi-colons. Add rule for string concatenation
17403 and for indentation at BOB.
17404 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
17406 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
17407 calling next-sexp, since next-token may have skipped chars which
17408 next-sexp doesn't know should be skipped!
17410 2013-10-05 Leo Liu <sdl.web@gmail.com>
17412 * progmodes/octave.el (octave-send-region):
17413 Call compilation-forget-errors.
17415 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
17417 * vc/vc-svn.el (vc-svn-find-admin-dir):
17418 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
17419 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
17420 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
17421 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
17423 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
17425 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
17427 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
17429 * subr.el (read-passwd): Hide chars even when called within a context
17430 where after-change-functions is disabled (bug#15501).
17431 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
17432 until we removed ourself from overriding-terminal-local-map.
17434 2013-10-04 Leo Liu <sdl.web@gmail.com>
17436 * progmodes/octave.el (inferior-octave-mode):
17437 Call compilation-forget-errors.
17439 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
17441 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
17443 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
17445 * net/secrets.el (secrets-create-collection): Add optional
17446 argument ALIAS. Use proper Label keyword. Append ALIAS as
17447 dbus-call-method argument. (Bug#15516)
17449 2013-10-04 Leo Liu <sdl.web@gmail.com>
17451 * progmodes/octave.el (inferior-octave-error-regexp-alist)
17452 (inferior-octave-compilation-font-lock-keywords): New variables.
17453 (compilation-error-regexp-alist)
17454 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
17455 (inferior-octave-mode): Use compilation-shell-minor-mode.
17457 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
17459 * minibuffer.el (completion--replace): Be careful that `end' might be
17462 2013-10-03 Daiki Ueno <ueno@gnu.org>
17464 Add support for package signature checking.
17465 * emacs-lisp/package.el (url-http-file-exists-p)
17466 (epg-make-context, epg-context-set-home-directory)
17467 (epg-verify-string, epg-context-result-for)
17468 (epg-signature-status, epg-signature-to-string)
17469 (epg-check-configuration, epg-configuration)
17470 (epg-import-keys-from-file): Declare.
17471 (package-check-signature): New user option.
17472 (package-unsigned-archives): New user option.
17473 (package-desc): Add `signed' field.
17474 (package-load-descriptor): Set `signed' field if .signed file exists.
17475 (package--archive-file-exists-p): New function.
17476 (package--check-signature): New function.
17477 (package-install-from-archive): Check package signature.
17478 (package--download-one-archive): Check archive signature.
17479 (package-delete): Remove .signed file.
17480 (package-import-keyring): New command.
17481 (package-refresh-contents): Import default keyring.
17482 (package-desc-status): Add "unsigned" status.
17483 (describe-package-1, package-menu--print-info)
17484 (package-menu-mark-delete, package-menu--find-upgrades)
17485 (package-menu--status-predicate): Support "unsigned" status.
17487 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
17489 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
17490 the new compilation scheme using the new byte-codes.
17492 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
17493 (byte-pophandler): New byte codes.
17494 (byte-goto-ops): Adjust accordingly.
17495 (byte-compile--use-old-handlers): New var.
17496 (byte-compile-catch): Use new byte codes depending on
17497 byte-compile--use-old-handlers.
17498 (byte-compile-condition-case--old): Rename from
17499 byte-compile-condition-case.
17500 (byte-compile-condition-case--new): New function.
17501 (byte-compile-condition-case): New function that dispatches depending
17502 on byte-compile--use-old-handlers.
17503 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
17506 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
17507 Optimize under `condition-case' and `catch' if
17508 byte-compile--use-old-handlers is nil.
17509 (disassemble-offset): Handle new bytecodes.
17511 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
17513 * subr.el (error): Use `declare'.
17514 (decode-char, encode-char): Use advertised-calling-convention instead
17515 of the docstring to discourage use of the `restriction' arg.
17517 2013-10-03 Daiki Ueno <ueno@gnu.org>
17519 * epg.el (epg-verify-file): Add a comment saying that it does not
17520 notify verification error as a return value nor a signal.
17521 (epg-verify-string): Ditto.
17523 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
17525 * progmodes/compile.el (compilation-start): Try globbing the arg to
17528 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
17530 Sync with Tramp 2.2.8.
17532 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
17533 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
17534 * net/trampver.el: Update release number.
17536 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
17538 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
17539 and default-process-coding-system for darwin only.
17541 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
17543 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
17545 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
17547 * vc/vc-git.el (vc-git-grep): Disable pager.
17549 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
17551 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
17552 Use :url instead of :homepage, as per
17553 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
17555 * newcomment.el (comment-beginning): When `comment-use-syntax' is
17556 non-nil, use `syntax-ppss' (Bug#15251).
17558 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17560 * progmodes/octave.el (inferior-octave-startup-file):
17561 Prefer ~/.emacs.d/init_octave.m.
17563 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
17565 * emacs-lisp/package.el (package-desc-from-define):
17566 Accept additional arguments as plist, convert them to an alist and store
17567 them in the `extras' slot.
17568 (package-generate-description-file): Convert extras alist back to
17569 plist and append to the `define-package' form arguments.
17570 (package--alist-to-plist): New function.
17571 (package--ac-desc): Add `extras' slot.
17572 (package--add-to-archive-contents): Check if the archive-contents
17573 vector is long enough, and if it is, pass its `extras' slot value
17574 to `package-desc-create'.
17575 (package-buffer-info): Call `lm-homepage', pass the returned value
17576 to `package-desc-from-define'.
17577 (describe-package-1): Render the homepage button (Bug#13291).
17579 * emacs-lisp/package-x.el (package-upload-buffer-internal):
17580 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
17582 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
17584 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
17585 and default-process-coding-system to utf-8-unix (Bug#15402).
17587 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
17589 * subr.el (looking-back): Do not recommend using looking-back.
17591 2013-09-28 Alan Mackenzie <acm@muc.de>
17593 Fix indentation/fontification of Java enum with "implements".
17595 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
17596 regexp which matches "implements", etc., in Java.
17597 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
17598 specifier clauses coming after "enum".
17599 * progmodes/cc-fonts.el (c-font-lock-declarations)
17600 (c-font-lock-enum-tail): Check for extra specifier clauses coming
17603 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
17605 * faces.el (region): Change ns_selection_color to
17606 ns_selection_fg_color, add ns_selection_bg_color.
17608 2013-09-28 Leo Liu <sdl.web@gmail.com>
17610 * progmodes/octave.el (inferior-octave-completion-table)
17611 (inferior-octave-completion-at-point): Minor tweaks.
17613 * textmodes/ispell.el (ispell-lookup-words): Rename from
17614 lookup-words. (Bug#15460)
17615 (lookup-words): Obsolete.
17616 (ispell-complete-word, ispell-command-loop): All uses changed.
17618 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17620 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
17621 (octave-mode-menu): Add octave-send-buffer.
17622 (octave-send-buffer): New function.
17624 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17626 * progmodes/octave.el (octave-mode-map): Add key binding for
17628 (octave-mode-menu): Add octave-lookfor.
17629 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
17631 (octave-lookfor): New function.
17633 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
17635 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
17636 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
17638 (cl--loop-set-iterator-function): New function.
17639 (cl-loop): Adjust accordingly, so as not to use cl-subst.
17640 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
17641 Bind `it' with `let' instead of substituting it with `cl-subst'.
17642 (cl--unused-var-p): New function.
17643 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
17644 Eliminate some unused variable warnings (bug#15326).
17646 2013-09-27 Tassilo Horn <tsdh@gnu.org>
17648 * doc-view.el (doc-view-scale-reset): Rename from
17649 `doc-view-reset-zoom-level'.
17650 (doc-view-scale-adjust): New command.
17651 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
17652 `doc-view-scale-adjust'.
17654 2013-09-26 Tassilo Horn <tsdh@gnu.org>
17656 * doc-view.el (doc-view-reset-zoom-level): New command.
17657 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
17658 zoom commands (bug#15466).
17660 2013-09-26 Kenichi Handa <handa@gnu.org>
17662 * international/quail.el (quail-help): Make it not a command.
17664 2013-09-26 Leo Liu <sdl.web@gmail.com>
17666 * minibuffer.el (completion-all-sorted-completions): Make args
17667 optional as they are.
17669 2013-09-25 Daniel Colascione <dancol@dancol.org>
17671 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
17672 specs are and that they're not evaluated.
17674 2013-09-24 Sam Steingold <sds@gnu.org>
17676 * midnight.el (clean-buffer-list-kill-regexps)
17677 (clean-buffer-list-kill-buffer-names): Update for the new Man
17678 buffer naming which includes the object name.
17680 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
17682 * eshell/esh-cmd.el (eshell--sep-terms): New var.
17683 (eshell-parse-command, eshell-parse-pipeline): Use it since
17684 eshell-separate-commands requires a dynamic scoped var.
17685 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
17687 2013-09-23 Leo Liu <sdl.web@gmail.com>
17689 * autoinsert.el (auto-insert-alist): Make the value of
17690 lexical-binding match its file setting.
17692 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
17694 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
17696 * autoarg.el (autoarg-kp-digit-argument):
17697 * electric.el (Electric-command-loop):
17698 * kmacro.el (kmacro-step-edit-insert):
17699 Do not set universal-argument-num-events.
17701 2013-09-22 Leo Liu <sdl.web@gmail.com>
17703 * files.el (interpreter-mode-alist): Add octave.
17705 2013-09-21 Alan Mackenzie <acm@muc.de>
17707 C++: fontify identifier in declaration following "public:" correctly.
17708 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
17709 to match "public", etc.
17710 (c-decl-prefix-re): Add ":" into the C++ value.
17711 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
17712 bit. Add a check for a ":" preceded by "public", etc.
17714 2013-09-21 Eli Zaretskii <eliz@gnu.org>
17716 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
17717 recognized by GDB 7.5 and later.
17719 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
17721 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
17723 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
17725 * subr.el (internal--call-interactively): New const.
17726 (called-interactively-p): Use it (bug#3984).
17728 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
17730 * vc/pcvs.el (cvs-mode-ignore):
17731 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
17732 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
17734 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
17736 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
17737 (eshell-ls-orig-insert-directory): Remove.
17738 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
17739 (eshell-ls-use-in-dired): Use advice-add/remove.
17740 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
17741 Add `orig-fun' arg for use in :around advice.
17742 Make it check (redundantly) eshell-ls-use-in-dired.
17744 2013-09-19 Glenn Morris <rgm@gnu.org>
17746 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
17748 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
17750 * emacs-lisp/eieio.el (class-parent): Undo previous change.
17752 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
17754 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
17755 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
17756 (tramp-get-remote-python): New defuns.
17757 (tramp-get-remote-uid-with-perl)
17758 (tramp-get-remote-gid-with-perl): New defuns. Perl code
17759 contributed by yary <not.com@gmail.com> (tiny change).
17760 (tramp-get-remote-uid-with-python)
17761 (tramp-get-remote-gid-with-python): New defuns. Python code
17762 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
17763 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
17765 2013-09-19 Glenn Morris <rgm@gnu.org>
17767 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
17769 * eshell/em-unix.el (eshell-remove-entries):
17770 Rename argument to avoid name-clash with global `top-level'.
17772 * eshell/esh-proc.el (eshell-kill-process-function):
17773 Remove eshell-reset-after-proc from eshell-kill-hook if present.
17774 (eshell-reset-after-proc): Remove unused arg `proc'.
17776 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
17777 (directory-files-and-attributes): Mark unused arg.
17779 * eshell/em-unix.el (eshell-remove-entries):
17780 Remove unused arg `path'. Update callers.
17782 * eshell/em-hist.el (eshell-hist-parse-arguments):
17783 Remove unused arg `silent'. Update callers.
17785 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
17786 Fix (f)boundp mix-up.
17788 * eshell/em-smart.el (eshell-smart-scroll-window)
17789 (eshell-disable-after-change):
17790 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
17792 2013-09-18 Alan Mackenzie <acm@muc.de>
17794 Fix fontification of type when followed by "const".
17795 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
17796 "known" types from fontification.
17798 2013-09-18 Glenn Morris <rgm@gnu.org>
17800 * emacs-lisp/chart.el (x-display-color-cells): Declare.
17801 (chart-face-list): Drop Emacsen without display-color-p.
17803 * net/eww.el (libxml-parse-html-region): Declare.
17804 (eww-display-html): Explicit error if no libxml2 support.
17806 * doc-view.el (doc-view-mode): Silence --without-x compilation.
17808 * image.el (image-type-from-buffer, image-multi-frame-p):
17809 Remove --without-x warning/error.
17811 * mouse.el (mouse-yank-primary):
17812 * term.el (term-mouse-paste):
17813 Reorder to silence --without-x compilation.
17815 * mpc.el (doc-view-mode): Silence --without-x compilation.
17817 * mail/rmailmm.el (rmail-mime-set-bulk-data):
17818 Silence --without-x compilation.
17820 * progmodes/gud.el (gud-find-file, gud-mode):
17821 Silence --without-x compilation.
17822 (tooltip-mode): Declare.
17824 * wdired.el (dired-backup-overwrite): Remove declaration.
17825 (wdired-mode-map): Add doc string.
17827 * custom.el (x-get-resource): Declare.
17829 * eshell/em-glob.el (ange-cache):
17830 * eshell/em-unix.el (ange-cache): Declare.
17832 * faces.el (x-display-list, x-open-connection, x-get-resource):
17835 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
17836 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
17839 * frame.el (x-display-grayscale-p, x-display-name): Declare.
17841 * net/gnutls.el (gnutls-log-level): Declare.
17843 * net/shr.el (image-size, image-animate): Declare.
17845 * simple.el (font-info): Declare.
17847 * subr.el (x-popup-dialog): Declare.
17849 * term/common-win.el (x-select-enable-primary)
17850 (x-last-selected-text-primary, x-last-selected-text-clipboard):
17853 * term/ns-win.el (x-handle-args): Declare.
17855 * term/x-win.el (x-select-enable-clipboard): Declare.
17857 * term/w32-win.el (create-default-fontset): Declare.
17859 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
17862 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
17863 (fit-frame-to-buffer): Explicit error if --without-x.
17864 (mouse-autoselect-window-select): Silence compiler.
17866 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
17868 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
17869 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
17870 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
17871 * eshell/esh-util.el (eshell-sublist):
17872 Remove unused local variables.
17874 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
17876 * textmodes/two-column.el: Make 2C-split work for --without-x.
17877 (scroll-bar-columns): Autoload.
17878 (top-level): Require fringe when compiling.
17880 2013-09-18 Leo Liu <sdl.web@gmail.com>
17882 * subr.el (add-hook): Robustify to handle closure as well.
17884 2013-09-17 Glenn Morris <rgm@gnu.org>
17886 * simple.el (messages-buffer-mode-map): Unbind "g".
17888 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
17890 * help-mode.el (help-mode-finish): Use derived-mode-p.
17891 Remove obsolete highlighting.
17893 * play/life.el (life-mode): Use define-derived-mode. Derive from
17895 (life): Let-bind inhibit-read-only.
17896 (life-setup): Avoid `setq'. Use `life-mode'.
17898 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
17899 which should not be needed any more.
17900 (package-menu-refresh, package-menu-describe-package): Use user-error.
17902 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
17903 (eshell-post-rewrite-command-hook): Make obsolete.
17904 (eshell-parse-command): Simplify.
17905 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
17906 (eshell--cmd): Declare.
17907 (eshell-parse-pipeline): Remove unused var `final-p'.
17908 Pass a dynvar to eshell-post-rewrite-command-hook.
17909 Implement the new eshell-post-rewrite-command-function.
17910 (eshell-invoke-directly): Remove unused arg `input'.
17911 * eshell/esh-io.el (eshell-io-initialize):
17912 Use eshell-post-rewrite-command-function (bug#15399).
17913 (eshell--apply-redirections): Rename from eshell-apply-redirections;
17914 adjust to new calling convention.
17915 (eshell-create-handles): Rename args to avoid clashing with dynvar
17918 2013-09-17 Glenn Morris <rgm@gnu.org>
17920 * simple.el (messages-buffer-mode): New major mode.
17921 (messages-buffer): New function.
17922 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
17923 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
17924 (ert-run-test): Use `messages-buffer' function.
17925 (ert--force-message-log-buffer-truncation): Ignore read-only.
17926 * help.el (view-echo-area-messages): Use `messages-buffer' function.
17927 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
17929 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
17931 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
17933 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
17935 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
17937 * icomplete.el (icomplete-in-buffer): New var.
17938 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
17939 vars and replace them with functions.
17940 (icomplete-minibuffer-setup): Adjust accordingly.
17941 (icomplete--completion-table, icomplete--completion-predicate)
17942 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
17944 (icomplete-forward-completions, icomplete-backward-completions)
17945 (icomplete-simple-completing-p, icomplete-exhibit)
17946 (icomplete-completions): Use them.
17947 (icomplete--in-region-buffer): New var.
17948 (icomplete--in-region-setup): New function.
17949 (icomplete-mode): Use it.
17951 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
17953 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
17954 return args and options.
17955 (eshell-eval-using-options): Use the new return value of
17956 eshell--do-opts to set the options's vars in their scope.
17957 (eshell--set-option): Rename from eshell-set-option.
17958 Add arg `opt-vals'.
17959 (eshell--process-option): Rename from eshell-process-option.
17960 Add arg `opt-vals'.
17961 (eshell--process-args): Use an `opt-vals' alist to store the options's
17962 values during their processing and return them additionally to the
17965 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
17967 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
17968 continuation character an operator, as far as indentation is
17969 concerned (Bug#15369).
17971 2013-09-15 Martin Rudalics <rudalics@gmx.at>
17973 * window.el (window--state-put-2): Don't process buffer state
17974 when buffer doesn't exist any more (Bug#15382).
17976 2013-09-15 Glenn Morris <rgm@gnu.org>
17978 * eshell/em-unix.el (eshell/rm):
17979 Make -f ignore missing files. (Bug#15373)
17981 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
17982 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
17983 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
17985 2013-09-14 Glenn Morris <rgm@gnu.org>
17987 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
17989 2013-09-13 Glenn Morris <rgm@gnu.org>
17991 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
17992 (dired-guess-default): Make `file' available in the env. (Bug#15363)
17994 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
17996 * frame.el (x-focus-frame): Mark as declared in frame.c.
17998 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
18000 * ls-lisp.el: Use advice-add.
18001 (original-insert-directory): Remove.
18002 (ls-lisp--insert-directory): Rename from insert-directory; add
18003 `orig-fun' argument.
18004 (insert-directory): Advise.
18006 2013-09-13 Eli Zaretskii <eliz@gnu.org>
18008 * term.el (term-emulate-terminal): Decode the command string
18009 before passing it to term-command-hook. (Bug#15337)
18011 2013-09-13 Glenn Morris <rgm@gnu.org>
18013 * eshell/esh-util.el (ange-cache): Move declaration earlier.
18015 * eshell/esh-ext.el (eshell-search-path): Declare.
18017 * eshell/em-prompt.el (eshell/pwd): Autoload it.
18018 Otherwise an error occurs if eshell-dirs module not loaded.
18020 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
18022 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
18024 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
18025 `tramp-check-proper-host'. Check for a valid method name.
18027 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18028 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18029 * net/tramp-sh.el (tramp-maybe-open-connection):
18030 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
18032 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
18033 also for hash values.
18035 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
18037 * term/ns-win.el (parameters): Don't declare as dynamic.
18038 (before-make-frame-hook): Don't add ineffective function.
18040 * eshell/*.el: Use lexical-binding (bug#15231).
18042 2013-09-12 Kenichi Handa <handa@gnu.org>
18044 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
18046 2013-09-12 Glenn Morris <rgm@gnu.org>
18048 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
18049 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
18051 * subr.el (do-after-load-evaluation): Also give compiler warnings
18052 when obsolete files are used (except by obsolete files).
18054 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
18055 in the status output, assume `filename' is the first. (Bug#15322)
18057 * vc/vc.el (vc-deduce-fileset): Doc fix.
18059 * calc/calc-help.el (Info-goto-node):
18060 * progmodes/cperl-mode.el (Info-find-node):
18061 * vc/ediff.el (Info-goto-node): Update declarations.
18063 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
18065 * vc/vc-bzr.el (vc-compilation-mode): Declare.
18066 (vc-bzr-pull): Require vc-dispatcher.
18067 * vc/vc-git.el (vc-compilation-mode): Declare.
18068 (vc-git-pull): Require vc-dispatcher.
18070 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
18072 * progmodes/octave.el (help-button-action): Declare.
18074 * shell.el (shell-directory-tracker): Output error as a message
18075 rather than just returning it as a string.
18076 (shell-process-pushd): Remove useless use of message.
18078 * dframe.el (dframe-timer-fn):
18079 * files.el (dir-locals-read-from-file):
18080 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
18082 * reveal.el (reveal-post-command):
18083 * saveplace.el (load-save-place-alist-from-file):
18084 * shell.el (shell-resync-dirs):
18085 * w32-common-fns.el (x-get-selection-value):
18086 * emacs-lisp/copyright.el (copyright-find-copyright):
18087 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
18088 * emulation/tpu-edt.el (tpu-copy-keyfile):
18089 * play/bubbles.el (bubbles--mark-neighbourhood):
18090 * progmodes/executable.el
18091 (executable-make-buffer-file-executable-if-script-p):
18092 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
18094 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
18096 Cleanup Eshell to rely less on dynamic scoping.
18097 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
18098 last-value, and ext-command here. Bind `args' closer to `body'.
18099 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
18100 (eshell--args): Declare new dynamic var.
18101 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
18102 last-value, and ext-command. Pass `args' to `body'.
18103 (eshell-process-args): Bind eshell--args.
18104 (eshell-set-option): Use eshell--args.
18105 * eshell/eshell.el (eshell): Use derived-mode-p.
18106 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
18107 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
18108 (eshell-glob-function): Declare.
18109 * eshell/esh-util.el: Require cl-lib.
18110 (eshell-read-hosts-file): Avoid add-to-list.
18111 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
18113 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
18115 (eshell/diff): Remove unused var `err'.
18116 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
18118 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
18119 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
18121 * eshell/em-glob.el (eshell-glob-matches, message-shown):
18122 Move declaration before first use.
18123 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
18124 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
18127 2013-09-12 Glenn Morris <rgm@gnu.org>
18129 * term/ns-win.el (global-map): Remove binding for ispell-next,
18130 deleted 1999-05-29. (Bug#15357)
18132 2013-09-11 Glenn Morris <rgm@gnu.org>
18134 * echistory.el (electric-command-history): Remove call to deleted func.
18136 * play/landmark.el (landmark-mode): Fix typos.
18138 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
18139 Check cvs-sort-ignore-file is bound.
18141 * savehist.el: No need for cl when compiling on Emacs.
18143 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
18145 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
18147 (eshell-self-insert-command, eshell-send-invisible):
18148 Remove unused argument.
18149 (eshell-handle-control-codes): Remove unused var `orig'.
18150 Avoid delete-backward-char.
18152 * files.el (set-auto-mode): Simplify a bit further.
18154 2013-09-11 Glenn Morris <rgm@gnu.org>
18156 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
18157 (set-auto-mode): Don't regexp-quote elements.
18158 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
18159 * progmodes/cc-mode.el (interpreter-mode-alist):
18160 * progmodes/ruby-mode.el (interpreter-mode-alist):
18161 Revert previous change.
18163 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
18165 * play/snake.el (snake-mode):
18166 * play/mpuz.el (mpuz-mode):
18167 * play/landmark.el (lm-mode):
18168 * play/blackbox.el (blackbox-mode):
18169 * play/5x5.el (5x5-mode):
18170 * obsolete/options.el (Edit-options-mode):
18171 * net/quickurl.el (quickurl-list-mode):
18172 * net/newst-treeview.el (newsticker-treeview-mode):
18173 * mail/rmailsum.el (rmail-summary-mode):
18174 * mail/mspools.el (mspools-mode):
18175 * locate.el (locate-mode):
18176 * ibuffer.el (ibuffer-mode):
18177 * emulation/ws-mode.el (wordstar-mode):
18178 * emacs-lisp/debug.el (debugger-mode):
18179 * array.el (array-mode):
18180 * net/eudc.el (eudc-mode): Use define-derived-mode.
18181 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
18182 Move initialization into declaration.
18183 (mairix-searches-mode): Use define-derived-mode.
18184 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
18185 (eudc-edit-hotlist): Use dolist.
18186 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
18187 (Man-mode): Use define-derived-mode.
18188 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
18189 (Info-edit-mode): Use define-derived-mode.
18190 (Info-cease-edit): Use Info-mode.
18191 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
18193 (eshell-mode): Use define-derived-mode.
18194 * chistory.el (command-history-mode-map): Rename from
18195 command-history-map.
18196 (command-history-mode): Use define-derived-mode.
18197 (Command-history-setup): Remove function.
18198 * calc/calc.el (calc-trail-mode-map): New var.
18199 (calc-trail-mode): Use define-derived-mode.
18200 (calc-trail-buffer): Set calc-main-buffer manually.
18201 * bookmark.el (bookmark-insert-annotation): New function.
18202 (bookmark-edit-annotation): Use it.
18203 (bookmark-edit-annotation-mode): Make it a proper major mode.
18204 (bookmark-send-edited-annotation): Use derived-mode-p.
18205 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
18206 closer to its ideal place. Use \' to match EOS.
18208 * profiler.el (profiler-calltree-find): Use function-equal.
18210 2013-09-10 Glenn Morris <rgm@gnu.org>
18212 * files.el (interpreter-mode-alist): Convert to regexps.
18213 (set-auto-mode): Adapt for this. (Bug#15306)
18214 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
18215 Comment out unused variable.
18216 * progmodes/cc-mode.el (interpreter-mode-alist):
18217 * progmodes/python.el (interpreter-mode-alist):
18218 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
18219 * progmodes/sh-script.el (sh-set-shell):
18220 No longer use interpreter-mode-alist to get list of shells.
18222 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
18224 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
18226 * simple.el: Use set-temporary-overlay-map for universal-argument.
18227 (universal-argument-map): Don't use default-bindings (bug#15317).
18228 Bind switch-frame explicitly. Replace universal-argument-minus with
18229 a conditional binding.
18230 (universal-argument-num-events, saved-overriding-map): Remove.
18231 (restore-overriding-map): Remove.
18232 (universal-argument--mode): Rename from save&set-overriding-map,
18234 (universal-argument, universal-argument-more, negative-argument)
18235 (digit-argument): Adjust accordingly.
18236 (universal-argument-minus): Remove.
18237 (universal-argument-other-key): Remove.
18239 * subr.el (with-demoted-errors): Add `format' argument.
18241 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
18243 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
18244 `tramp-cleanup-connection'.
18246 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
18247 parameters KEEP-DEBUG and KEEP-PASSWORD.
18249 * net/tramp.el (tramp-file-name-handler):
18250 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18251 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
18252 (tramp-maybe-open-connection):
18253 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18254 Use `tramp-cleanup-connection'.
18256 * net/tramp-sh.el (tramp-maybe-open-connection):
18257 Catch 'uname-changed inside the progress reporter.
18259 2013-09-10 Glenn Morris <rgm@gnu.org>
18261 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
18263 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
18264 returns "alternate access method" in mode (eg "-rw-r--r--.").
18266 2013-09-08 Glenn Morris <rgm@gnu.org>
18268 * saveplace.el (load-save-place-alist-from-file):
18269 Demote errors. (Bug#15305)
18271 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
18273 Improve compatibility with older Emacsen, and XEmacs.
18275 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
18276 only if it is bound. It isn't for XEmacs.
18277 (with-tramp-progress-reporter): Do not let-bind `result'.
18278 This yields to scoping errors in XEmacs.
18279 (tramp-handle-make-auto-save-file-name): New function, moved from
18282 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
18283 for `make-auto-save-file-name'.
18284 (tramp-adb--gnu-switches-to-ash):
18285 Use `tramp-compat-replace-regexp-in-string'.
18287 * net/tramp-cache.el (tramp-cache-print): Call
18288 `substring-no-properties' only if it is bound. It isn't for XEmacs.
18290 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
18291 bound. It isn't for XEmacs.
18293 * net/tramp-compat.el (tramp-compat-copy-file):
18294 Catch `wrong-number-of-arguments' error.
18295 (tramp-compat-replace-regexp-in-string): New defun.
18297 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
18298 for `make-auto-save-file-name'.
18299 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
18301 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
18302 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
18303 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
18305 * net/tramp-gw.el (tramp-gw-open-network-stream):
18306 Use `tramp-compat-replace-regexp-in-string'.
18308 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
18309 Call `tramp-handle-make-auto-save-file-name'.
18310 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
18311 (tramp-sh-file-gvfs-monitor-dir-process-filter)
18312 (tramp-sh-file-inotifywait-process-filter):
18313 Use `tramp-compat-replace-regexp-in-string'.
18314 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
18316 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
18317 for `make-auto-save-file-name'.
18318 (tramp-smb-handle-copy-directory):
18319 Call `tramp-compat-replace-regexp-in-string'.
18320 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
18321 (tramp-smb-handle-copy-file): Improve error message.
18322 (tramp-smb-handle-rename-file): Rename directly only in case
18323 `newname' does not exist yet. This is a restriction of smbclient.
18324 (tramp-smb-maybe-open-connection): Rerun the function only when
18325 `auth-sources' is non-nil.
18327 2013-09-08 Kenichi Handa <handa@gnu.org>
18329 * international/characters.el: Set category "^" (Combining) for
18332 2013-09-07 Alan Mackenzie <acm@muc.de>
18334 Correctly fontify Java class constructors.
18335 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
18337 (c-recognize-typeless-decls): Set the Java value to t.
18338 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
18339 While handling a "(", add a check for, effectively, Java, and handle a
18340 "typeless" declaration there.
18342 2013-09-07 Roland Winkler <winkler@gnu.org>
18344 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
18345 field subtitle for entry type book.
18347 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
18349 * minibuffer.el: Make minibuffer-complete call completion-in-region
18350 rather than other way around.
18351 (completion--some, completion-pcm--find-all-completions):
18352 Don't delay signals when debugging.
18353 (minibuffer-completion-contents): Beware fields within the
18354 minibuffer contents.
18355 (completion-all-sorted-completions): Use defvar-local.
18356 (completion--do-completion, completion--cache-all-sorted-completions)
18357 (completion-all-sorted-completions, minibuffer-force-complete):
18358 Add args `beg' and `end'.
18359 (completion--in-region-1): New fun, extracted from minibuffer-complete.
18360 (minibuffer-complete): Use completion-in-region.
18361 (completion-complete-and-exit): New fun, extracted from
18362 minibuffer-complete-and-exit.
18363 (minibuffer-complete-and-exit): Use it.
18364 (completion--complete-and-exit): Rename from
18365 minibuffer--complete-and-exit.
18366 (completion-in-region--single-word): New function, extracted from
18367 minibuffer-complete-word.
18368 (minibuffer-complete-word): Use it.
18369 (display-completion-list): Make `common-substring' argument obsolete.
18370 (completion--in-region): Call completion--in-region-1 instead of
18371 minibuffer-complete.
18372 (completion-help-at-point): Pass boundaries to
18373 minibuffer-completion-help as args rather than via an overlay.
18374 (completion-pcm--string->pattern): Use `any-delim'.
18375 (completion-pcm--optimize-pattern): New function.
18376 (completion-pcm--pattern->regex): Handle `any-delim'.
18377 * icomplete.el (icomplete-forward-completions)
18378 (icomplete-backward-completions, icomplete-completions):
18379 Adjust calls to completion-all-sorted-completions and
18380 completion--cache-all-sorted-completions.
18381 (icomplete-with-completion-tables): Default to t.
18382 * emacs-lisp/crm.el (crm--current-element): Rename from
18383 crm--select-current-element. Don't put an overlay but return the
18384 boundaries instead.
18385 (crm--completion-command): Take two new args to bind to the boundaries.
18386 (crm-completion-help): Adjust accordingly.
18387 (crm-complete): Use completion-in-region.
18388 (crm-complete-word): Use completion-in-region--single-word.
18389 (crm-complete-and-exit): Use completion-complete-and-exit.
18391 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
18393 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
18396 2013-09-06 Juri Linkov <juri@jurta.org>
18398 * info.el (Info-display-images-node): When image file doesn't exist
18399 display text version of the image if it's provided in the Info file.
18400 Otherwise, display the location of missing image from SRC attribute.
18401 Add help-echo text property from ALT attribute. (Bug#15279)
18403 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
18405 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
18406 (edit-abbrevs-mode): Use define-derived-mode.
18408 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
18409 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
18411 (epa-key-list-mode, epa-key-mode, epa-info-mode):
18412 Use define-derived-mode.
18414 * epg.el (epg-start-encrypt): Minor CSE simplification.
18416 2013-09-06 William Xu <william.xwl@gmail.com>
18418 * arc-mode.el: Add support for 7za (bug#15264).
18419 (archive-7z-program): New var.
18420 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
18421 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
18422 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
18424 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
18428 * net/tramp.el (tramp-syntax, tramp-prefix-format)
18429 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
18430 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
18431 (tramp-postfix-host-format, tramp-file-name-regexp)
18432 (tramp-completion-file-name-regexp)
18433 (tramp-completion-dissect-file-name)
18434 (tramp-handle-substitute-in-file-name): Remove 'url case.
18435 (tramp-file-name-regexp-url)
18436 (tramp-completion-file-name-regexp-url): Remove constants.
18438 2013-09-06 Glenn Morris <rgm@gnu.org>
18440 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
18442 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
18444 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
18445 keywords" below "here-doc beginnings" (Bug#15270).
18447 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
18449 * subr.el (pop): Use `car-safe'.
18450 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
18451 to detect unused `pop' return value.
18453 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
18454 var `block-regexp'.
18455 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
18456 (python-fill-string): Remove unused var `marker'.
18457 (python-skeleton-add-menu-items): Remove unused var `items'.
18459 * international/mule-cmds.el: Require CL.
18460 (find-coding-systems-for-charsets): Avoid add-to-list.
18461 (sanitize-coding-system-list): New function, extracted from
18462 select-safe-coding-system-interactively.
18463 (select-safe-coding-system-interactively): Use it.
18464 (read-input-method-name): Accept symbols for `default'.
18466 * emacs-lisp/advice.el (defadvice): Add indent rule.
18468 2013-09-05 Daniel Hackney <dan@haxney.org>
18472 * net/browse-url.el:
18475 * net/eudcb-ldap.el:
18479 * vc/ediff-diff.el:
18480 * vc/ediff-init.el:
18481 * vc/ediff-merg.el:
18482 * vc/ediff-mult.el:
18483 * vc/ediff-util.el:
18484 * vc/ediff-wind.el:
18488 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
18489 byte compiler. Remove some unused let-bound variables.
18491 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
18493 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
18494 a "ref-cell", since it gets better optimized (bug#14883).
18496 2013-09-05 Glenn Morris <rgm@gnu.org>
18498 * progmodes/cc-awk.el (c-forward-sws): Declare.
18500 2013-09-04 Glenn Morris <rgm@gnu.org>
18502 * generic-x.el [rul-generic-mode]: Require cc-mode.
18503 (c++-mode-syntax-table): Declare.
18504 (rul-generic-mode-syntax-table): Init in the defvar.
18506 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
18508 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
18509 (vc-do-command, vc-set-async-update):
18510 * vc/vc-mtn.el (vc-mtn-dir-status):
18511 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
18512 (vc-hg-pull, vc-hg-merge-branch):
18513 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
18514 (vc-git-merge-branch):
18515 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
18516 (vc-cvs-dir-status-files):
18517 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
18518 (vc-bzr-dir-status-files):
18519 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
18520 * vc/vc-annotate.el: Use lexical-binding.
18521 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
18522 (vc-sentinel-movepoint): Declare.
18523 (vc-annotate): Don't use `goto-line'.
18524 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
18525 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
18526 (vc-sentinel-movepoint): Declare.
18527 * vc/vc-svn.el: Use lexical-binding.
18528 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
18530 * vc/vc-rcs.el: Use lexical-binding.
18532 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
18533 `deleted'. Don't drop errors silently.
18535 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
18537 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
18539 * vc/vc.el (vc-ignore): Rewrite.
18540 (vc-default-ignore): New function.
18541 (vc-default-ignore-completion-table): Use find-ignore-file.
18543 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
18544 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
18545 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
18546 Remove. Most code moved to vc.el.
18548 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
18550 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
18551 * net/tramp-smb.el (tramp-smb-get-file-entries):
18552 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
18553 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
18555 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
18557 (eww-change-select): Remove unused var `properties'.
18558 (eww-make-unique-file-name): Remove unused var `base'.
18560 * finder.el (finder-compile-keywords): Don't mess with windows.
18562 * calculator.el (calculator-funcall): Fix typo in last change.
18564 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
18566 * emacs-lisp/package.el (package-activate-1): Don't let a missing
18567 <pkg>-autoloads.el file stop us.
18569 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
18570 warnings, and factor out common code.
18572 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
18574 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
18575 two-character operators and whether the character preceding them
18576 changes their meaning (Bug#15208).
18578 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
18580 Format code sent to Python shell for robustness.
18581 * progmodes/python.el (python-shell-buffer-substring):
18583 (python-shell-send-region, python-shell-send-buffer): Use it.
18585 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
18587 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
18588 * net/tramp.el (tramp-user-error): ... here.
18589 (tramp-find-method, tramp-check-proper-host)
18590 (tramp-dissect-file-name, tramp-debug-message)
18591 (tramp-handle-shell-command):
18592 * net/tramp-adb.el (tramp-adb-handle-shell-command):
18593 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
18595 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
18597 2013-09-02 Martin Rudalics <rudalics@gmx.at>
18599 * avoid.el (mouse-avoidance-point-position)
18600 (mouse-avoidance-too-close-p): Handle case where posn-at-point
18603 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
18605 * progmodes/python.el (python-shell-completion-get-completions):
18606 Drop use of deleted `comint-last-prompt-overlay'.
18607 (python-nav-if-name-main): New command.
18609 2013-09-01 Glenn Morris <rgm@gnu.org>
18611 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
18612 Avoid leading space in $wins. Otherwise the sed command used by
18613 eg compile-main ends up containing "/*.el". (Bug#15170)
18615 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
18617 2013-08-30 Glenn Morris <rgm@gnu.org>
18619 * emacs-lisp/bytecomp.el (byte-recompile-directory):
18620 Fix is-this-a-directory logic. (Bug#15220)
18622 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
18624 * textmodes/css-mode.el: Use SMIE.
18625 (css-smie-grammar): New var.
18626 (css-smie--forward-token, css-smie--backward-token)
18627 (css-smie-rules): New functions.
18628 (css-mode): Use them.
18629 (css-navigation-syntax-table): Remove var.
18630 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
18631 (css-indent-calculate, css-indent-line): Remove functions.
18633 Misc changes to reduce use of `(lambda...); and other cleanups.
18634 * cus-edit.el: Use lexical-binding.
18635 (customize-push-and-save, customize-apropos)
18636 (custom-buffer-create-internal): Use closures.
18637 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
18638 * progmodes/ada-xref.el: Use setq.
18639 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
18640 * dframe.el: Use lexical-binding.
18641 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
18642 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
18643 * descr-text.el: Use lexical-binding.
18644 (describe-text-widget, describe-text-sexp, describe-property-list):
18646 * comint.el (comint-history-isearch-push-state): Use a closure.
18647 * calculator.el: Use lexical-binding.
18648 (calculator-number-to-string): Make it work with lexical-binding.
18649 (calculator-funcall): Same and use cl-letf.
18651 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
18652 (lisp--company-doc-string, lisp--company-location): New functions.
18653 (lisp-completion-at-point): Use them to improve Company support.
18655 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
18656 params of lambda expressions.
18657 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
18658 (ruby-smie--opening-pipe-p): New function.
18659 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
18660 symbols and matched |...| for formal params.
18661 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
18662 from being treated as hanging. Handle "rescue".
18664 2013-08-29 Glenn Morris <rgm@gnu.org>
18666 * progmodes/cc-engine.el (c-pull-open-brace):
18667 Move definition before use.
18669 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
18671 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
18672 are immutable. Don't use `unsafe' any more.
18673 (cl--defsubst-expand): Don't substitute at the same time as keeping
18674 a residual unused let-binding. Don't use `unsafe' any more.
18676 2013-08-29 Glenn Morris <rgm@gnu.org>
18678 * calendar/cal-china.el (calendar-chinese-year-cache):
18681 * nxml/nxml-util.el (nxml-debug-clear-inside):
18682 Use cl-loop rather than loop.
18684 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
18686 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
18688 2013-08-28 Glenn Morris <rgm@gnu.org>
18690 * progmodes/antlr-mode.el: No need to require cc-mode twice.
18692 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
18694 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
18696 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18698 * simple.el (repeat-complex-command--called-interactively-skip):
18700 (repeat-complex-command): Use it (bug#14136).
18702 * progmodes/cc-mode.el: Minor cleanup of var declarations.
18703 (c-define-abbrev-table): Add `doc' argument.
18704 (c-mode-abbrev-table, c++-mode-abbrev-table)
18705 (objc-mode-abbrev-table, java-mode-abbrev-table)
18706 (idl-mode-abbrev-table, pike-mode-abbrev-table)
18707 (awk-mode-abbrev-table): Use it.
18708 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
18709 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
18710 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
18711 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
18712 Move initialization into the declaration; and remove any
18715 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
18716 and dynamic let binding.
18718 * vc/smerge-mode.el: Remove redundant :group args.
18720 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
18723 2013-08-28 Juri Linkov <juri@jurta.org>
18725 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
18726 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
18727 (isearch-other-meta-char): Handle an undefined shifted printing
18728 character by downshifting it. (Bug#15200)
18730 2013-08-28 Juri Linkov <juri@jurta.org>
18732 * isearch.el (isearch-search): Change regexp error message for
18733 non-regexp searches. (Bug#15166)
18735 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
18737 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
18738 for portability to hosts where /bin/sh has problems.
18740 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18742 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
18744 2013-08-27 Juri Linkov <juri@jurta.org>
18746 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
18747 in the keyboard macro. (Bug#15126)
18749 2013-08-27 Juri Linkov <juri@jurta.org>
18751 * isearch.el (isearch-quote-char): Comment out converting unibyte
18752 to multibyte, thus syncing with its `quoted-insert' counterpart.
18755 2013-08-27 Martin Rudalics <rudalics@gmx.at>
18757 * window.el (display-buffer-use-some-window): Add missing
18758 argument in call of get-largest-window (Bug#15185).
18759 Reported by Stephen Leake.
18761 2013-08-27 Glenn Morris <rgm@gnu.org>
18763 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
18765 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
18767 * progmodes/python.el (python-font-lock-keywords): Don't return nil
18768 from a matcher-function unless there's no more matches (bug#15161).
18770 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18772 * minibuffer.el: Revert change from 2013-08-20.
18774 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
18775 with text property `tramp-default', if appropriate.
18776 (tramp-check-proper-host): New defun.
18777 (tramp-dissect-file-name): Do not check hostname. Revert change
18779 (tramp-backtrace): Make VEC-OR-PROC optional.
18781 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18782 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18783 * net/tramp-sh.el (tramp-maybe-open-connection):
18784 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18785 Apply `tramp-check-proper-host'.
18787 2013-08-26 Tassilo Horn <tsdh@gnu.org>
18789 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
18790 lambda expression in order to have `describe-variable' display it.
18792 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18794 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
18795 BUF can be optional. (Bug#15186)
18797 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
18799 * progmodes/flymake.el (flymake-get-real-file-name-function):
18800 Fix broken customization. (Bug#15184)
18802 2013-08-25 Alan Mackenzie <acm@muc.de>
18804 Improve indentation of bracelists defined by macros (without "=").
18806 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
18807 expansion begins with "{", regard it as bracelist when it doesn't
18810 Parse C++ inher-intro when there's a template split over 2 lines.
18812 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
18813 rigorously the search for "class" etc. followed by ":".
18815 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
18816 random languages a regexp which never matches rather than nil.
18818 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
18820 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
18821 (c-awk-regexp-one-line-possibly-open-char-list-re)
18822 (c-awk-one-line-possibly-open-regexp-re)
18823 (c-awk-one-line-non-syn-ws*-re): Remove.
18824 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
18825 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
18826 (c-awk-space*-unclosed-regexp-/-re): New constants.
18827 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
18828 aren't regexp delimiters.
18830 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
18831 handling for a rare situation in AWK Mode involving unterminated
18834 2013-08-23 Glenn Morris <rgm@gnu.org>
18836 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
18838 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
18840 * files.el (create-file-buffer): If the result would begin with
18841 spaces, prepend a "|" instead of removing them. (Bug#15162)
18843 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
18845 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
18846 text-properties (bug#15155).
18848 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
18850 (calc-keypad-redraw): Remove unused var `pad'.
18851 (calc-keypad-press): Remove unused var `menu'.
18853 2013-08-23 Martin Rudalics <rudalics@gmx.at>
18855 * window.el (display-buffer-pop-up-frame):
18856 Call pop-up-frame-function with BUFFER current so `make-frame' will
18857 use it as the new frame's buffer (Bug#15133).
18859 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
18861 * calendar/timeclock.el: Minor cleanups.
18862 (timeclock-ask-before-exiting, timeclock-use-display-time):
18864 (timeclock-modeline-display): Define as alias before the
18866 (timeclock-mode-line-display): Use define-minor-mode.
18867 (timeclock-day-list-template): Make it a function, add an argument.
18868 (timeclock-day-list-required, timeclock-day-list-length)
18869 (timeclock-day-list-debt, timeclock-day-list-span)
18870 (timeclock-day-list-break): Adjust calls accordingly.
18872 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
18874 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
18875 Use read--expression so that completion works again.
18877 2013-08-21 Sam Steingold <sds@gnu.org>
18879 Add rudimentary inferior shell interaction
18880 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
18881 (sh-set-shell): Reset it.
18882 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
18883 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
18885 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
18887 * align.el: Use lexical-binding.
18888 (align-region): Simplify accordingly.
18890 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
18892 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
18894 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
18895 `non-essential' up.
18897 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
18900 * net/tramp-adb.el:
18901 * net/tramp-cmds.el:
18902 * net/tramp-ftp.el:
18903 * net/tramp-gvfs.el:
18905 * net/tramp-sh.el: Don't wrap external variable declarations by
18906 `eval-when-compile'.
18908 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
18910 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
18911 now that Emacs supports ImageMagick animations.
18913 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
18915 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
18916 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
18918 2013-08-16 Martin Rudalics <rudalics@gmx.at>
18920 * window.el (mouse-autoselect-window-select): Do autoselect when
18921 mouse pointer is on margin.
18923 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
18925 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
18927 2013-08-16 Glenn Morris <rgm@gnu.org>
18929 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
18930 Handle "Remote Directory" response of some clients. (Bug#15058)
18932 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
18933 Tweak warning. (Bug#14926)
18935 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
18936 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
18938 * image-mode.el (image-mode-map): Add menu items to reverse,
18939 increase, decrease, reset animation speed.
18940 (image--set-speed, image-increase-speed, image-decrease-speed)
18941 (image-reverse-speed, image-reset-speed): New functions.
18942 (image-mode-map): Add bindings for speed commands.
18944 * image.el (image-animate-get-speed, image-animate-set-speed):
18946 (image-animate-timeout): Respect image :speed property.
18948 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
18950 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
18951 previous line (bug#15101).
18952 (debugger-eval-expression, debugger-record-expression):
18953 Use read--expression (bug#15102).
18955 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
18957 Remove byte compiler warnings, visible when compiling with
18958 `byte-compile-force-lexical-warnings' set to t.
18960 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
18961 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
18962 (tramp-handle-unhandled-file-name-directory)
18963 (tramp-handle-file-notify-add-watch, tramp-action-login)
18964 (tramp-action-succeed, tramp-action-permission-denied)
18965 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
18966 arguments with "_".
18968 * net/tramp-adb.el (tramp-adb-parse-device-names)
18969 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
18970 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
18971 (tramp-adb-handle-file-truename): Remove unused arguments.
18973 * net/tramp-cache.el (tramp-flush-directory-property)
18974 (tramp-flush-connection-property, tramp-list-connections)
18975 (tramp-parse-connection-properties): Prefix unused arguments with "_".
18977 * net/tramp-compat.el (tramp-compat-make-temp-file):
18978 Rename FILENAME to F.
18980 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
18981 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
18982 (tramp-zeroconf-parse-workstation-device-names)
18983 (tramp-zeroconf-parse-webdav-device-names)
18984 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
18986 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
18987 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
18989 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
18991 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
18992 (tramp-sh-handle-insert-file-contents-literally)
18993 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
18995 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
18996 Remove unused variables.
18998 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
18999 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
19000 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
19002 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
19003 Make them a defconst.
19004 (tramp-uuencode-region): Remove unused variable.
19006 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
19008 * frameset.el (frameset--prop-setter): New function.
19009 (frameset-prop): Add gv-setter declaration.
19010 (frameset-filter-minibuffer): Deal with the case that the minibuffer
19011 parameter was already set in FILTERED. Doc fix.
19012 (frameset--record-minibuffer-relationships): Allow saving a
19013 minibufferless frame without its corresponding minibuffer frame.
19014 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
19015 frame, if the frame id matches.
19016 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
19017 frames before orphaned ones.
19018 (frameset-restore): Warn about orphaned windows, instead of error out.
19020 2013-08-14 Martin Rudalics <rudalics@gmx.at>
19022 * window.el (window-make-atom): Don't overwrite parameter
19024 (display-buffer-in-atom-window): Handle special case where we
19025 split an already atomic window.
19026 (window--major-non-side-window, display-buffer-in-side-window)
19027 (window--side-check): Ignore minibuffer window when walking
19029 (window-deletable-p): Return 'frame only if no other frame uses
19030 our minibuffer window.
19031 (record-window-buffer): Run buffer-list-update-hook.
19032 (split-window): Make sure window--check-frame won't destroy an
19033 existing atomic window in case the new window gets nested
19035 (display-buffer-at-bottom): Ignore minibuffer window when
19036 walking window tree. Don't split a side window.
19037 (pop-to-buffer): Don't set-buffer here, the select-window call
19039 (mouse-autoselect-window-select): Autoselect only if we are in the
19040 text portion of the window.
19042 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
19044 * net/shr.el (shr-parse-image-data): New function to grab both the
19045 data itself and the Content-Type.
19046 (shr-put-image): Use it.
19048 * net/eww.el (eww-display-image): Ditto.
19050 * image.el (image-content-type-suffixes): New variable.
19052 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
19054 * progmodes/python.el (python-imenu--build-tree)
19055 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
19057 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
19059 * simple.el (backward-word): Mention the optional argument.
19061 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
19063 * frameset.el (frameset--make): Rename constructor from make-frameset.
19064 (frameset-p, frameset-valid-p): Don't autoload.
19065 (frameset-valid-p): Use normal accessors.
19067 2013-08-13 Glenn Morris <rgm@gnu.org>
19069 * progmodes/compile.el (compile-command): Tweak example in doc.
19070 * obsolete/scribe.el (scribe-mode):
19071 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
19073 * mail/feedmail.el (feedmail-confirm-outgoing)
19074 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
19076 * cus-start.el (truncate-partial-width-windows): Fix type.
19078 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
19080 * net/shr.el (shr-table-horizontal-line): Fix custom type.
19082 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
19084 * emacs-lisp/timer.el (timer--time-setter): New function.
19085 (timer--time): Use it as gv-setter.
19087 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
19088 setter is not a symbol.
19090 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
19092 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
19093 if sending fails. This makes debugging easier.
19095 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
19097 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
19098 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
19099 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
19101 2013-08-12 Eli Zaretskii <eliz@gnu.org>
19103 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
19105 2013-08-12 Glenn Morris <rgm@gnu.org>
19107 * format.el (format-annotate-function):
19108 Handle read-only text properties in the source. (Bug#14887)
19110 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
19112 * net/eww.el (eww-display-html): Ignore coding system errors.
19113 One web site uses "utf-8lias" as the coding system.
19115 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
19117 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
19119 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
19121 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
19122 (tutorial--detailed-help): Remove unused local variables.
19123 (tutorial--save-tutorial-to): Use ignore-errors.
19124 (help-with-tutorial): Use looking-at-p.
19126 * view.el (view-buffer-other-window, view-buffer-other-frame):
19127 Mark unused arguments.
19129 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
19130 (woman-select-symbol-fonts, woman, woman-find-file)
19131 (woman-insert-file-contents, woman-non-underline-faces):
19132 Use string-match-p.
19133 (woman1-unquote): Move declaration.
19135 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
19136 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
19137 argument. Remove unused local variable.
19138 (xml-parse-elem-type): Use string-match-p.
19139 (xml-substitute-numeric-entities): Use ignore-errors.
19141 * calculator.el (calculator): Mark unused argument.
19142 (calculator-paste, calculator-quit, calculator-integer-p):
19144 (calculator-string-to-number, calculator-decimal, calculator-exp)
19145 (calculator-op-or-exp): Use string-match-p.
19147 * dired.el (dired-buffer-more-recently-used-p): Declare.
19148 (dired-insert-set-properties, dired-insert-old-subdirs):
19151 * dired-aux.el (dired-compress): Use ignore-errors.
19152 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
19153 (dired-do-async-shell-command, dired-do-shell-command)
19154 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
19155 (dired-insert-subdir-validate): Use string-match-p.
19156 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
19157 (dired-add-entry): Use string-match-p, looking-at-p.
19158 (dired-insert-subdir-newpos): Remove unused local variable.
19160 * filenotify.el (file-notify-callback): Remove unused local variable.
19162 * filesets.el (filesets-error): Mark unused argument.
19163 (filesets-which-command-p, filesets-filter-dir-names)
19164 (filesets-directory-files, filesets-get-external-viewer)
19165 (filesets-ingroup-get-data): Use string-match-p.
19167 * find-file.el (ff-other-file-name, ff-other-file-name)
19168 (ff-find-the-other-file, ff-cc-hh-converter):
19169 Remove unused local variables.
19170 (ff-get-file-name): Use string-match-p.
19171 (ff-all-dirs-under): Use ignore-errors.
19173 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
19174 (follow-select-if-visible): Remove unused local variable.
19176 * forms.el (read-file-filter): Move declaration.
19177 (forms--make-format, forms--make-parser, forms-insert-record):
19178 Quote function with #'.
19179 (forms--update): Use string-match-p. Quote function with #'.
19181 * help-mode.el (help-dir-local-var-def): Mark unused argument.
19182 (help-make-xrefs): Use looking-at-p.
19183 (help-xref-on-pp): Use looking-at-p, ignore-errors.
19185 * ibuffer.el (ibuffer-ext-visible-p): Declare.
19186 (ibuffer-confirm-operation-on): Use string-match-p.
19188 * msb.el (msb-item-handler, msb-dired-item-handler):
19189 Mark unused arguments.
19191 * ses.el (ses-decode-cell-symbol)
19192 (ses-kill-override): Remove unused local variable.
19193 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
19194 (ses-load): Use ignore-errors, looking-at-p.
19195 (ses-jump-safe): Use ignore-errors.
19196 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
19198 * tabify.el (untabify, tabify): Mark unused arguments.
19200 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
19201 Mark unused argument.
19202 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
19203 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
19205 * emacs-lisp/timer.el (timer--time): Define setter with
19206 gv-define-setter to avoid deprecation warning.
19208 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
19209 (*record-cmpl-statistics-p*): Remove (was commented out).
19210 (cmpl-statistics-block): Remove (body was commented out).
19211 All callers changed.
19212 (add-completions-from-buffer, load-completions-from-file):
19213 Remove unused variables.
19215 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
19217 * filecache.el (file-cache-delete-file-list):
19218 Print message only when told so.
19219 (file-cache-files-matching): Use #' in mapconcat argument.
19221 * ffap.el (ffap-url-at-point): Fix reference to variable
19222 thing-at-point-default-mail-uri-scheme.
19224 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
19226 * subr.el (define-error): New function.
19227 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
19228 error-file-not-found and define with define-error.
19229 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
19230 and define with define-error.
19231 * userlock.el (file-locked, file-supersession):
19232 * simple.el (mark-inactive):
19233 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
19234 * progmodes/ada-mode.el (ada-mode-errors):
19235 * play/life.el (life-extinct):
19236 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
19237 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
19238 * nxml/rng-util.el (rng-error):
19239 * nxml/rng-uri.el (rng-uri-error):
19240 * nxml/rng-match.el (rng-compile-error):
19241 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
19242 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
19243 * nxml/nxml-rap.el (nxml-scan-error):
19244 * nxml/nxml-outln.el (nxml-outline-error):
19245 * net/soap-client.el (soap-error):
19246 * net/gnutls.el (gnutls-error):
19247 * net/ange-ftp.el (ftp-error):
19248 * mpc.el (mpc-proc-error):
19249 * json.el (json-error, json-readtable-error, json-unknown-keyword)
19250 (json-number-format, json-string-escape, json-string-format)
19251 (json-key-format, json-object-format):
19252 * jka-compr.el (compression-error):
19253 * international/quail.el (quail-error):
19254 * international/kkc.el (kkc-error):
19255 * emacs-lisp/ert.el (ert-test-failed):
19256 * calc/calc.el (calc-error, inexact-result, math-overflow)
19258 * bookmark.el (bookmark-error-no-filename):
19259 * epg.el (epg-error): Define with define-error.
19261 * time.el (display-time-event-handler)
19262 (display-time-next-load-average): Don't call sit-for since it seems
19263 unnecessary (bug#15045).
19265 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
19266 Use #' instead of ' to quote functions.
19267 (checkdoc-output-mode): Use setq-local.
19268 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
19269 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
19270 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
19271 (checkdoc-ispell, checkdoc-ispell-current-buffer)
19272 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
19273 (checkdoc-ispell-message-text, checkdoc-ispell-start)
19274 (checkdoc-ispell-continue, checkdoc-ispell-comments)
19275 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
19277 * ido.el (ido-completion-help): Fix up compiler warning.
19279 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
19281 * frameset.el (frameset-p): Add autoload cookie.
19282 (frameset--jump-to-register): New function, based on code moved from
19284 (frameset-to-register): Move from register.el. Adapt to `registerv'.
19286 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
19287 (frameset-restore, frameset-save, frameset-session-filter-alist):
19288 Remove declarations.
19289 (register-alist): Doc fix.
19290 (frameset-to-register): Move to frameset.el.
19291 (jump-to-register, describe-register-1): Remove frameset-specific code.
19293 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19295 * allout-widgets.el (allout-widgets-pre-command-business)
19296 (allout-widgets-post-command-business)
19297 (allout-widgets-after-change-handler)
19298 (allout-decorate-item-and-context, allout-set-boundary-marker)
19299 (allout-body-modification-handler)
19300 (allout-graphics-modification-handler): Mark ignored arguments.
19301 (allout-widgets-post-command-business)
19302 (allout-widgets-exposure-change-processor)
19303 (allout-widgets-exposure-undo-processor)
19304 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
19305 (allout-parse-item-at-point, allout-decorate-item-guides)
19306 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
19307 * allout.el (epa-passphrase-callback-function): Declare.
19308 (allout-overlay-insert-in-front-handler)
19309 (allout-overlay-interior-modification-handler)
19310 (allout-isearch-end-handler, allout-chart-siblings)
19311 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
19312 (allout-yank-processing, allout-process-exposed)
19313 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
19314 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
19315 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
19316 (lisp-indent-defform): Mark ignored arguments.
19317 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
19318 (calculate-lisp-indent): Remove unused variables.
19319 * international/characters.el (indian-2-column, arabic-2-column)
19320 (tibetan): Mark ignored arguments.
19321 (use-cjk-char-width-table): Mark ignored arguments.
19322 Remove unused variables.
19323 * international/fontset.el (build-default-fontset-data)
19324 (x-compose-font-name, create-fontset-from-fontset-spec):
19325 Mark ignored arguments.
19326 (fontset-plain-name): Remove unused variables.
19327 * international/mule.el (charset-id, charset-bytes, generic-char-p)
19328 (keyboard-coding-system): Mark ignored arguments.
19329 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
19330 * help.el (resize-temp-buffer-window):
19331 * window.el (display-buffer-in-major-side-window)
19332 (display-buffer-in-side-window, display-buffer-in-previous-window):
19333 Remove unused variables.
19334 * isearch.el (isearch-forward-symbol):
19335 * version.el (emacs-bzr-version-bzr):
19336 * international/mule-cmds.el (current-language-environment):
19337 * term/common-win.el (x-handle-iconic, x-handle-geometry)
19338 (x-handle-display):
19339 * term/pc-win.el (x-list-fonts, x-display-planes)
19340 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
19341 (x-server-version, x-display-screens, x-display-mm-height)
19342 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
19343 (x-selection-owner-p, x-own-selection-internal)
19344 (x-disown-selection-internal, x-get-selection-internal)
19345 (msdos-initialize-window-system):
19346 * term/tty-colors.el (tty-color-alist, tty-color-clear):
19347 * term/x-win.el (x-handle-no-bitmap-icon):
19348 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
19349 (vc-default-find-file-hook, vc-default-extra-menu):
19350 Mark ignored arguments.
19352 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
19354 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
19355 break-condition in the context of the debugged code (bug#12685).
19357 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
19360 Do not use an overlay to highlight the last prompt. (Bug#14744)
19361 (comint-mode): Make comint-last-prompt buffer local.
19362 (comint-last-prompt): New variable.
19363 (comint-last-prompt-overlay): Remove. Superseded by
19364 comint-last-prompt.
19365 (comint-snapshot-last-prompt, comint-output-filter):
19366 Use comint-last-prompt.
19368 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19370 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
19371 (frameset-save): Check validity of the resulting frameset.
19373 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
19375 * ido.el (ido-record-command): Add doc string.
19377 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19379 * frameset.el (frameset): Do not disable creation of the default
19380 frameset-p predicate. Doc fix.
19381 (frameset-valid-p): New function, copied from the old predicate-p.
19382 Add additional checks.
19383 (frameset-restore): Check with frameset-valid-p.
19384 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
19385 (frameset-name, frameset-description, frameset-properties)
19386 (frameset-states): Add docstring.
19387 (frameset-session-filter-alist, frameset-persistent-filter-alist)
19388 (frameset-filter-alist): Doc fixes.
19390 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19392 * frameset.el (frameset-p, frameset-prop): Doc fixes.
19394 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
19396 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
19397 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
19398 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
19399 (byte-compile-normal-call): Remove obsolescence check.
19401 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19403 * frameset.el (frameset-restore): Doc fix.
19405 * register.el (frameset-frame-id, frameset-frame-with-id)
19406 (frameset-p, frameset-restore, frameset-save): Declare.
19407 (register-alist): Document framesets.
19408 (frameset-session-filter-alist): Declare.
19409 (frameset-to-register): New function.
19410 (jump-to-register): Implement jumping to framesets. Doc fix.
19411 (describe-register-1): Describe framesets.
19413 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
19415 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
19417 * desktop.el (desktop-save-frameset): Use new frameset-save args.
19418 Use lexical-binding.
19420 * frameset.el (frameset): Use type vector, not list (incompatible
19421 change). Do not declare a new constructor, use the default one.
19422 Upgrade suggested properties `app', `name' and `desc' to slots `app',
19423 `name' and `description', respectively, and add read-only slot
19424 `timestamp'. Doc fixes.
19425 (frameset-copy, frameset-persistent-filter-alist)
19426 (frameset-filter-alist, frameset-switch-to-gui-p)
19427 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
19428 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
19429 (frameset-filter-iconified, frameset-keep-original-display-p):
19431 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
19432 Rename from frameset-filter-(save|restore)-param. All callers changed.
19434 (frameset-p): Adapt to change to vector and be more thorough.
19435 Change arg name to OBJECT. Doc fix.
19436 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
19437 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
19438 All callers changed.
19439 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
19440 All callers changed.
19441 (frameset--record-minibuffer-relationships): Rename from
19442 frameset--process-minibuffer-frames. All callers changed.
19443 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
19444 Use new default constructor (again). Doc fix.
19445 (frameset--find-frame-if): Rename from `frameset--find-frame'.
19446 All callers changed.
19447 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
19448 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
19450 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
19451 PARAMETERS and WINDOW-STATE, respectively.
19452 (frameset-restore): Add new keyword argument PREDICATE.
19453 Reset frameset--target-display to nil. Doc fix.
19455 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
19457 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
19458 (bat-mode): Use it.
19459 (bat-mode-syntax-table): Mark \n as end-of-comment.
19460 (bat-font-lock-keywords): Remove comment rule.
19462 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
19463 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
19465 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
19466 (byte-compile-callargs-warn): Use `push'.
19467 (byte-compile-arglist-warn): Ignore higher-order "calls".
19468 (byte-compile-file-form-autoload): Use `pcase'.
19469 (byte-compile-function-form): If quoting a symbol, check that it exists.
19471 2013-08-07 Eli Zaretskii <eliz@gnu.org>
19473 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
19474 and add a few popular commands found in batch files.
19475 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
19476 (dos-mode): Doc fixes.
19478 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
19480 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
19481 (dos-mode): Use setq-local. Add space after "rem".
19482 (dos-mode-syntax-table): Don't use "w" for symbol chars.
19483 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
19485 2013-08-07 Arni Magnusson <arnima@hafro.is>
19487 * progmodes/dos.el: New file.
19488 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
19491 2013-08-06 Glenn Morris <rgm@gnu.org>
19493 * calendar/calendar.el: Add new faces, and day-header-array.
19494 (calendar-weekday-header, calendar-weekend-header)
19495 (calendar-month-header): New faces.
19496 (calendar-day-header-construct): New function.
19497 (calendar-day-header-width): Also :set calendar-day-header-array.
19498 (calendar-american-month-header, calendar-european-month-header)
19499 (calendar-iso-month-header): Use calendar- faces.
19500 (calendar-generate-month):
19501 Use calendar-day-header-array for day headers; apply faces to them.
19502 (calendar-mode): Check calendar-font-lock-keywords non-nil.
19503 (calendar-abbrev-construct): Add optional maxlen argument.
19504 (calendar-day-name-array): Doc fix.
19505 (calendar-day-name-array, calendar-abbrev-length)
19506 (calendar-day-abbrev-array):
19507 Also :set calendar-day-header-array, and maybe redraw.
19508 (calendar-day-header-array): New option. (Bug#15007)
19509 (calendar-font-lock-keywords): Set to nil and make obsolete.
19510 (calendar-day-name): Add option to use header array.
19512 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
19514 * net/shr.el (shr-render-td): Remove debugging.
19515 (shr-render-td): Make width computation consistent by defaulting
19516 all zero-width columns to 10 characters. This may not be optimal,
19517 but it's at least consistent.
19518 (shr-make-table-1): Redo last change to fix the real problem in
19521 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
19523 * files.el (cache-long-line-scans):
19524 Make obsolete alias to `cache-long-scans'.
19526 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
19528 * frameset.el (frameset, frameset-filter-alist)
19529 (frameset-filter-params, frameset-save, frameset--reuse-frame)
19530 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
19531 (frameset-compute-pos): Rename from frameset--compute-pos,
19533 (frameset-move-onscreen): Use frameset-compute-pos.
19534 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
19536 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
19537 Fix typos in docstrings.
19539 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
19541 * frame.el (get-other-frame): Tiny cleanup.
19543 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
19545 * vc/vc.el (vc-default-ignore-completion-table):
19546 Silence byte-compiler warning.
19548 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
19549 slot, which can indeed be nil.
19550 (frameset-live-filter-alist, frameset-persistent-filter-alist):
19551 Move entry for `left' from persistent to live filter alist.
19552 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
19554 (frameset-filter-params): When restoring a frame, copy items added to
19555 `filtered', to avoid unwittingly modifying the original parameters.
19556 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
19557 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
19559 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
19560 to use looking-at-p instead of looking-at. (Bug#15028)
19562 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
19564 Revert introduction of isearch-filter-predicates (bug#14714).
19565 Rely on add-function instead.
19566 * isearch.el (isearch-filter-predicates): Rename it back to
19567 isearch-filter-predicate.
19568 (isearch-message-prefix): Use advice-function-mapc and advice
19569 properties to get the isearch-message-prefix.
19570 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
19571 instead of run-hook-with-args-until-failure.
19572 (isearch-filter-visible): Not obsolete any more.
19573 * loadup.el: Preload nadvice.
19574 * replace.el (perform-replace): Revert to funcall
19575 instead of run-hook-with-args-until-failure.
19576 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
19577 * dired-aux.el (dired-isearch-filenames-mode): Rename from
19578 dired-isearch-filenames-toggle; make it into a proper minor mode.
19579 Use add/remove-function.
19580 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
19581 Call the minor-mode rather than add/remove-hook.
19582 (dired-isearch-filter-filenames):
19583 Remove isearch-message-prefix property.
19584 * info.el (Info--search-loop): New function, extracted from Info-search.
19585 Funcall isearch-filter-predicate instead of
19586 run-hook-with-args-until-failure isearch-filter-predicates.
19587 (Info-search): Use it.
19588 (Info-mode): Use isearch-filter-predicate instead of
19589 isearch-filter-predicates.
19591 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
19593 Do not call to `selected-window' where it is assumed by default.
19594 Affected functions are `window-minibuffer-p', `window-dedicated-p',
19595 `window-hscroll', `window-width', `window-height', `window-buffer',
19596 `window-frame', `window-start', `window-point', `next-window'
19597 and `window-display-table'.
19598 * abbrev.el (abbrev--default-expand):
19599 * bs.el (bs--show-with-configuration):
19600 * buff-menu.el (Buffer-menu-mouse-select):
19601 * calc/calc.el (calc):
19602 * calendar/calendar.el (calendar-generate-window):
19603 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
19604 (diary-make-entry):
19605 * comint.el (send-invisible, comint-dynamic-complete-filename)
19606 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
19607 * completion.el (complete):
19608 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
19609 * disp-table.el (describe-current-display-table):
19610 * doc-view.el (doc-view-insert-image):
19611 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
19612 * ehelp.el (with-electric-help):
19613 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
19614 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
19615 * emacs-lisp/helper.el (Helper-help-scroller):
19616 * emulation/cua-base.el (cua--post-command-handler-1):
19617 * eshell/esh-mode.el (eshell-output-filter):
19618 * ffap.el (ffap-gnus-wrapper):
19619 * help-macro.el (make-help-screen):
19620 * hilit-chg.el (highlight-compare-buffers):
19621 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
19622 * hl-line.el (global-hl-line-highlight):
19623 * icomplete.el (icomplete-simple-completing-p):
19624 * isearch.el (isearch-done):
19625 * jit-lock.el (jit-lock-stealth-fontify):
19626 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
19627 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
19628 * mpc.el (mpc-tagbrowser, mpc):
19629 * net/rcirc.el (rcirc-any-buffer):
19630 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
19631 * play/landmark.el (landmark-max-width, landmark-max-height):
19632 * play/zone.el (zone):
19633 * progmodes/compile.el (compilation-goto-locus):
19634 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
19635 * progmodes/etags.el (find-tag-other-window):
19636 * progmodes/fortran.el (fortran-column-ruler):
19637 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
19638 * progmodes/verilog-mode.el (verilog-point-text):
19639 * reposition.el (reposition-window):
19640 * rot13.el (toggle-rot13-mode):
19641 * server.el (server-switch-buffer):
19642 * shell.el (shell-dynamic-complete-command)
19643 (shell-dynamic-complete-environment-variable):
19644 * simple.el (insert-buffer, set-selective-display)
19645 (delete-completion-window):
19646 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
19647 (speedbar-recenter):
19648 * startup.el (fancy-splash-head):
19649 * textmodes/ispell.el (ispell-command-loop):
19650 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
19651 * tutorial.el (help-with-tutorial):
19652 * vc/add-log.el (add-change-log-entry):
19653 * vc/compare-w.el (compare-windows):
19654 * vc/ediff-help.el (ediff-indent-help-message):
19655 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
19656 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
19657 (ediff-setup-control-frame):
19658 * vc/emerge.el (emerge-position-region):
19659 * vc/pcvs-util.el (cvs-bury-buffer):
19660 * window.el (walk-windows, mouse-autoselect-window-select):
19661 * winner.el (winner-set-conf, winner-undo): Related users changed.
19663 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
19665 * frameset.el (frameset--set-id): Doc fix.
19666 (frameset-frame-id, frameset-frame-id-equal-p)
19667 (frameset-locate-frame-id): New functions.
19668 (frameset--process-minibuffer-frames, frameset--reuse-frame)
19669 (frameset-restore): Use them.
19671 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
19673 Do not call to `selected-frame' where it is assumed by default.
19674 Affected functions are `raise-frame', `redraw-frame',
19675 `frame-first-window', `frame-terminal' and `delete-frame'.
19676 * calendar/appt.el (appt-disp-window):
19677 * epg.el (epg-wait-for-completion):
19678 * follow.el (follow-delete-other-windows-and-split)
19679 (follow-avoid-tail-recenter):
19680 * international/mule.el (set-terminal-coding-system):
19681 * mail/rmail.el (rmail-mail-return):
19682 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
19683 * progmodes/f90.el (f90-add-imenu-menu):
19684 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
19685 * server.el (server-switch-buffer):
19686 * simple.el (delete-completion-window):
19688 * term/xterm.el (terminal-init-xterm-modify-other-keys)
19689 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
19690 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
19691 * vc/ediff.el (ediff-documentation): Related users changed.
19692 * frame.el (selected-terminal): Remove the leftover.
19694 2013-08-05 Glenn Morris <rgm@gnu.org>
19696 * calendar/calendar.el (calendar-generate-month):
19697 Fix for calendar-column-width != 1 + calendar-day-digit-width.
19698 (calendar-generate-month, calendar-font-lock-keywords):
19699 Fix for calendar-day-header-width > length of any day name.
19701 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
19703 * desktop.el (desktop-clear): Use new name of sort predicate.
19705 * frameset.el (frameset): Add docstring. Move :version property to its
19706 own `version' slot.
19707 (frameset-copy): Rename from copy-frameset.
19708 (frameset-p): Check more thoroughly.
19709 (frameset-prop): Do not check for :version, which is no longer a prop.
19710 (frameset-live-filter-alist, frameset-persistent-filter-alist):
19711 Use new :never value instead of t.
19712 (frameset-filter-alist): Expand and clarify docstring.
19713 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
19714 (frameset-filter-minibuffer, frameset-filter-save-param)
19715 (frameset-filter-restore-param, frameset-filter-iconified):
19716 Add pointer to docstring of frameset-filter-alist.
19717 (frameset-filter-params): Rename filter values to be more meaningful:
19718 :never instead of t, and reverse the meanings of :save and :restore.
19719 (frameset--process-minibuffer-frames): Clarify error message.
19720 (frameset-save): Avoid unnecessary and confusing call to framep.
19721 Use new BOA constructor for framesets.
19722 (frameset--reuse-list): Doc fix.
19723 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
19724 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
19725 (frameset-minibufferless-first-p): Doc fix.
19726 Rename from frameset-sort-frames-for-deletion.
19727 (frameset-restore): Doc fixes. Use new function names.
19728 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
19730 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19732 * desktop.el (desktop-restore-forces-onscreen)
19733 (desktop-restore-reuses-frames): Document :keyword constant values.
19734 (desktop-filter-parameters-alist): Remove, now identical to
19735 frameset-filter-alist.
19736 (desktop--filter-tty*): Remove, moved to frameset.el.
19737 (desktop-save-frameset, desktop-restore-frameset):
19738 Do not pass :filters argument.
19740 * frameset.el (frameset-live-filter-alist)
19741 (frameset-persistent-filter-alist): New variables.
19742 (frameset-filter-alist): Use them. Add autoload cookie.
19743 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
19744 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
19745 `frameset--id' (it's supposed to be internal to frameset.el).
19746 (frameset--process-minibuffer-frames): Ditto. Doc fix.
19747 (frameset--initial-params): New function.
19748 (frameset--get-frame): Use it. Doc fix.
19749 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
19750 Accept :all, not 'all.
19751 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
19752 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
19753 with fbound symbols. Fix frame id matching, and remove matching ids if
19754 the frame being restored is deleted. Obey :delete.
19756 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19758 * subr.el (macrop): New function.
19759 (text-clone--maintaining): New var.
19760 (text-clone--maintain): Rename from text-clone-maintain. Use it
19761 instead of inhibit-modification-hooks.
19763 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
19764 a proxy, so as handle autoloads and redefinitions of the target.
19765 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
19767 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
19768 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
19769 (pcase--mutually-exclusive-p): New function.
19770 (pcase--split-consp): Use it.
19771 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
19772 mutually exclusive with the current predicate.
19774 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
19775 (edebug-macrop): Remove. Use `macrop' instead.
19776 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
19778 * eshell/esh-cmd.el (eshell-macrop):
19779 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
19781 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19783 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
19784 (advice-mapc): New function, using it.
19785 (advice-function-member-p): New function.
19786 (advice--normalize): Store the cdr in advice--saved-rewrite since
19787 that's the part that will be changed.
19788 (advice--symbol-function): New function.
19789 (advice-remove): Handle removal before the function is defined.
19790 Adjust to new advice--saved-rewrite.
19791 (advice-member-p): Use advice-function-member-p and
19792 advice--symbol-function.
19794 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19796 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
19797 (frameset-filter-minibuffer): Doc fix.
19798 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
19799 (frameset--set-id, frameset--process-minibuffer-frames)
19800 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
19801 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
19803 * desktop.el (desktop-clear): Only delete frames when called
19804 interactively and desktop-restore-frames is non-nil. Doc fix.
19805 (desktop-read): Set desktop-saved-frameset to nil.
19807 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
19809 * vc/vc.el (vc-ignore): Rewrite.
19810 (vc-default-ignore-completion-table, vc--read-lines)
19811 (vc--add-line, vc--remove-regexp): New functions.
19813 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
19814 (vc-svn-ignore-completion-table): New function.
19816 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
19817 (vc-hg-ignore-completion-table)
19818 (vc-hg-find-ignore-file): New functions.
19820 * vc/vc-git.el (vc-git-ignore): Rewrite.
19821 (vc-git-ignore-completion-table)
19822 (vc-git-find-ignore-file): New functions.
19824 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
19826 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
19827 (vc-bzr-ignore-completion-table)
19828 (vc-bzr-find-ignore-file): New functions.
19830 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
19832 * frameset.el (frameset-prop): New function and setter.
19833 (frameset-save): Do not modify frame list passed by the caller.
19835 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
19837 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
19839 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
19841 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
19842 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
19844 * custom.el (custom-initialize-default, custom-initialize-set)
19845 (custom-initialize-reset, custom-initialize-changed): Affect the
19846 toplevel-default-value (bug#6275, bug#14586).
19847 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
19850 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
19852 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
19853 Add cl-def* expressions.
19855 * frameset.el (frameset-filter-params): Fix order of arguments.
19857 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
19859 Move code related to saving frames to frameset.el.
19860 * desktop.el: Require frameset.
19861 (desktop-restore-frames): Doc fix.
19862 (desktop-restore-reuses-frames): Rename from
19863 desktop-restoring-reuses-frames.
19864 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
19865 (desktop-clear): Clear frames too.
19866 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
19867 (desktop--filter-tty*, desktop-save, desktop-read):
19868 Use frameset functions.
19869 (desktop-before-saving-frames-functions, desktop--filter-*-color)
19870 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
19871 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
19872 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
19873 (desktop--process-minibuffer-frames, desktop-save-frames)
19874 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
19875 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
19876 (desktop--sort-states, desktop-restoring-frames-p)
19877 (desktop-restore-frames): Remove. Most code moved to frameset.el.
19878 (desktop-restoring-frameset-p, desktop-restore-frameset)
19879 (desktop--check-dont-save, desktop-save-frameset): New functions.
19880 (desktop--app-id): New constant.
19881 (desktop-first-buffer, desktop-buffer-ok-count)
19882 (desktop-buffer-fail-count): Move before first use.
19883 * frameset.el: New file.
19885 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
19887 * files.el: Use lexical-binding.
19888 (dir-locals-read-from-file): Remove unused `err' variable.
19889 (hack-dir-local-variables--warned-coding): New var.
19890 (hack-dir-local-variables): Use it to avoid repeated warnings.
19891 (make-backup-file-name--default-function): New function.
19892 (make-backup-file-name-function): Use it as default.
19893 (buffer-stale--default-function): New function.
19894 (buffer-stale-function): Use it as default.
19895 (revert-buffer-insert-file-contents--default-function): New function.
19896 (revert-buffer-insert-file-contents-function): Use it as default.
19897 (insert-directory): Avoid add-to-list.
19899 * autorevert.el (auto-revert-handler): Simplify.
19900 Use buffer-stale--default-function.
19902 2013-08-01 Tassilo Horn <tsdh@gnu.org>
19904 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
19906 * whitespace.el (whitespace-ensure-local-variables): New function.
19907 (whitespace-cleanup-region): Call it.
19908 (whitespace-turn-on): Call it.
19910 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
19912 Complete file name handlers.
19914 * net/tramp.el (tramp-handle-set-visited-file-modtime)
19915 (tramp-handle-verify-visited-file-modtime)
19916 (tramp-handle-file-notify-rm-watch): New functions.
19917 (tramp-call-process): Do not bind `default-directory'.
19919 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19920 Order alphabetically.
19921 <access-file, add-name-to-file, dired-call-process>:
19922 <dired-compress-file, file-acl, file-notify-rm-watch>:
19923 <file-ownership-preserved-p, file-selinux-context>:
19924 <make-directory-internal, make-symbolic-link, set-file-acl>:
19925 <set-file-selinux-context, set-visited-file-modtime>:
19926 <verify-visited-file-modtime>: Add handler.
19927 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
19929 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
19930 <file-notify-add-watch, file-notify-rm-watch>:
19931 <set-file-times, set-visited-file-modtime>:
19932 <verify-visited-file-modtime>: Add handler.
19933 (with-tramp-gvfs-error-message)
19934 (tramp-gvfs-handle-set-visited-file-modtime)
19935 (tramp-gvfs-fuse-file-name): Remove.
19936 (tramp-gvfs-handle-file-notify-add-watch)
19937 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
19938 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
19940 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
19941 Order alphabetically.
19942 <file-notify-rm-watch>: Use default Tramp handler.
19943 <executable-find>: Remove private handler.
19944 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
19945 `default-directory'.
19946 (tramp-sh-handle-executable-find)
19947 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
19948 (tramp-sh-file-gvfs-monitor-dir-process-filter)
19949 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
19950 Do not use `format' in `tramp-message'.
19952 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
19953 <file-notify-rm-watch, set-visited-file-modtime>:
19954 <verify-visited-file-modtime>: Add handler.
19955 (tramp-smb-call-winexe): Do not bind `default-directory'.
19957 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
19959 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
19961 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
19963 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
19965 (log-view-diff-changeset): Same.
19966 (log-view-diff-common): Call backend command `previous-revision'
19967 to find out the previous revision, in both cases. Swap the
19968 variables `to' and `fr', so that `fr' usually refers to the
19969 earlier revision (Bug#14989).
19971 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
19973 * ibuf-ext.el (ibuffer-filter-by-filename):
19974 Make it work with dired buffers too.
19976 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
19978 * emacs-lisp/re-builder.el (reb-color-display-p):
19979 * files.el (save-buffers-kill-terminal):
19980 * net/browse-url.el (browse-url):
19981 * server.el (server-save-buffers-kill-terminal):
19982 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
19983 Prefer nil to selected-frame for the first arg of frame-parameter.
19985 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
19987 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
19989 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
19991 * minibuffer.el (completion--twq-all): Try and preserve each
19992 completion's case choice (bug#14907).
19994 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
19996 * net/network-stream.el (open-network-stream): Mention the new
19997 :nogreeting parameter.
19998 (network-stream-open-starttls): Use the :nogreeting parameter
20001 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
20003 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
20004 more natural than popping.
20006 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
20007 (shr-urlify): Highlight under mouse.
20009 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
20011 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
20013 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
20015 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
20018 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
20019 point-min==1. Fix search string. Fix parentheses missing.
20021 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
20022 assume point-min==1. Fix search string. Fix parentheses missing.
20024 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
20026 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
20029 2013-07-29 Eli Zaretskii <eliz@gnu.org>
20031 * frame.el (frame-notice-user-settings): Avoid inflooping when the
20032 initial frame is minibuffer-less. (Bug#14841)
20034 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
20036 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
20039 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
20040 (tramp-maybe-open-connection): Use it.
20042 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
20044 * desktop.el (desktop--make-frame): Include `minibuffer' in the
20045 minimal set of parameters passed when creating a frame, because
20046 the minibuffer status of a frame cannot be changed later.
20048 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
20050 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
20051 replace-regexp-in-string and inadvertent omissions in previous change.
20052 (todo-filter-items): Ensure only file names are comma-separated in
20053 name of filtered items buffer.
20055 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
20057 * desktop.el: Optionally force offscreen frames back onscreen.
20058 (desktop-restoring-reuses-frames): New option.
20059 (desktop--compute-pos, desktop--move-onscreen): New functions.
20060 (desktop--make-frame): Use desktop--move-onscreen.
20062 2013-07-27 Alan Mackenzie <acm@muc.de>
20064 Fontify a Java generic method as a function.
20065 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
20068 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
20070 * calendar/todo-mode.el: Add command to rename todo files.
20071 (todo-rename-file): New command.
20072 (todo-key-bindings-t): Add key binding for it. Change the
20073 bindings of todo-filter-regexp-items(-multifile) to use `x'
20074 instead of `r', since the latter is better suited to the new
20077 2013-07-27 Alan Mackenzie <acm@muc.de>
20079 Make Java try-with-resources statement parse properly.
20080 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
20081 (c-block-stmt-1-2-key): New language constants/variables.
20082 * progmodes/cc-engine.el (c-beginning-of-statement-1)
20083 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
20084 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
20085 with c-block-stmt-1-2-key.
20087 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
20089 * desktop.el (desktop--make-frame): Apply most frame parameters after
20090 creating the frame to force (partially or totally) offscreen frames to
20091 be restored as such.
20093 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
20095 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
20098 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
20100 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
20101 `base' arg of backtrace-frame.
20103 2013-07-26 Eli Zaretskii <eliz@gnu.org>
20105 * simple.el (list-processes): Doc fix.
20107 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
20109 * desktop.el (desktop--select-frame):
20110 Try harder to reuse existing frames.
20112 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
20114 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
20115 (edebug-eval): Use backtrace-eval.
20116 (edebug--display, edebug--recursive-edit): Don't let-bind the
20117 edebug-outer-* vars that keep track of variables we locally let-bind.
20118 (edebug-outside-excursion): Don't restore outside values of locally
20120 (edebug--display): Use user-error.
20121 (cl-lexical-debug, cl-debug-env): Remove.
20123 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
20125 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
20126 are restored to be sure that they are visible before deleting any
20129 2013-07-26 Matthias Meulien <orontee@gmail.com>
20131 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
20132 vc-print-root-log. (Bug#14948)
20134 2013-07-26 Richard Stallman <rms@gnu.org>
20136 Add aliases for encrypting mail.
20137 * epa.el (epa-mail-aliases): New option.
20138 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
20139 Bind inhibit-read-only so read-only text doesn't ruin everything.
20140 (epa-mail-default-recipients): New subroutine broken out.
20141 Handle epa-mail-aliases.
20143 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
20145 Add support for lexical variables to the debugger's `e' command.
20146 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
20147 vars, except for debugger-outer-match-data.
20148 (debugger-frame-number): Move check for "on a function call" from
20149 callers into it. Add `skip-base' argument.
20150 (debugger-frame, debugger-frame-clear): Simplify accordingly.
20151 (debugger-env-macro): Only reset the state stored in non-variables,
20152 i.e. current-buffer and match-data.
20153 (debugger-eval-expression): Rewrite using backtrace-eval.
20154 * subr.el (internal--called-interactively-p--get-frame): Remove.
20155 (called-interactively-p):
20156 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
20157 `base' arg of backtrace-frame instead.
20159 2013-07-26 Glenn Morris <rgm@gnu.org>
20161 * align.el (align-regexp): Doc fix. (Bug#14857)
20162 (align-region): Explicit error if subexpression missing/does not match.
20164 * simple.el (global-visual-line-mode):
20165 Do not duplicate the mode lighter. (Bug#14858)
20167 2013-07-25 Martin Rudalics <rudalics@gmx.at>
20169 * window.el (display-buffer): In display-buffer bind
20170 split-window-keep-point to t, bug#14829.
20172 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
20174 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
20175 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
20176 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
20177 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
20178 Change accordingly.
20179 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
20180 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
20182 2013-07-25 Glenn Morris <rgm@gnu.org>
20184 * dired-x.el (dired-mark-extension): Convert comment to doc string.
20186 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
20188 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
20189 parameter to modify-frame-parameters if the value has not changed;
20190 this is a workaround for bug#14949.
20191 (desktop--make-frame): On cl-delete-if call, check parameter name,
20192 not full parameter.
20194 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
20196 * vc/vc.el (vc-ignore): New function.
20198 * vc/vc-svn.el (vc-svn-ignore): New function.
20200 * vc/vc-hg.el (vc-hg-ignore): New function.
20202 * vc/vc-git.el (vc-git-ignore): New function.
20204 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
20205 (vc-dir-ignore): New function.
20207 * vc/vc-cvs.el (vc-cvs-ignore): New function.
20208 (cvs-append-to-ignore): Move here from pcvs.el.
20210 * vc/vc-bzr.el (vc-bzr-ignore): New function.
20212 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
20214 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
20216 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
20217 (desktop-restore-frames): Warn when deleting an existing frame failed.
20219 2013-07-24 Glenn Morris <rgm@gnu.org>
20221 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
20223 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
20225 * filenotify.el (file-notify-supported-p):
20226 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
20229 * autorevert.el (auto-revert-use-notify)
20230 (auto-revert-notify-add-watch):
20231 * net/tramp.el (tramp-file-name-for-operation):
20232 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20233 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20234 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
20235 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
20236 Remove `file-notify-supported-p' entry.
20238 2013-07-24 Glenn Morris <rgm@gnu.org>
20240 * printing.el: Replace all uses of deleted ps-windows-system,
20241 ps-lp-system, ps-flatten-list with lpr- versions.
20243 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
20245 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
20246 checked with memq (bug#14935).
20248 * files.el (revert-buffer-function): Use a non-nil default.
20249 (revert-buffer-preserve-modes): Declare var to
20250 provide access to the `preserve-modes' argument.
20251 (revert-buffer): Let-bind it.
20252 (revert-buffer--default): New function, extracted from revert-buffer.
20254 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
20256 * lpr.el: Signal print errors more prominently.
20257 (print-region-function): Don't default to nil.
20258 (lpr-print-region): New function, extracted from print-region-1.
20259 Check lpr's return value and signal an error in case of problem.
20260 (print-region-1): Use it.
20261 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
20263 (ps-printer-name): Default to nil.
20264 (ps-printer-name-option): Default to lpr-printer-switch.
20265 (ps-print-region-function): Don't default to nil.
20266 (ps-postscript-code-directory): Simplify default.
20267 (ps-do-despool): Use lpr-print-region to properly check the outcome.
20268 (ps-string-list, ps-eval-switch, ps-flatten-list)
20269 (ps-flatten-list-1): Remove.
20270 (ps-multibyte-buffer): Avoid setq.
20271 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
20272 (print-region-function, ps-print-region-function): Don't set them here.
20274 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
20276 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
20277 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
20278 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
20279 (ido-decorations): Doc fix.
20281 * ansi-color.el: Fix old URL.
20283 2013-07-23 Michael R. Mauger <michael@mauger.com>
20285 * progmodes/sql.el: Version 3.3
20286 (sql-product-alist): Improve oracle :prompt-cont-regexp.
20287 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
20288 (sql-interactive-remove-continuation-prompt): Rewrite, use
20289 functions above. Fix continuation prompt and complete output line
20291 (sql-redirect-one, sql-execute): Use `read-only-mode' on
20292 redirected output buffer.
20293 (sql-mode): Restore deleted code (Bug#13591).
20295 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
20297 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
20299 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
20301 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
20303 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20304 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20305 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
20307 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
20309 * desktop.el (desktop-clear): Simplify; remove useless checks
20310 against invalid buffer names.
20311 (desktop-list*): Use cl-list*.
20312 (desktop-buffer-info, desktop-create-buffer): Simplify.
20314 2013-07-23 Leo Liu <sdl.web@gmail.com>
20316 * bookmark.el (bookmark-make-record): Restore NAME as a default
20319 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
20321 * emacs-lisp/autoload.el (autoload--setup-output): New function,
20322 extracted from autoload--insert-text.
20323 (autoload--insert-text): Remove.
20324 (autoload--print-cookie-text): New function, extracted from
20325 autoload--insert-cookie-text.
20326 (autoload--insert-cookie-text): Remove.
20327 (autoload-generate-file-autoloads): Adjust calls accordingly.
20329 * winner.el (winner-hook-installed-p): Remove.
20330 (winner-mode): Simplify accordingly.
20332 * subr.el (add-to-list): Fix compiler-macro when `append' is
20333 not constant. Don't use `cl-member' for the base case.
20335 * progmodes/subword.el: Fix boundary case (bug#13758).
20336 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
20338 (subword-backward-regexp): Make it a constant.
20339 (subword-forward-internal): Don't treat a trailing capital as the
20340 beginning of a word.
20342 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
20344 * emacs-lisp/package.el (package-menu-mode): Don't modify the
20345 global value of tabulated-list-revert-hook (bug#14930).
20347 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
20349 * desktop.el: Require 'cl-lib.
20350 (desktop-before-saving-frames-functions): New hook.
20351 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
20352 for frames being saved. Rename from desktop--save-minibuffer-frames.
20353 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
20354 Do not save frames with non-nil `desktop-dont-save' parameter.
20355 Filter out deleted frames.
20356 (desktop--find-frame): Use cl-find-if.
20357 (desktop--select-frame): Use cl-(first|second|third) to access values
20359 (desktop--make-frame): Use cl-delete-if.
20360 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
20361 (desktop-restore-frames): Use cl-(first|second|third) to access values
20362 of desktop-mini. Look for visible frame at the end, not while
20365 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
20366 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
20367 Use string-match-p, looking-at-p (bug#14927).
20369 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
20371 * desktop.el (desktop-saved-frame-states):
20372 Rename from desktop--saved-states; all users changed.
20373 (desktop-save-frames): Rename from desktop--save-frames.
20374 Do not save state to desktop file.
20375 (desktop-save): Save desktop-saved-frame-states to desktop file
20377 (desktop-restoring-frames-p): New function.
20378 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
20379 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
20380 buffer-lists when restoring frames. Suggested by Martin Rudalics.
20382 * desktop.el: Correctly restore iconified frames.
20383 (desktop--filter-iconified-position): New function.
20384 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
20386 2013-07-20 Glenn Morris <rgm@gnu.org>
20388 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
20389 Let `message' do the formatting.
20390 (def-gdb-preempt-display-buffer): Add explicit format.
20392 * image-dired.el (image-dired-track-original-file):
20393 Use with-current-buffer.
20394 (image-dired-track-thumbnail): Use with-current-buffer.
20395 Avoid changing point of wrong window.
20397 * image-dired.el (image-dired-track-original-file):
20398 Avoid changing point of wrong window. (Bug#14909)
20400 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
20402 * progmodes/gdb-mi.el (gdb-done-or-error):
20403 Guard against "%" in gdb output. (Bug#14127)
20405 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
20407 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
20410 * international/mule.el (coding-system-iso-2022-flags): Fix last
20413 2013-07-20 Kenichi Handa <handa@gnu.org>
20415 * international/mule.el (coding-system-iso-2022-flags):
20416 Add `8-bit-level-4'. (Bug#8522)
20418 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
20420 * net/shr.el (shr-mouse-browse-url): New command and keystroke
20423 * net/eww.el (eww-process-text-input): Allow inputting when the
20424 point is at the start of the line, as the properties aren't
20427 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
20430 2013-07-19 Richard Stallman <rms@gnu.org>
20432 * epa.el (epa-popup-info-window): Doc fix.
20434 * subr.el (split-string): New arg TRIM.
20436 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
20438 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
20439 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
20441 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
20443 * filenotify.el (file-notify--library): Rename from
20444 `file-notify-support'. Do not autoload. Adapt all uses.
20445 (file-notify-supported-p): New defun.
20447 * autorevert.el (auto-revert-use-notify):
20448 Use `file-notify-supported-p' instead of `file-notify-support'.
20450 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
20452 * net/tramp.el (tramp-file-name-for-operation):
20453 Add `file-notify-supported-p'.
20455 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
20457 (tramp-sh-file-name-handler-alist): Add it as handler for
20458 `file-notify-supported-p '.
20460 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20461 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20462 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
20463 Add `ignore' as handler for `file-notify-*' functions.
20465 2013-07-17 Eli Zaretskii <eliz@gnu.org>
20467 * simple.el (line-move-partial, line-move): Don't start vscroll or
20468 scroll-up if the current line is not taller than the window.
20471 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
20473 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
20474 highlight question marks in the method names as strings.
20475 (ruby-block-beg-keywords): Inline.
20476 (ruby-font-lock-keyword-beg-re): Extract from
20477 `ruby-font-lock-keywords'.
20479 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
20481 * frame.el (blink-cursor-blinks): New defcustom.
20482 (blink-cursor-blinks-done): New defvar.
20483 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
20484 (blink-cursor-timer-function): Check if number of blinks has been
20486 (blink-cursor-suspend, blink-cursor-check): New defuns.
20488 2013-07-15 Glenn Morris <rgm@gnu.org>
20490 * edmacro.el (edmacro-format-keys): Fix previous change.
20492 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
20494 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
20495 The hack didn't work outside English locales anyway.
20497 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
20499 * simple.el (define-alternatives): Rename from alternatives-define,
20500 per RMS' suggestion.
20502 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
20504 * desktop.el (desktop-restore-frames): Change default to t.
20505 (desktop-restore-in-current-display): Now offer more options.
20506 (desktop-restoring-reuses-frames): New customization option.
20507 (desktop--saved-states): Doc fix.
20508 (desktop-filter-parameters-alist): New variable, renamed and expanded
20509 from desktop--excluded-frame-parameters.
20510 (desktop--target-display): New variable.
20511 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
20512 (desktop--filter-tty*, desktop--filter-*-color)
20513 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
20514 (desktop--filter-save-desktop-parm)
20515 (desktop-restore-in-original-display-p): New functions.
20516 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
20517 (desktop--save-minibuffer-frames): New function, inspired by a similar
20518 function from Martin Rudalics.
20519 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
20520 (desktop--restore-in-this-display-p): Remove.
20521 (desktop--find-frame): Rename from desktop--find-frame-in-display
20522 and add predicate argument.
20523 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
20524 (desktop--reuse-list): New variable.
20525 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
20527 (desktop--restore-frames): Add support for "minibuffer-special" frames.
20529 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
20531 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
20533 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
20535 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
20536 Highlight conversion methods on Kernel.
20538 2013-07-13 Alan Mackenzie <acm@muc.de>
20540 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
20541 and comment it out. This out-commenting enables certain C++
20542 declarations to be parsed correctly.
20544 2013-07-13 Eli Zaretskii <eliz@gnu.org>
20546 * international/mule.el (define-coding-system): Doc fix.
20548 * simple.el (default-font-height): Don't call font-info if the
20549 frame's default font didn't change since the frame was created.
20552 2013-07-13 Leo Liu <sdl.web@gmail.com>
20554 * ido.el (ido-read-file-name): Guard against non-symbol value.
20556 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
20558 * progmodes/python.el (python-imenu--build-tree): Fix corner case
20561 2013-07-13 Leo Liu <sdl.web@gmail.com>
20563 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
20564 ido-set-matches call. (Bug#6852)
20566 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
20568 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
20569 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
20571 (ruby-font-lock-keywords): Distinguish calls to functions with
20572 module-like names from module references. Highlight character
20575 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
20577 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
20578 (gdb-send): Handle continued commands. (Bug#14847)
20580 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
20582 * desktop.el (desktop--v2s): Remove unused local variable.
20583 (desktop-save-buffer): Make defvar-local; adjust docstring.
20584 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
20585 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
20587 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
20589 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
20591 2013-07-12 Eli Zaretskii <eliz@gnu.org>
20593 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
20596 2013-07-12 Glenn Morris <rgm@gnu.org>
20598 * doc-view.el: Require cl-lib at runtime too.
20599 (doc-view-remove-if): Remove.
20600 (doc-view-search-next-match, doc-view-search-previous-match):
20603 * edmacro.el: Require cl-lib at runtime too.
20604 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
20605 (edmacro-mismatch, edmacro-subseq): Remove.
20607 * shadowfile.el: Require cl-lib.
20608 (shadow-remove-if): Remove.
20609 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
20612 * wid-edit.el: Require cl-lib.
20613 (widget-choose): Use cl-remove-if.
20614 (widget-remove-if): Remove.
20616 * progmodes/ebrowse.el: Require cl-lib at runtime too.
20617 (ebrowse-delete-if-not): Remove.
20618 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
20619 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
20620 Use cl-delete-if-not.
20622 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
20624 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
20625 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
20627 2013-07-12 Leo Liu <sdl.web@gmail.com>
20629 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
20631 2013-07-11 Glenn Morris <rgm@gnu.org>
20633 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
20634 (edebug-gensym-index, edebug-gensym):
20635 Remove reimplementation of cl-gensym.
20636 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
20638 * thumbs.el: Require cl-lib at run-time too.
20639 (thumbs-gensym-counter, thumbs-gensym):
20640 Remove reimplementation of cl-gensym.
20641 (thumbs-temp-file): Use cl-gensym.
20643 * emacs-lisp/ert.el: Require cl-lib at runtime too.
20644 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
20645 (ert--intersection, ert--set-difference, ert--set-difference-eq)
20646 (ert--union, ert--gensym-counter, ert--gensym-counter)
20647 (ert--coerce-to-vector, ert--remove*, ert--string-position)
20648 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
20649 (ert-make-test-unbound, ert--expand-should-1)
20650 (ert--expand-should, ert--should-error-handle-error)
20651 (should-error, ert--explain-equal-rec)
20652 (ert--plist-difference-explanation, ert-select-tests)
20653 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
20654 Use cl-lib functions rather than reimplementations.
20656 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
20658 * net/tramp.el (tramp-methods): Extend docstring.
20659 (tramp-connection-timeout): New defcustom.
20660 (tramp-error-with-buffer): Reset timestamp only when appropriate.
20661 (with-tramp-progress-reporter): Simplify.
20662 (tramp-process-actions): Improve messages.
20664 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
20665 * net/tramp-sh.el (tramp-maybe-open-connection):
20666 Use `tramp-connection-timeout'.
20667 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
20670 2013-07-11 Leo Liu <sdl.web@gmail.com>
20672 * ido.el (ido-read-file-name): Conform to the requirements of
20673 read-file-name. (Bug#11861)
20674 (ido-read-directory-name): Conform to the requirements of
20675 read-directory-name.
20677 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
20679 * subr.el (delay-warning): New function.
20681 2013-07-10 Eli Zaretskii <eliz@gnu.org>
20683 * simple.el (default-line-height): New function.
20684 (line-move-partial, line-move): Use it instead of computing the
20685 line height inline.
20686 (line-move-partial): Always compute ROWH. If the last line is
20687 partially-visible, but its text is completely visible, allow
20688 cursor to enter such a partially-visible line.
20690 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
20692 Improve error messages. (Bug#14808)
20694 * net/tramp.el (tramp-current-connection): New defvar, moved from
20696 (tramp-message-show-progress-reporter-message): Remove, not
20698 (tramp-error-with-buffer): Show message in minibuffer.
20699 Discard input before waiting. Reset connection timestamp.
20700 (with-tramp-progress-reporter): Improve messages.
20701 (tramp-process-actions): Use progress reporter. Delete process in
20702 case of error. Improve messages.
20704 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
20705 Call `tramp-error-with-buffer' with vector and buffer.
20706 (tramp-current-connection): Remove.
20707 (tramp-maybe-open-connection): The car of
20708 `tramp-current-connection' are the first 3 slots of the vector.
20710 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
20712 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
20713 inside continued strings.
20715 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
20717 Timestamp fixes for undo (Bug#14824).
20718 * files.el (clear-visited-file-modtime): Move here from fileio.c.
20720 2013-07-10 Leo Liu <sdl.web@gmail.com>
20722 * files.el (require-final-newline): Allow safe local value.
20725 2013-07-09 Leo Liu <sdl.web@gmail.com>
20727 * ido.el (ido-read-directory-name): Handle fallback.
20728 (ido-read-file-name): Update DIR to ido-current-directory.
20730 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
20732 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
20734 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
20735 "autoload". Remove "warn lower camel case" section, previously
20736 commented out. Highlight negation char. Do not highlight the
20737 target in singleton method definitions.
20739 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
20741 * faces.el (tty-setup-hook): Declare the hook.
20743 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
20744 and detect when a guard/pred depends on local vars (bug#14773).
20745 (pcase--u1): Adjust caller.
20747 2013-07-08 Eli Zaretskii <eliz@gnu.org>
20749 * simple.el (line-move-partial, line-move): Account for
20751 (line-move-partial): Avoid setting vscroll when the last
20752 partially-visible line in window is of default height.
20754 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
20756 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
20759 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
20761 * subr.el (read-quoted-char): Remove unused local variable `char'.
20763 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
20765 * vc/ediff.el (ediff-version): Version update.
20766 (ediff-files-command, ediff3-files-command, ediff-merge-command)
20767 (ediff-merge-with-ancestor-command, ediff-directories-command)
20768 (ediff-directories3-command, ediff-merge-directories-command)
20769 (ediff-merge-directories-with-ancestor-command): New functions.
20770 All are command-line interfaces to ediff: to facilitate calling
20771 Emacs with the appropriate ediff functions invoked.
20773 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
20775 (viper-save-kill-buffer): Check if buffer is modified.
20777 * emulation/viper.el (viper-version): Version update.
20778 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
20780 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
20782 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
20783 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
20784 (viper-intercept-ESC-key): Simplify.
20785 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
20787 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
20788 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
20789 (viper-setup-ESC-to-escape): New functions.
20790 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
20791 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
20793 2013-07-07 Eli Zaretskii <eliz@gnu.org>
20795 * simple.el (default-font-height, window-screen-lines):
20797 (line-move, line-move-partial): Use them instead of
20798 frame-char-height and window-text-height. This makes scrolling
20799 text smoother when the buffer's default face uses a font that is
20800 different from the frame's default font.
20802 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
20804 * files.el (write-file): Do not display confirm dialog for NS,
20805 it does its own dialog, which can't be canceled (Bug#14578).
20807 2013-07-06 Eli Zaretskii <eliz@gnu.org>
20809 * simple.el (line-move-partial): Adjust the row returned by
20810 posn-at-point for the current window-vscroll. (Bug#14567)
20812 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
20814 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
20815 (tramp-sh-file-inotifywait-process-filter): Handle file names with
20818 2013-07-06 Martin Rudalics <rudalics@gmx.at>
20820 * window.el (window-state-put-stale-windows): New variable.
20821 (window--state-put-2): Save list of windows without matching buffer.
20822 (window-state-put): Remove "bufferless" windows if possible.
20824 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
20826 * simple.el (alternatives-define): Remove leftover :group keyword.
20829 2013-07-06 Leo Liu <sdl.web@gmail.com>
20831 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
20832 (ido-enable-virtual-buffers): New variable.
20833 (ido-buffer-internal, ido-toggle-virtual-buffers)
20834 (ido-make-buffer-list): Use it.
20835 (ido-exhibit): Support turning on and off virtual buffers
20838 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
20840 * simple.el (alternatives-define): New macro.
20842 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
20844 * subr.el (read-quoted-char): Use read-key.
20845 (sit-for): Let read-event decode tty input (bug#14782).
20847 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
20849 * calendar/todo-mode.el: Add handling of file deletion, both by
20850 mode command and externally. Fix various related bugs.
20851 Clarify Commentary and improve some documentation strings and code.
20852 (todo-delete-file): New command.
20853 (todo-check-file): New function.
20854 (todo-show): Handle external deletion of the file we're trying to
20855 show (bug#14688). Replace called-interactively-p by an optional
20856 prefix argument to avoid problematic interaction with catch form
20857 when byte compiled (bug#14702).
20858 (todo-quit): Handle external deletion of the archive's todo file.
20859 Make sure the buffer that was visiting the archive file is still
20860 live before trying to bury it.
20861 (todo-category-completions): Handle external deletion of any
20862 category completion files.
20863 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
20864 of todo files, in case of external deletion.
20865 (todo-add-file): Replace unnecessary setq by let-binding.
20866 (todo-find-archive): Check whether there are any archives.
20867 Replace unnecessary setq by let-binding.
20868 (todo-archive-done-item): Use find-file-noselect to get the
20869 archive buffer whether or not the archive already exists.
20870 Remove superfluous code. Use file size instead of buffer-file-name to
20871 check if the archive is new; if it is, update list of archives.
20872 (todo-default-todo-file): Allow nil to be a valid value for when
20873 there are no todo files.
20874 (todo-reevaluate-default-file-defcustom): Use corrected definition
20875 of todo-default-todo-file.
20876 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
20877 (todo-delete-category, todo-show-categories-table)
20878 (todo-category-number): Clarify comment.
20879 (todo-filter-items): Clarify documentation string.
20880 (todo-show-current-file, todo-display-as-todo-file)
20881 (todo-reset-and-enable-done-separator): Tweak documentation string.
20882 (todo-done-separator): Make separator length window-width, since
20883 bug#2749 is now fixed.
20885 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
20887 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
20888 Support both "gvfs-monitor-dir" and "inotifywait".
20889 (tramp-sh-file-inotifywait-process-filter): Rename from
20890 `tramp-sh-file-notify-process-filter'.
20891 (tramp-sh-file-gvfs-monitor-dir-process-filter)
20892 (tramp-get-remote-gvfs-monitor-dir): New defuns.
20894 2013-07-05 Leo Liu <sdl.web@gmail.com>
20896 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
20898 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
20900 * frame.el (display-pixel-height, display-pixel-width)
20901 (display-mm-height, display-mm-width): Mention behavior on
20902 multi-monitor setups in docstrings.
20903 (w32-display-monitor-attributes-list): Declare function.
20904 (display-monitor-attributes-list): Use it.
20906 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
20908 * filenotify.el: New package.
20910 * autorevert.el (top): Require filenotify.el.
20911 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
20913 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
20914 (auto-revert-notify-handler): Use `file-notify-*' functions.
20916 * subr.el (file-notify-handle-event): Move function to filenotify.el.
20918 * net/tramp.el (tramp-file-name-for-operation):
20919 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
20921 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
20922 for `file-notify-add-watch' and `file-notify-rm-watch'.
20923 (tramp-process-sentinel): Improve trace.
20924 (tramp-sh-handle-file-notify-add-watch)
20925 (tramp-sh-file-notify-process-filter)
20926 (tramp-sh-handle-file-notify-rm-watch)
20927 (tramp-get-remote-inotifywait): New defuns.
20929 2013-07-03 Juri Linkov <juri@jurta.org>
20931 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
20932 call of `occur-read-primary-args' to interactive spec.
20934 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
20935 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
20937 2013-07-03 Matthias Meulien <orontee@gmail.com>
20939 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
20940 `Buffer-menu-multi-occur'. Add it to the menu.
20941 (Buffer-menu-mode): Document it in docstring.
20942 (Buffer-menu-multi-occur): New command. (Bug#14673)
20944 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
20946 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
20947 keywords and built-ins.
20949 2013-07-03 Glenn Morris <rgm@gnu.org>
20951 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
20953 Make info-xref checks case-sensitive by default
20954 * info.el (Info-find-node, Info-find-in-tag-table)
20955 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
20956 Add option for exact case matching of nodes.
20957 * info-xref.el (info-xref): New custom group.
20958 (info-xref-case-fold): New option.
20959 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
20961 2013-07-03 Leo Liu <sdl.web@gmail.com>
20963 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
20965 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
20967 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
20968 middle of block statement initially, lower the depth. Remove
20969 FIXME comment, not longer valid. Remove middle of block statement
20970 detection, no need to do that anymore since we've been using
20971 `ruby-parse-region' here.
20973 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
20975 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
20977 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
20979 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
20981 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
20983 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
20984 (desktop-restore-in-current-display): New customization option.
20985 (desktop--excluded-frame-parameters): Add `font'.
20986 (desktop--save-frames): Rename from desktop--save-windows.
20987 (desktop--restore-in-this-display-p): New function.
20988 (desktop--make-full-frame): Remove unwanted width/height from
20989 full(width|height) frames.
20990 (desktop--restore-frames): Rename from desktop--restore-windows.
20991 Obey desktop-restore-current-display. Do not delete old frames or
20992 select a new frame unless we were able to restore at least one frame.
20994 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
20996 * files.el (find-file-noselect): Simplify conditional expression.
20998 * textmodes/remember.el (remember-append-to-file):
20999 Don't mix `find-buffer-visiting' and `get-file-buffer'.
21001 Add `remember-notes' function to store random notes across Emacs
21003 * textmodes/remember.el (remember-data-file): Add :set callback to
21004 affect notes buffer (if any).
21005 (remember-notes): New command.
21006 (remember-notes-buffer-name, bury-remember-notes-on-kill):
21007 New defcustoms for the `remember-notes' function.
21008 (remember-notes-save-and-bury-buffer): New command.
21009 (remember-notes-mode-map): New variable.
21010 (remember-mode): New minor mode.
21011 (remember-notes--kill-buffer-query): New function.
21012 * startup.el (initial-buffer-choice): Add notes to custom type.
21014 2013-06-30 Eli Zaretskii <eliz@gnu.org>
21016 * bindings.el (right-char, left-char): Don't call sit-for, this is
21017 no longer needed. Use arithmetic comparison only for numerical
21020 * international/mule-cmds.el (select-safe-coding-system):
21021 Handle the case of FROM being a string correctly. (Bug#14755)
21023 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
21025 * net/shr.el (shr-make-table-1): Add a sanity check that allows
21026 progression on degenerate tables.
21027 (shr-rescale-image): ImageMagick animated images currently don't work.
21029 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
21031 Some fixes and improvements for desktop frame restoration.
21032 It is still experimental and disabled by default.
21033 * desktop.el (desktop--save-windows): Put the selected frame at
21034 the head of the list.
21035 (desktop--make-full-frame): New function.
21036 (desktop--restore-windows): Try to re-select the frame that was
21037 selected upon saving. Do not abort if some frames fail to restore,
21038 just show an error message and continue. Set up maximized frames
21039 so they have default non-maximized dimensions.
21041 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
21043 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
21044 Don't start heredoc inside a string or comment.
21046 2013-06-29 Eli Zaretskii <eliz@gnu.org>
21048 * bindings.el (visual-order-cursor-movement): New defcustom.
21049 (right-char, left-char): Provide visual-order cursor motion by
21050 calling move-point-visually. Update the doc strings.
21052 2013-06-28 Kenichi Handa <handa@gnu.org>
21054 * international/mule.el (define-coding-system): New coding system
21055 properties :inhibit-null-byte-detection,
21056 :inhibit-iso-escape-detection, and :prefer-utf-8.
21057 (set-buffer-file-coding-system): If :charset-list property of
21058 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
21059 appropriate for setting.
21061 * international/mule-cmds.el (select-safe-coding-system):
21062 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
21063 multibyte characters, return utf-8 (or one of its siblings).
21065 * international/mule-conf.el (prefer-utf-8): New coding system.
21066 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
21069 2013-06-28 Ivan Kanis <ivan@kanis.fr>
21071 * net/shr.el (shr-render-region): New function.
21073 * net/eww.el: Autoload `eww-browse-url'.
21075 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
21077 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21078 Adapt to `package-desc-version' being a list.
21079 Use `package--ac-desc-version' to retrieve version from a package
21082 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
21084 New experimental feature to save&restore window and frame setup.
21085 * desktop.el (desktop-save-windows): New defcustom.
21086 (desktop--saved-states): New var.
21087 (desktop--excluded-frame-parameters): New defconst.
21088 (desktop--filter-frame-parms, desktop--find-frame-in-display)
21089 (desktop--restore-windows, desktop--save-windows): New functions.
21090 (desktop-save): Call `desktop--save-windows'.
21091 (desktop-read): Call `desktop--restore-windows'.
21093 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
21095 * net/shr.el (add-face-text-property): Remove compat definition.
21097 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
21099 * info.el (Info-try-follow-nearest-node): Move search for footnote
21100 above search for node name to prevent missing a footnote (bug#14717).
21102 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
21104 * obsolete/otodo-mode.el: Add obsolescence info to file header.
21106 2013-06-27 Leo Liu <sdl.web@gmail.com>
21108 * net/eww.el (eww-read-bookmarks): Check file size.
21110 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
21112 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
21113 advice--pending if newdef is nil or an autoload (bug#13820).
21114 (advice-mapc): New function.
21116 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
21118 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
21120 (eww-mode-map): Add a menu bar.
21121 (eww-add-bookmark): New command.
21122 (eww-bookmark-mode): New mode and commands.
21123 (eww-add-bookmark): Remove newlines from the title.
21124 (eww-bookmark-browse): Don't bug out if it's the only window.
21126 2013-06-26 Glenn Morris <rgm@gnu.org>
21128 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
21129 (hfy-size): Handle ttys. (Bug#14668)
21131 * info-xref.el: Update for Texinfo 5 change in *note format.
21132 (info-xref-node-re, info-xref-note-re): New constants.
21133 (info-xref-check-buffer): Use info-xref-note-re.
21135 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
21137 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
21139 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
21140 nil terminate the loop (bug#14718).
21142 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21144 * net/eww.el: Rework history traversal. When going forward/back,
21145 put these actions into the history, too, so that they can be
21147 (eww-render): Move the history reset to the correct buffer.
21149 2013-06-25 Juri Linkov <juri@jurta.org>
21151 * files-x.el (modify-dir-local-variable): Change the header comment
21152 in the file with directory local variables. (Bug#14692)
21154 * files-x.el (read-file-local-variable-value): Add `default'.
21157 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21159 * net/eww.el (eww-make-unique-file-name): Create a unique file
21160 name before saving to entering `y' accidentally asynchronously.
21162 2013-06-25 Ivan Kanis <ivan@kanis.fr>
21164 * net/eww.el (eww-download): New command and keystroke.
21166 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21168 * net/eww.el (eww-copy-page-url): Change name of command.
21170 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
21171 be more consistent with Info and dired.
21173 * net/eww.el (eww-mode-map): Ditto.
21175 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
21177 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
21178 packages from archives.
21179 (package-archive-contents): Change format; include obsolete packages.
21180 (package-desc): Use `dir' to mark builtin packages.
21181 (package--from-builtin): Set the `dir' field to `builtin'.
21182 (generated-autoload-file, version-control): Declare.
21183 (package-compute-transaction): Change first arg and return value to be
21184 lists of package-descs. Adjust to new package-archive-contents format.
21185 (package--add-to-archive-contents): Adjust to new
21186 package-archive-contents format.
21187 (package-download-transaction): Arg is now a list of package-descs.
21188 (package-install): If `pkg' is a package name, pass it as
21189 a requirement, so it is subject to the usual (e.g. disabled) checks.
21190 (describe-package): Accept package-desc as well.
21191 (describe-package-1): Describe a specific package-desc. Add links to
21192 other package-descs for the same package name.
21193 (package-menu-describe-package): Pass the actual package-desc.
21194 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
21196 (package-desc-status): New function.
21197 (package-menu--refresh): New function, extracted
21198 from package-menu--generate.
21199 (package-menu--generate): Use it.
21200 (package-delete): Update package-alist.
21201 (package-menu-execute): Don't call package-initialize.
21203 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
21204 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
21205 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
21206 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
21207 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
21208 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
21210 2013-06-25 Martin Rudalics <rudalics@gmx.at>
21212 * window.el (window--state-get-1): Workaround for bug#14527.
21213 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
21215 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21217 * net/eww.el (eww-back-url): Implement the history by stashing all
21218 the data into a list.
21219 (eww-forward-url): Allow going forward in the history, too.
21221 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
21223 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
21224 for values and use read--expression for expressions (bug#14710).
21225 (read-file-local-variable): Avoid setq.
21226 (read-file-local-variable-mode): Use minor-mode-list.
21228 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21230 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
21233 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21235 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
21236 Update imenu-support when dialect changes.
21238 2013-06-25 Leo Liu <sdl.web@gmail.com>
21240 * ido.el (ido-read-internal): Allow forward slash on windows.
21242 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
21244 * net/eww.el (eww): Start of strings is \\`, not ^.
21246 2013-06-24 Ivan Kanis <ivan@kanis.fr>
21248 * net/shr.el (shr-browse-url): Fix interactive spec.
21250 * net/eww.el (eww): Add a trailing slash to domain names.
21252 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
21254 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
21256 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
21258 * net/shr.el (shr-browse-url): Use an external browser if given a
21261 * net/eww.el (eww-external-browser): Move to shr.
21263 2013-06-24 Ivan Kanis <ivan@kanis.fr>
21265 * net/eww.el (eww): Work more correctly for file: URLs.
21266 (eww-detect-charset): Allow quoted charsets.
21267 (eww-yank-page-url): New command and keystroke.
21269 2013-06-24 Daiki Ueno <ueno@gnu.org>
21271 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
21272 file name of gpg executable.
21273 (epg-context-program): New function.
21274 (epg-context-home-directory): New function.
21275 (epg-context-set-program): New function.
21276 (epg-context-set-home-directory): New function.
21277 (epg--start): Use `epg-context-program' instead of
21279 (epg--list-keys-1): Likewise.
21281 2013-06-24 Leo Liu <sdl.web@gmail.com>
21283 * ido.el (ido-read-internal): Fix bug#14620.
21285 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
21287 * faces.el (face-documentation): Simplify.
21288 (read-face-attribute, tty-find-type, x-resolve-font-name):
21289 Use `string-match-p'.
21290 (list-faces-display): Use `string-match-p'. Simplify.
21291 (face-spec-recalc): Check face to avoid face alias loops.
21292 (read-color): Use `string-match-p' and non-capturing parenthesis.
21294 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
21296 * net/shr.el (shr-rescale-image): Use the new
21297 :max-width/:max-height functionality.
21299 2013-06-23 Ivan Kanis <ivan@kanis.fr>
21301 * net/eww.el (eww-search-prefix): New variable.
21303 (eww-external-browser): New variable.
21304 (eww-mode-map): New keystroke.
21305 (eww-browse-with-external-browser): New command.
21307 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
21309 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
21311 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
21312 Don't skip aligning the next header field when padding is 0;
21313 otherwise, field width is not respected unless the title is as
21316 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
21318 * emacs-lisp/package.el (package-el-version): Remove.
21319 (package-process-define-package): Fix inf-loop.
21320 (package-install): Allow symbols as arguments again.
21322 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
21324 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
21325 add some more keyword-like methods.
21326 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
21328 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
21330 * bs.el (bs-buffer-show-mark): Make defvar-local.
21331 (bs-mode): Use setq-local.
21333 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
21334 (emacs-lock--try-unlocking): Make defvar-local.
21336 2013-06-22 Glenn Morris <rgm@gnu.org>
21338 * play/cookie1.el (cookie-apropos): Minor simplification.
21340 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
21342 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
21344 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
21345 `regexp-opt', it breaks the build during dumping.
21347 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
21349 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
21350 Highlight keyword-like methods on Kernel and Module with
21351 font-lock-builtin-face.
21352 (auto-mode-alist): Consolidate different entries into one regexp
21353 and add more *file-s.
21355 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
21357 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
21359 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
21360 (diary-entry): Use it in the action of this button type instead of
21363 * calendar/todo-mode.el: New version.
21364 (todo-add-category): Append new category to end of file and give
21365 it the highest number, instead of putting it at the beginning and
21366 giving it 0. Incorporate noninteractive functionality.
21367 (todo-forward-category): Adapt to 1-based category numbering.
21368 Allow skipping over archived categories.
21369 (todo-backward-category): Derive from todo-forward-category.
21370 (todo-backward-item, todo-forward-item): Make noninteractive and
21371 delegate interactive part to new commands. Make sensitive to done items.
21372 (todo-categories): Make value an alist of category names and
21373 vectors of item counts.
21374 (todo-category-beg): Make a defconst.
21375 (todo-category-number): Use 1 instead of 0 as initial value.
21376 (todo-category-select): Make sensitive to overlays, optional item
21377 highlighting and done items.
21378 (todo-delete-item): Make sensitive to overlays and marked and done items.
21379 (todo-edit-item): Make sensitive to overlays and editing of
21380 date/time header optional. Add format checks.
21381 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
21382 no-op if point is not on an item. Advertise using todo-edit-quit.
21383 (todo-edit-mode): Make sensitive to new format, font-locking, and
21384 multiple todo files.
21385 (todo-insert-item, todo-insert-item-here): Derive from
21386 todo-basic-insert-item and extend functionality.
21387 (todo-item-end, todo-item-start): Make sensitive to done items.
21388 (todo-item-string): Don't return text properties. Restore point.
21389 (todo-jump-to-category): Make sensitive to multiple todo files and
21390 todo archives. Use extended category completion.
21391 (todo-lower-item, todo-raise-item): Rename to *-priority and
21392 derive from todo-set-item-priority.
21393 (todo-mode): Derive from special-mode. Make sensitive to new
21394 format, font-locking and multiple todo files. Make read-only.
21395 (todo-mode-map): Don't suppress digit keys, so they can supply
21396 prefix arguments. Add many new key bindings.
21397 (todo-prefix): Insert as an overlay instead of file text.
21398 Change semantics from diary date expression to purely visual mark.
21399 (todo-print): Rename to todo-print-buffer. Make buffer display
21400 features printable. Remove option to restrict number of items
21401 printed. Add option to print to file.
21402 (todo-print-function): Rename to todo-print-buffer-function.
21403 (todo-quit): Extend to handle exiting new todo modes.
21404 (todo-remove-item): Make sensitive to overlays.
21405 (todo-save): Extend to buffers of filtered items.
21406 (todo-show): Make sensitive to done items, multiple todo files and
21407 new todo modes. Offer to convert legacy todo file before creating
21408 first new todo file.
21409 (todo-show-priorities): Rename to todo-top-priorities.
21410 Change semantics of value 0.
21411 (todo-top-priorities): Rename to todo-filter-top-priorities,
21412 derive from todo-filter-items and extend functionality.
21413 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
21414 and extend functionality to other types of filtered items.
21415 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
21416 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
21417 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
21418 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
21419 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
21420 (todo-edit-mode-hook, todo-entry-prefix-function)
21421 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
21422 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
21423 (todo-initials, todo-insert-threshold, todo-item-string-start)
21424 (todo-line-string, todo-menu, todo-mode-hook)
21425 (todo-more-important-p, todo-previous-answer, todo-previous-line)
21426 (todo-print-priorities, todo-remove-separator)
21427 (todo-save-top-priorities-too, todo-string-count-lines)
21428 (todo-string-multiline-p, todo-time-string-format)
21429 (todo-tmp-buffer-name): Remove.
21430 (todo-add-file, todo-archive-done-item, todo-choose-archive)
21431 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
21432 (todo-edit-category-diary-inclusion)
21433 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
21434 (todo-edit-file, todo-edit-item-date-day)
21435 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
21436 (todo-edit-item-date-month, todo-edit-item-date-to-today)
21437 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
21438 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
21439 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
21440 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
21441 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
21442 (todo-filter-top-priorities-multifile, todo-find-archive)
21443 (todo-find-filtered-items-file, todo-go-to-source-item)
21444 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
21445 (todo-jump-to-archive-category, todo-lower-category)
21446 (todo-mark-category, todo-marked-item-p, todo-merge-category)
21447 (todo-move-category, todo-move-item, todo-next-button)
21448 (todo-next-item, todo-padded-string, todo-powerset)
21449 (todo-previous-button, todo-previous-item)
21450 (todo-print-buffer-to-file, todo-raise-category)
21451 (todo-rename-category, todo-repair-categories-sexp, todo-search)
21452 (todo-set-category-number, todo-set-item-priority)
21453 (todo-set-top-priorities-in-category)
21454 (todo-set-top-priorities-in-file, todo-show-categories-table)
21455 (todo-sort-categories-alphabetically-or-numerically)
21456 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
21457 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
21458 (todo-toggle-item-header, todo-toggle-item-highlighting)
21459 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
21460 (todo-toggle-view-done-items, todo-toggle-view-done-only)
21461 (todo-unarchive-items, todo-unmark-category): New commands.
21462 (todo-absolute-file-name, todo-add-to-buffer-list)
21463 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
21464 (todo-basic-insert-item, todo-category-completions)
21465 (todo-category-number, todo-category-string-matcher-1)
21466 (todo-category-string-matcher-2, todo-check-filtered-items-file)
21467 (todo-check-format, todo-clear-matches)
21468 (todo-comment-string-matcher, todo-convert-legacy-date-time)
21469 (todo-current-category, todo-date-string-matcher)
21470 (todo-define-insertion-command, todo-diary-expired-matcher)
21471 (todo-diary-goto-entry, todo-diary-item-p)
21472 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
21473 (todo-display-categories, todo-display-sorted, todo-done-item-p)
21474 (todo-done-item-section-p, todo-done-separator)
21475 (todo-done-string-matcher, todo-files, todo-filter-items)
21476 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
21477 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
21478 (todo-insert-category-line, todo-insert-item-from-calendar)
21479 (todo-insert-sort-button, todo-insert-with-overlays)
21480 (todo-insertion-command-name, todo-insertion-key-bindings)
21481 (todo-label-to-key, todo-longest-category-name-length)
21482 (todo-make-categories-list, todo-mode-external-set)
21483 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
21484 (todo-modes-set-3, todo-multiple-filter-files)
21485 (todo-nondiary-marker-matcher, todo-prefix-overlays)
21486 (todo-read-category, todo-read-date, todo-read-dayname)
21487 (todo-read-file-name, todo-read-time)
21488 (todo-reevaluate-category-completions-files-defcustom)
21489 (todo-reevaluate-default-file-defcustom)
21490 (todo-reevaluate-filelist-defcustoms)
21491 (todo-reevaluate-filter-files-defcustom)
21492 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
21493 (todo-reset-done-separator, todo-reset-done-separator-string)
21494 (todo-reset-done-string, todo-reset-global-current-todo-file)
21495 (todo-reset-highlight-item, todo-reset-nondiary-marker)
21496 (todo-reset-prefix, todo-set-categories)
21497 (todo-set-date-from-calendar, todo-set-show-current-file)
21498 (todo-set-top-priorities, todo-short-file-name)
21499 (todo-show-current-file, todo-sort, todo-time-string-matcher)
21500 (todo-total-item-counts, todo-update-buffer-list)
21501 (todo-update-categories-display, todo-update-categories-sexp)
21502 (todo-update-count, todo-validate-name, todo-y-or-n-p):
21504 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
21506 (todo-categories, todo-display, todo-edit, todo-faces)
21507 (todo-filtered): New defgroups.
21508 (todo-archived-only, todo-button, todo-category-string, todo-date)
21509 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
21510 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
21511 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
21512 (todo-add-item-if-new-category, todo-always-add-time-string)
21513 (todo-categories-align, todo-categories-archived-label)
21514 (todo-categories-category-label, todo-categories-diary-label)
21515 (todo-categories-done-label, todo-categories-number-separator)
21516 (todo-categories-todo-label, todo-categories-totals-label)
21517 (todo-category-completions-files, todo-completion-ignore-case)
21518 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
21519 (todo-done-separator-string, todo-done-string)
21520 (todo-files-function, todo-filter-done-items, todo-filter-files)
21521 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
21522 (todo-initial-category, todo-initial-file, todo-item-mark)
21523 (todo-legacy-date-time-regexp, todo-mode-line-function)
21524 (todo-nondiary-marker, todo-number-prefix)
21525 (todo-print-buffer-function, todo-show-current-file)
21526 (todo-show-done-only, todo-show-first, todo-show-with-done)
21527 (todo-skip-archived-categories, todo-top-priorities-overrides)
21528 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
21529 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
21531 (todo-category-done, todo-date-pattern, todo-date-string-start)
21532 (todo-diary-items-buffer, todo-done-string-start)
21533 (todo-filtered-items-buffer, todo-item-start)
21534 (todo-month-abbrev-array, todo-month-name-array)
21535 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
21536 (todo-top-priorities-buffer): New defconsts.
21537 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
21538 (todo-categories-with-marks, todo-category-string-face)
21539 (todo-comment-face, todo-comment-string, todo-current-todo-file)
21540 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
21541 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
21542 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
21543 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
21544 (todo-font-lock-keywords, todo-global-current-todo-file)
21545 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
21546 (todo-insertion-commands-args)
21547 (todo-insertion-commands-args-genlist)
21548 (todo-insertion-commands-names, todo-insertion-map)
21549 (todo-key-bindings-t, todo-key-bindings-t+a)
21550 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
21551 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
21552 (todo-nondiary-face, todo-print-buffer, todo-time-face)
21553 (todo-visited): New variables.
21555 2013-06-21 Glenn Morris <rgm@gnu.org>
21557 * play/cookie1.el (cookie-apropos): Add optional display argument.
21558 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
21559 (psychoanalyze-pinhead): Use cookie-doctor.
21561 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21563 * emacs-lisp/package.el (tar-get-file-descriptor)
21564 (tar--extract): Declare.
21566 2013-06-21 Eduard Wiebe <usenet@pusto.de>
21568 Extend flymake's warning predicate to be a function (bug#14217).
21569 * progmodes/flymake.el (flymake-warning-predicate): New.
21570 (flymake-parse-line): Use it.
21571 (flymake-warning-re): Make obsolete alias to
21572 `flymake-warning-predicate'.
21574 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
21576 * emacs-lisp/package.el (package-alist): Include obsolete packages.
21577 (package-obsolete-list): Remove.
21578 (package-activate): Remove min-version argument. Add `force' argument.
21579 Adjust to new package-alist format.
21580 (package-mark-obsolete): Remove.
21581 (package-unpack): Force reload of the package's autoloads.
21582 (package-installed-p): Check builtins if the installed package is not
21584 (package-initialize): Don't reset package-obsolete-list.
21585 Don't specify which package version to activate.
21586 (package-process-define-package, describe-package-1)
21587 (package-menu--generate): Adjust to new package-alist format.
21589 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21591 * allout-widgets.el (allout-widgets-mode-off)
21592 (allout-widgets-mode-on, allout-widgets-pre-command-business)
21593 (allout-widgets-post-command-business)
21594 (allout-widgets-after-copy-or-kill-function)
21595 (allout-widgets-after-undo-function, allout-test-range-overlaps)
21596 (allout-decorate-item-and-context)
21597 (allout-graphics-modification-handler): Fix typos in docstrings.
21598 (allout-get-or-create-parent-widget): Use `looking-at-p'.
21600 * cmuscheme.el (scheme-start-file): Doc fix.
21601 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
21602 (scheme-input-filter): Use `string-match-p'.
21604 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
21606 * dired-x.el: Use Dired consistently in docstrings.
21608 * dired.el: Use Dired consistently in docstrings.
21609 (dired-readin, dired-mode): Use `setq-local'.
21610 (dired-switches-alist): Make defvar-local.
21611 (dired-buffers-for-dir): Use `zerop'.
21612 (dired-safe-switches-p, dired-switches-escape-p)
21613 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
21614 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
21615 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
21616 (dired-goto-next-nontrivial-file): Use `string-match-p'.
21617 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
21618 (dired-toggle-marks, dired-mark-files-containing-regexp)
21619 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
21620 (dired-flag-auto-save-files, dired-flag-backup-files):
21621 Use `looking-at-p'.
21622 (dired-mark-files-regexp, dired-build-subdir-alist):
21623 Use `string-match-p', `looking-at-p'.
21625 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
21626 (direct-print-region-helper): Use `string-match-p'.
21628 2013-06-21 Leo Liu <sdl.web@gmail.com>
21630 * comint.el (comint-redirect-results-list-from-process):
21633 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
21635 * net/eww.el (eww-update-header-line-format): Quote % characters.
21637 2013-06-21 Glenn Morris <rgm@gnu.org>
21639 * play/cookie1.el (cookie): New custom group.
21640 (cookie-file): New option.
21641 (cookie-check-file): New function.
21642 (cookie): Make it interactive. Make start and end messages optional.
21643 Interactively, display the result. Default to cookie-file.
21644 (cookie-insert): Default to cookie-file.
21645 (cookie-snarf): Make start and end messages optional.
21646 Default to cookie-file. Use with-temp-buffer.
21647 (cookie-read): Rename from read-cookie.
21648 Make start and end messages optional. Default to cookie-file.
21649 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
21650 Do not autoload it.
21651 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
21652 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
21654 2013-06-21 Leo Liu <sdl.web@gmail.com>
21656 * progmodes/octave.el (octave-mode): Backward compatibility fix.
21658 2013-06-21 Glenn Morris <rgm@gnu.org>
21660 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
21662 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
21663 Daniel Hackney <dan@haxney.org>
21665 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
21666 Consolidate the single-file vs tarball code.
21667 (package-desc-suffix): New function.
21668 (package-desc-full-name): Don't bother inlining it.
21669 (package-load-descriptor): Return the new package-desc.
21670 (package-mark-obsolete): Remove unused arg `package'.
21671 (package-unpack): Make it work for single files as well.
21672 Make it update package-alist.
21673 (package--make-autoloads-and-stuff): Rename from
21674 package--make-autoloads-and-compile. Don't compile any more.
21675 (package--compile): New function.
21676 (package-generate-description-file): New function, extracted from
21677 package-unpack-single.
21678 (package-unpack-single): Remove.
21679 (package--with-work-buffer): Add indentation and debugging info.
21680 (package-download-single): Remove.
21681 (package-install-from-archive): Rename from package-download-tar, make
21682 it take a pkg-desc, and make it work for single files as well.
21683 (package-download-transaction): Simplify.
21684 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
21685 external tar program.
21686 (package-install-from-buffer): Remove `pkg-desc' argument.
21687 Use package-tar-file-info for tar-mode buffers.
21688 (package-install-file): Simplify accordingly.
21689 (package-archive-base): Change to take a pkg-desc.
21690 * tar-mode.el (tar--check-descriptor): New function, extracted from
21691 tar-get-descriptor.
21692 (tar-get-descriptor): Use it.
21693 (tar-get-file-descriptor): New function.
21694 (tar--extract): New function, extracted from tar-extract.
21695 (tar--extract): Use it.
21696 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
21697 case the summary uses non-ascii. Adjust to new calling convention of
21698 package-tar-file-info.
21700 2013-06-21 Leo Liu <sdl.web@gmail.com>
21702 * comint.el (comint-redirect-results-list-from-process):
21703 Fix random delay. (Bug#14681)
21705 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21707 * profiler.el (profiler-format-number): Use log, not log10.
21709 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21711 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
21713 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
21715 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
21716 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
21718 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
21719 (AUTOGENEL): ... here.
21720 * emacs-lisp/cl-macs.el (cl--sublis): New function.
21721 (cl--defsubst-expand): Use it.
21723 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
21725 * subr.el (log10): Move here from C code, and declare as obsolete.
21726 All uses of (log10 X) replaced with (log X 10).
21728 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21730 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
21731 Declare with `defvar-local'.
21732 (tabulated-list-use-header-line, tabulated-list-entries)
21733 (tabulated-list-padding, tabulated-list-printer)
21734 (tabulated-list-sort-key): Declare with `defvar-local'.
21735 (tabulated-list-init-header, tabulated-list-print-fake-header):
21738 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
21740 * arc-mode.el (archive-mode): Add `archive-write-file' to
21741 `write-contents-functions' also for remote files. (Bug#14652)
21743 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21745 * cus-edit.el (custom-commands): Fix typos.
21746 (custom-display): Fix tooltip text.
21747 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
21748 Fix typos in docstrings.
21749 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
21750 (custom-unlispify-menu-entry, custom-magic-value-create)
21751 (custom-add-see-also, custom-group-value-create): Use ?\s.
21752 (custom-guess-type, customize-apropos, editable-field)
21753 (custom-face-value-create): Use `string-match-p'.
21754 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
21756 * custom.el (custom-load-symbol): Use `string-match-p'.
21758 * ansi-color.el: Convert to lexical binding.
21759 (ansi-colors): Fix URL.
21760 (ansi-color-context, ansi-color-context-region): Use defvar-local.
21761 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
21762 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
21764 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21766 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
21768 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
21770 2013-06-19 Tom Tromey <tromey@redhat.com>
21772 * net/eww.el (eww-top-url): Remove.
21773 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
21774 (eww-render): Set new variables. Don't set eww-top-url.
21775 (eww-handle-link): Handle "prev", "home", and "contents".
21776 Downcase the rel text.
21777 (eww-top-url): Choose best top URL.
21779 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21781 * net/eww.el: Rewrite to implement form elements "by hand" instead of
21782 relying in widget.el. Using widget.el leads to too many
21783 user interface inconsistencies.
21784 (eww-self-insert): Implement entering commands in text fields.
21785 (eww-process-text-input): New function to make text input field editing
21787 (eww-submit): Rewrite to use the new-style form methods.
21788 (eww-select-display): Display the correct selected item.
21789 (eww-change-select): Implement changing the select value.
21790 (eww-toggle-checkbox): Implement radio/checkboxes.
21791 (eww-update-field): Fix compilation error.
21792 (eww-tag-textarea): Implement <textarea>.
21794 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
21795 we don't shadow mode-specific bindings.
21797 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
21800 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
21802 2013-06-19 Glenn Morris <rgm@gnu.org>
21804 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
21806 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
21808 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
21811 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
21813 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21815 * net/browse-url.el (browse-url-browser-function):
21816 `eww-browse-url' has the right calling signature, `eww' does not.
21818 2013-06-19 Glenn Morris <rgm@gnu.org>
21820 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
21821 Only eval autoloaded macros.
21822 (byte-compile-autoload): Only give the macro warning for macros.
21824 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
21825 (ps-underlined-faces): Declare.
21827 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
21828 (speedbar-add-supported-extension): Declare.
21830 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
21831 Don't include a date stamp in the header of the generated file;
21832 it leads to needless differences between output files.
21834 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
21836 * net/secrets.el (secrets-struct-secret-content-type):
21837 Replace check of introspection data by a test call of "CreateItem".
21838 Some servers do not offer introspection.
21840 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
21842 * electric.el (electric-pair-mode): Improve interaction with
21843 electric-layout-mode.
21844 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
21845 (electric-pair-syntax): Use text-mode-syntax-table in comments
21847 (electric-pair--insert): New function.
21848 (electric-pair-post-self-insert-function): Use it and
21849 electric--after-char-pos.
21851 2013-06-19 Leo Liu <sdl.web@gmail.com>
21853 * progmodes/octave.el (octave-help): Fix regexp.
21855 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
21857 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
21858 (shr-table-horizontal-line): Allow nil as a value, and change the
21860 (shr-insert-table-ruler): Respect the nil value.
21862 2013-06-18 Tom Tromey <tromey@barimba>
21864 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
21866 (eww-open-file): New defun.
21867 (eww-render): Initialize new variables.
21868 (eww-display-html): Handle "link" and "a".
21869 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
21870 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
21871 (eww-back-url): Rename from eww-previous-url.
21872 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
21875 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
21877 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
21878 Distinguish ternary operator tokens from slash symbol and slash
21881 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
21883 Convert symbol prettification into minor mode and global minor mode.
21885 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
21886 `prog-prettify-symbols', and make a local defvar instead of defcustom.
21887 (prettify-symbols--keywords): Rename from
21888 `prog-prettify-symbols-alist' and make a local defvar.
21889 (prettify-symbols--compose-symbol): Rename from
21890 `prog--prettify-font-lock-compose-symbol'.
21891 (prettify-symbols--make-keywords): Rename from
21892 `prog-prettify-font-lock-symbols-keywords' and simplify.
21893 (prog-prettify-install): Remove.
21894 (prettify-symbols-mode): New minor mode, based on
21895 `prog-prettify-install'.
21896 (turn-on-prettify-symbols-mode): New function.
21897 (global-prettify-symbols-mode): New globalized minor mode.
21899 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
21900 * progmodes/cfengine.el (cfengine3-mode):
21901 * progmodes/perl-mode.el (perl-mode): Don't call
21902 `prog-prettify-install'; set `prettify-symbols-alist' instead.
21904 2013-06-18 Juri Linkov <juri@jurta.org>
21906 * files-x.el (modify-file-local-variable-message): New function.
21907 (modify-file-local-variable)
21908 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
21909 and call `modify-file-local-variable-message' when it's non-nil.
21910 (add-file-local-variable, delete-file-local-variable)
21911 (add-file-local-variable-prop-line)
21912 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
21913 and use it. (Bug#9820)
21915 2013-06-18 Juri Linkov <juri@jurta.org>
21917 * emulation/vi.el (vi-shell-op):
21918 * emulation/vip.el (vip-execute-com, ex-command):
21919 * emulation/viper-cmd.el (viper-exec-bang):
21920 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
21921 the call of `shell-command-on-region'. (Bug#14637)
21923 * simple.el (shell-command-on-region): Doc fix.
21925 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
21927 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
21930 2013-06-18 Glenn Morris <rgm@gnu.org>
21932 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
21934 * newcomment.el (comment-search-forward, comment-search-backward):
21935 Doc fix. (Bug#14376)
21937 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
21939 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
21940 (buffer-face-mode-invoke): Doc fix.
21942 2013-06-18 Matthias Meulien <orontee@gmail.com>
21944 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
21945 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
21947 2013-06-18 Glenn Morris <rgm@gnu.org>
21949 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
21950 Replace obsolete function generic-make-keywords with its expansion.
21952 * progmodes/python.el (ffap-alist): Declare.
21954 * textmodes/reftex.el (bibtex-mode-map): Declare.
21956 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
21958 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
21959 (package-unpack, package-unpack-single): Return the pkg-dir.
21960 (package-download-transaction): Use it to update package-alist.
21962 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
21964 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
21967 2013-06-17 Juri Linkov <juri@jurta.org>
21969 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
21971 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
21973 * emacs-lisp/package.el (package-load-descriptor):
21974 Remove `with-syntax-table' call, `read' doesn't need it.
21975 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
21977 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
21979 * startup.el (command-line): Expand package name returned by
21980 `package--description-file' (bug#14639).
21982 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
21984 * emacs-lisp/package.el (package-load-descriptor): Do not call
21985 `emacs-lisp-mode', just use its syntax table.
21987 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
21989 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
21990 `font-lock-extra-managed-props' if any prettifying keyword is added.
21991 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
21992 (prog-mode): Use `setq-local'.
21994 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
21996 * international/characters.el (standard-case-table): Set syntax of ?»
21997 and ?« to punctuation.
21999 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
22001 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
22002 Save relevant match data before calling `syntax-ppss' (bug#14595).
22004 2013-06-15 Juri Linkov <juri@jurta.org>
22006 * files-x.el (modify-file-local-variable-prop-line): Add local
22007 variables to the end of the existing comment on the first line.
22008 Use `file-auto-mode-skip' to skip interpreter magic line,
22009 and also skip XML declaration.
22011 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
22013 * startup.el (package--builtin-versions): New var.
22014 (package-subdirectory-regexp): Remove.
22015 (package--description-file): Hard code its value instead.
22017 * emacs-lisp/package.el: Don't activate packages older than builtin.
22018 (package-obsolete-list): Rename from package-obsolete-alist, and make
22019 it into a simple list of package-desc.
22020 (package-strip-version): Remove.
22021 (package-built-in-p): Use package--builtin-versions.
22022 (package-mark-obsolete): Simplify.
22023 (package-process-define-package): Mark it obsolete if older than the
22025 (package-handle-response): Use line-end-position.
22026 (package-read-archive-contents, package--download-one-archive):
22028 (package--add-to-archive-contents): Skip if older than the builtin or
22030 (package-menu-describe-package): Fix last change.
22031 (package-list-unversioned): New var.
22032 (package-menu--generate): Use it.
22034 * emacs-lisp/autoload.el: Manage package--builtin-versions.
22035 (autoload--insert-text, autoload--insert-cookie-text): New functions.
22036 (autoload-builtin-package-versions): New variable.
22037 (autoload-generate-file-autoloads): Use them.
22038 Remove the list of autoloaded functions/macros from the
22039 (autoload...) comments.
22041 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
22043 2013-06-15 Eli Zaretskii <eliz@gnu.org>
22045 * simple.el (line-move-partial): Don't jump to the next screen
22046 line as soon as it becomes visible. Instead, continue enlarging
22047 the vscroll until the portion of a tall screen line that's left on
22048 display is about the height of the frame's default font.
22051 2013-06-15 Glenn Morris <rgm@gnu.org>
22053 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
22054 compilation-error-regexp-alist void, or local while let-bound.
22056 * progmodes/make-mode.el (makefile-mode-syntax-table):
22057 Treat "=" as punctuation. (Bug#14614)
22059 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
22061 * help-fns.el (describe-variable):
22062 Add extra line for permanent-local variables.
22064 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
22066 * progmodes/scheme.el (scheme-font-lock-keywords-2):
22067 Add export, import, library. (Bug#9164)
22068 (library): Set indent function.
22070 2013-06-14 Glenn Morris <rgm@gnu.org>
22072 * term/xterm.el (xterm--query):
22073 Stop after first matching handler. (Bug#14615)
22075 2013-06-14 Ivan Kanis <ivan@kanis.fr>
22077 Add support for dired in saveplace.
22078 * dired.el (dired-initial-position-hook): New variable.
22079 (dired-initial-position): Call hook to place cursor position.
22080 * saveplace.el (save-place-to-alist): Add dired position.
22081 (save-place-dired-hook): New function.
22083 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
22085 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
22086 through a symbol rather than letrec.
22088 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
22089 (package-desc): Add `dir' field.
22090 (package-desc-full-name): New function.
22091 (package-load-descriptor): Combine the two arguments. Don't use `load'.
22092 (package-maybe-load-descriptor): Remove.
22093 (package-load-all-descriptors): Just call package-load-descriptor.
22094 (package--disabled-p): New function.
22095 (package-desc-vers, package-desc-doc): Remove aliases.
22096 (package--dir): Remove function.
22097 (package-activate): Check if a package is disabled.
22098 (package-process-define-package): New function, extracted from
22100 (define-package): Turn into a place holder.
22101 (package-unpack-single, package-tar-file-info):
22102 Use package--description-file.
22103 (package-compute-transaction): Use package--disabled-p.
22104 (package-download-transaction): Don't call
22105 package-maybe-load-descriptor since they're all loaded anyway.
22106 (package-install): Change argument to be a pkg-desc.
22107 (package-delete): Use a single pkg-desc argument.
22108 (describe-package-1): Use package-desc-dir instead of package--dir.
22109 Use package-desc property instead of package-symbol.
22110 (package-install-button-action): Adjust accordingly.
22111 (package--push): Rewrite.
22112 (package-menu--print-info): Adjust accordingly. Change the ID format
22114 (package-menu-describe-package, package-menu-get-status)
22115 (package-menu--find-upgrades, package-menu-mark-upgrades)
22116 (package-menu-execute, package-menu--name-predicate):
22117 Adjust accordingly.
22118 * startup.el (package--description-file): New function.
22119 (command-line): Use it.
22120 * emacs-lisp/package-x.el (package-upload-buffer-internal):
22121 Use package-desc-version.
22123 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
22124 (byte-compile-preprocess): Use it.
22125 (byte-compile-file-form-defalias): Try a bit harder to use macros we
22126 can't quite recognize.
22127 (byte-compile-add-to-list): Remove.
22128 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
22129 (cconv-closure-convert): Add assertion.
22131 * emacs-lisp/map-ynp.el: Use lexical-binding.
22132 (map-y-or-n-p): Remove unused vars `tail' and `object'.
22133 Factor out some repeated code.
22135 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
22137 * subr.el (with-eval-after-load): New macro.
22138 (eval-after-load): Allow form to be a function.
22139 take advantage of lexical-binding.
22140 (do-after-load-evaluation): Use dolist and adjust to new format.
22141 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
22143 2013-06-13 Juri Linkov <juri@jurta.org>
22145 * replace.el (perform-replace): Display "symbol " and other search
22146 modes from `isearch-message-prefix' in the *Help* buffer.
22148 * isearch.el (isearch-query-replace): Add " symbol" and other
22149 possible search modes from `isearch-message-prefix' to the prompt.
22150 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
22151 when reading a regexp to collect.
22153 2013-06-13 Juri Linkov <juri@jurta.org>
22155 * isearch.el (word-search-regexp): Match whitespace if the search
22156 string begins or ends in whitespace. The LAX arg is applied to
22157 both ends of the search string. Use `regexp-quote' and explicit
22158 \< and \> instead of \b. Use \` and \' instead of ^ and $.
22159 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
22160 boundaries are replaced with symbol boundaries, and characters
22161 between symbols match non-word non-symbol syntax. (Bug#14602)
22163 2013-06-13 Juri Linkov <juri@jurta.org>
22165 * isearch.el (isearch-del-char): Don't exceed the length of
22166 `isearch-string' by the prefix arg. (Bug#14563)
22168 2013-06-13 Juri Linkov <juri@jurta.org>
22170 * isearch.el (isearch-yank-word, isearch-yank-line)
22171 (isearch-char-by-name, isearch-quote-char)
22172 (isearch-printing-char, isearch-process-search-char):
22173 Add optional count prefix arg. (Bug#14563)
22175 * international/isearch-x.el
22176 (isearch-process-search-multibyte-characters):
22177 Add optional count prefix arg.
22179 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
22181 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
22182 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
22185 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
22187 * subr.el (set-temporary-overlay-map): Add on-exit argument.
22189 2013-06-13 Glenn Morris <rgm@gnu.org>
22191 * startup.el (tty-handle-args):
22192 Don't just discard "--" and anything after. (Bug#14608)
22194 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
22196 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
22198 Implement changes in Secret Service API. Make it backward compatible.
22199 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
22200 (secrets-create-item): Use it. Prefix properties with interface.
22202 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
22204 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
22205 (term-emulate-terminal): Respect term-suppress-hard-newline.
22207 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
22209 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
22210 Only remove a `thumb-file' overlay. (Bug#14548)
22212 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
22214 * mail/reporter.el (reporter-submit-bug-report):
22215 Handle missing package-name. (Bug#14600)
22217 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
22219 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
22220 (reftex-citation-prompt, reftex-default-bibliography)
22221 (reftex-bib-or-thebib, reftex-get-bibfile-list)
22222 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
22223 (reftex-bib-sort-author, reftex-bib-sort-year)
22224 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
22225 (reftex-extract-bib-entries-from-thebibliography)
22226 (reftex-get-bibkey-default, reftex-get-bib-names)
22227 (reftex-parse-bibtex-entry, reftex-get-bib-field)
22228 (reftex-format-bib-entry, reftex-parse-bibitem)
22229 (reftex-format-bibitem, reftex-do-citation)
22230 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
22231 (reftex-restrict-bib-matches, reftex-extract-bib-file)
22232 (reftex-insert-bib-matches, reftex-format-citation)
22233 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
22234 (reftex-create-bibtex-file): Add docstrings, mostly by converting
22235 existing comments into docstrings.
22237 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
22239 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
22241 2013-06-12 Andreas Schwab <schwab@suse.de>
22243 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
22244 for auto-save files.
22246 2013-06-12 Glenn Morris <rgm@gnu.org>
22248 * ido.el (ido-delete-ignored-files): Remove.
22249 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
22250 Go back to calling ido-ignore-item-p directly.
22252 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
22254 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
22256 * ido.el (ido-delete-ignored-files): New function,
22257 split from ido-make-file-list-1.
22258 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
22259 (ido-make-file-list-1): Use ido-delete-ignored-files.
22261 2013-06-12 Leo Liu <sdl.web@gmail.com>
22263 * progmodes/octave.el (inferior-octave-startup)
22264 (inferior-octave-completion-table)
22265 (inferior-octave-track-window-width-change)
22266 (octave-eldoc-function-signatures, octave-help)
22267 (octave-find-definition): Use single quoted strings.
22268 (inferior-octave-startup-args): Change default value.
22269 (inferior-octave-startup): Do not hard code "-i" and
22270 "--no-line-editing".
22271 (inferior-octave-resync-dirs): Add optional arg NOERROR.
22272 (inferior-octave-directory-tracker): Use it.
22273 (octave-goto-function-definition): Robustify.
22274 (octave-help): Support highlighting operators in 'See also'.
22275 (octave-find-definition): Find subfunctions only in Octave mode.
22277 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
22279 * help-fns.el (help-fns--compiler-macro): If the handler function is
22280 named, then put a link to it.
22281 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
22282 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
22283 (cl-typep): Use it.
22284 (cl-eval-when): Simplify debug spec.
22285 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
22286 compiler-macro function instead of setting `compiler-macro-file'.
22288 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
22290 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
22291 * vc/vc-hooks.el (vc-stay-local): Doc fix.
22293 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
22294 Daniel Hackney <dan@haxney.org>
22296 First part of Daniel Hackney's patch to package.el.
22297 * emacs-lisp/package.el: Use defstruct.
22298 (package-desc): New, main struct.
22299 (package--bi-desc, package--ac-desc): New structs, used to describe the
22300 format in external files.
22301 (package-desc-vers): Replace with package-desc-version accessor.
22302 (package-desc-doc): Replace with package-desc-summary accessor.
22303 (package-activate-1): Remove `package' arg since the pkg-vec now
22305 (define-package): Use package-desc-from-define.
22306 (package-unpack-single): Change file-name arg to be a symbol.
22307 (package--add-to-archive-contents): Use package-desc-create and new
22308 accessor functions to package--ac-desc.
22309 (package-buffer-info, package-tar-file-info): Return a package-desc.
22310 (package-install-from-buffer): Remove `type' argument. Change pkg-info
22311 arg to be a package-desc.
22312 (package-install-file): Adjust accordingly. Use \' to match EOS.
22313 (package--from-builtin): New function.
22314 (describe-package-1, package-menu--generate): Use it.
22315 (package--make-autoloads-and-compile): Change name arg to be a symbol.
22316 (package-generate-autoloads): Idem and return the name of the file.
22317 * emacs-lisp/package-x.el (package-upload-buffer-internal):
22318 Change pkg-info arg to be a package-desc.
22319 Use package-make-ac-desc.
22320 (package-upload-file): Use \' to match EOS.
22321 * finder.el (finder-compile-keywords): Use package-make-builtin.
22323 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
22325 * vc/vc.el (vc-deduce-fileset): Change error message.
22326 (vc-read-backend): New function.
22327 (vc-next-action): Use it.
22329 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
22331 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
22332 (prolog-font-lock-keywords): Use regexp-opt instead.
22333 Don't manually highlight strings.
22334 (prolog-mode-variables): Simplify comment-start-skip.
22335 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
22337 * emacs-lisp/generic.el (generic--normalise-comments)
22338 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
22339 (generic-mode-set-comments): Use them.
22340 (generic-bracket-support): Use setq-local.
22341 (generic-make-keywords-list): Declare obsolete.
22343 2013-06-11 Glenn Morris <rgm@gnu.org>
22345 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
22346 Prettify after setting font-lock-defaults. (Bug#14574)
22348 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
22350 * replace.el (query-replace, occur-read-regexp-defaults-function)
22352 * subr.el (declare-function, number-sequence, local-set-key)
22353 (substitute-key-definition, locate-user-emacs-file)
22354 (with-silent-modifications, split-string, eval-after-load):
22355 Fix typos, remove unneeded backslashes and reflow some docstrings.
22357 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
22359 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
22360 default for Elisp files.
22362 2013-06-11 Glenn Morris <rgm@gnu.org>
22364 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
22365 although define-derived-mode was doing this anyway. (Bug#14583)
22367 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
22369 * allout.el (allout-encryption-plaintext-sanitization-regexps):
22370 Fix make-variable-buffer-local call to refer to the correct variable.
22372 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
22374 * eshell/em-term.el (eshell-visual-commands)
22375 (eshell-visual-subcommands, eshell-visual-options):
22376 Add summary line to docstrings. Add cross-references.
22378 2013-06-10 Glenn Morris <rgm@gnu.org>
22380 * epa.el (epa-read-file-name): New function. (Bug#14510)
22381 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
22383 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
22385 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
22386 output redirection to be ignored with visual commands.
22388 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
22390 * eshell/em-term.el (eshell-visual-command-p): New function.
22391 (eshell-term-initialize): Move long lambda to separate function
22392 eshell-visual-command-p.
22393 * eshell/em-dirs.el (eshell-dirs-initialize):
22394 * eshell/em-script.el (eshell-script-initialize):
22395 Add missing #' to lambda.
22397 2013-06-08 Leo Liu <sdl.web@gmail.com>
22399 * progmodes/octave.el (octave-add-log-current-defun): New function.
22400 (octave-mode): Set add-log-current-defun-function.
22401 (octave-goto-function-definition): Do not move point if not found.
22402 (octave-find-definition): Enhance to try subfunctions first.
22404 2013-06-08 Glenn Morris <rgm@gnu.org>
22406 * emacs-lisp/bytecomp.el (byte-compile-char-before)
22407 (byte-compile-backward-char, byte-compile-backward-word):
22408 Improve previous change, to handle non-explicit nil.
22410 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
22412 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
22413 (smie--opener/closer-at-point): New function.
22414 (smie--matching-block-data): Use it. Don't match from right after an
22415 opener or right before a closer. Obey smie-blink-matching-inners.
22416 Don't signal a mismatch for repeated inners like "switch..case..case".
22418 2013-06-07 Leo Liu <sdl.web@gmail.com>
22420 * progmodes/octave.el (octave-mode): Set comment-use-global-state
22422 (octave-function-header-regexp): Fix. (Bug#14570)
22423 (octave-help-mode-finish-hook, octave-help-mode-finish):
22424 Remove. Just use temp-buffer-show-hook.
22426 * newcomment.el (comment-search-backward): Revert last change.
22429 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
22431 2013-06-07 Eli Zaretskii <eliz@gnu.org>
22433 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
22434 through xargs, to avoid failure due to MS-Windows limitations on
22435 command-line length.
22437 2013-06-06 Glenn Morris <rgm@gnu.org>
22439 * font-lock.el (lisp-font-lock-keywords-2):
22440 Treat user-error like error.
22442 * emacs-lisp/bytecomp.el (byte-compile-char-before)
22443 (byte-compile-backward-char, byte-compile-backward-word):
22444 Handle explicit nil arguments. (Bug#14565)
22446 2013-06-05 Alan Mackenzie <acm@muc.de>
22448 * isearch.el (isearch-allow-prefix): New user option.
22449 (isearch-other-meta-char): Don't exit isearch when a prefix
22450 argument is typed whilst `isearch-allow-prefix' is non-nil.
22453 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22455 * autorevert.el (auto-revert-notify-handler): Use memq.
22456 Hide assertion failure.
22458 * skeleton.el: Use cl-lib.
22459 (skeleton-further-elements): Use defvar-local.
22460 (skeleton-insert): Use cl-progv.
22462 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
22464 * progmodes/prog-mode.el (prog-prettify-symbols)
22465 (prog-prettify-install): Update docstrings.
22467 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22469 * simple.el: Move all the prog-mode code to prog-mode.el.
22470 * progmodes/prog-mode.el: New file.
22471 * loadup.el: Add prog-mode.el.
22473 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
22475 * simple.el (prog-prettify-symbols): Add version.
22476 (prog-prettify-install): Add convenience function to prettify symbols.
22478 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
22479 (perl--augmented-font-lock-keywords-1)
22480 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
22481 variables and use it.
22483 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
22484 (cfengine3-mode): Remove unneeded variable and use it.
22486 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
22487 (lisp--augmented-font-lock-keywords-1)
22488 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
22489 Remove unneeded variables and use it.
22491 2013-06-05 João Távora <joaotavora@gmail.com>
22493 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
22494 to point when opening the connection. (Bug#14380)
22496 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22498 * subr.el (load-history-regexp, load-history-filename-element)
22499 (eval-after-load, after-load-functions, do-after-load-evaluation)
22500 (eval-next-after-load, display-delayed-warnings)
22501 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
22502 definition of save-match-data.
22503 (overriding-local-map): Remove accidental obsolescence declaration.
22505 * emacs-lisp/edebug.el (edebug-result): Move before first use.
22507 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
22509 Generalize symbol prettify support to prog-mode and implement it
22510 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
22511 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
22512 (prog--prettify-font-lock-compose-symbol)
22513 (prog-prettify-font-lock-symbols-keywords): New variables and
22514 functions to support symbol prettification.
22515 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
22516 (lisp--augmented-font-lock-keywords-1)
22517 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
22518 (lisp--prettify-symbols-alist): Implement prettify of lambda.
22519 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
22520 (cfengine3--prettify-symbols-alist, cfengine3-mode):
22521 Implement prettify of -> => :: strings.
22522 * progmodes/perl-mode.el (perl-prettify-symbols)
22523 (perl--font-lock-compose-symbol)
22524 (perl--font-lock-symbols-keywords): Move to prog-mode.
22525 (perl--prettify-symbols-alist): Prettify -> => :: strings.
22526 (perl-font-lock-keywords-1)
22527 (perl-font-lock-keywords-2): Remove explicit prettify support.
22528 (perl--augmented-font-lock-keywords)
22529 (perl--augmented-font-lock-keywords-1)
22530 (perl--augmented-font-lock-keywords-2, perl-mode):
22531 Implement prettify support.
22533 2013-06-05 Leo Liu <sdl.web@gmail.com>
22535 Re-implement SMIE matching block highlight using
22536 show-paren-data-function. (Bug#14395)
22537 * emacs-lisp/smie.el (smie-matching-block-highlight)
22538 (smie--highlight-matching-block-overlay)
22539 (smie--highlight-matching-block-lastpos)
22540 (smie-highlight-matching-block)
22541 (smie-highlight-matching-block-mode): Remove.
22542 (smie--matching-block-data-cache): New variable.
22543 (smie--matching-block-data): New function.
22544 (smie-setup): Use smie--matching-block-data for
22545 show-paren-data-function.
22547 * progmodes/octave.el (octave-mode-menu): Fix.
22548 (octave-find-definition): Skip garbage lines.
22550 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22552 Fix compilation error with simultaneous dynamic+lexical scoping.
22553 Add warning when a defvar appears after the first let-binding.
22554 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
22555 (byte-compile-close-variables): Initialize it.
22556 (byte-compile--declare-var): New function.
22557 (byte-compile-file-form-defvar)
22558 (byte-compile-file-form-define-abbrev-table)
22559 (byte-compile-file-form-custom-declare-variable): Use it.
22560 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
22561 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
22562 (byte-compile-bind): Handle dynamic bindings that shadow
22564 (byte-compile-unbind): Make arg non-optional.
22565 (byte-compile-let): Simplify.
22566 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
22567 (cconv--analyse-function, cconv-analyse-form): Populate it.
22568 Protect byte-compile-bound-variables to limit the scope of defvars.
22569 (cconv-analyse-form): Add missing rule for (defvar <foo>).
22570 Remove unneeded rule for `declare'.
22572 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
22573 so as to avoid depending on cl-adjoin at run-time.
22574 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
22576 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
22577 (macroexp--warn-and-return): Use it.
22579 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22581 * subr.el: Convert to lexical binding.
22582 (overriding-local-map): Make obsolete.
22583 (add-to-list): Doc fix. Add compiler macro.
22584 (read-key): Swap values of local maps.
22586 2013-06-05 Leo Liu <sdl.web@gmail.com>
22588 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
22590 2013-06-04 Leo Liu <sdl.web@gmail.com>
22592 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
22593 (compilation-auto-jump): Suppress the "Mark set" message to give
22594 way to exit message.
22596 2013-06-04 Alan Mackenzie <acm@muc.de>
22598 Remove faulty optimization from indentation calculation.
22599 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
22600 search limit based on 2000 characters back from indent-point.
22602 2013-06-03 Tassilo Horn <tsdh@gnu.org>
22604 * eshell/em-term.el (cl-lib): Require `cl-lib'.
22606 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
22608 * emacs-lisp/lisp.el: Use lexical-binding.
22609 (lisp--local-variables-1, lisp--local-variables): New functions.
22610 (lisp--local-variables-completion-table): New var.
22611 (lisp-completion-at-point): Use it complete let-bound vars.
22613 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
22614 eagerly (bug#14422).
22616 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
22618 * autorevert.el (auto-revert-notify-enabled)
22619 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
22620 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
22621 (auto-revert-notify-handler): Handle also gfilenotify.
22623 * subr.el (file-notify-handle-event): New defun. Replacing ...
22624 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
22627 2013-06-03 Juri Linkov <juri@jurta.org>
22629 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
22630 `M-s h .'. (Bug#14427)
22632 * hi-lock.el (highlight-symbol-at-point): New alias for the new
22633 command `hi-lock-face-symbol-at-point'.
22634 (hi-lock-face-symbol-at-point): New command.
22635 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
22636 (hi-lock-menu): Add `highlight-symbol-at-point'.
22637 (hi-lock-mode): Doc fix.
22639 * isearch.el (isearch-forward-symbol-at-point): New command.
22640 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
22641 (isearch-highlight-regexp): Add a regexp which matches
22642 words/symbols for word/symbol mode.
22644 * subr.el (find-tag-default-bounds): New function with the body
22645 mostly moved from `find-tag-default'.
22646 (find-tag-default): Move most code to `find-tag-default-bounds',
22647 call it and apply `buffer-substring-no-properties' afterwards.
22649 2013-06-03 Tassilo Horn <tsdh@gnu.org>
22651 * eshell/em-term.el (eshell-term-initialize):
22652 Use `cl-intersection' rather than `intersection'.
22654 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
22656 * vc/log-view.el: Doc fix.
22657 (log-view-mode-map): Copy keymap from `special-mode-map'.
22659 2013-06-02 Eric Ludlam <zappo@gnu.org>
22661 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
22662 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
22663 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
22664 (eieio-unbound, eieio-default-superclass)
22665 (eieio--define-field-accessors, method-static, method-before)
22666 (method-primary, method-after, method-num-lists)
22667 (method-generic-before, method-generic-primary)
22668 (method-generic-after, method-num-slots)
22669 (eieio-specialized-key-to-generic-key)
22670 (eieio--check-type, class-v, class-p)
22671 (eieio-class-name, define-obsolete-function-alias)
22672 (eieio-class-parents-fast, eieio-class-children-fast)
22673 (same-class-fast-p, class-constructor, generic-p)
22674 (generic-primary-only-p, generic-primary-only-one-p)
22675 (class-option-assoc, class-option, eieio-object-p)
22676 (class-abstract-p, class-method-invocation-order)
22677 (eieio-defclass-autoload-map, eieio-defclass-autoload)
22678 (eieio-class-un-autoload, eieio-defclass)
22679 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
22680 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
22681 (eieio--defgeneric-init-form, eieio-defgeneric-form)
22682 (eieio-defgeneric-reset-generic-form)
22683 (eieio-defgeneric-form-primary-only)
22684 (eieio-defgeneric-reset-generic-form-primary-only)
22685 (eieio-defgeneric-form-primary-only-one)
22686 (eieio-defgeneric-reset-generic-form-primary-only-one)
22687 (eieio-unbind-method-implementations)
22688 (eieio--defmethod, eieio--typep)
22689 (eieio-perform-slot-validation, eieio-validate-slot-value)
22690 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
22691 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
22692 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
22693 (eieio-slot-name-index, eieio-class-slot-name-index)
22694 (eieio-set-defaults, eieio-initarg-to-attribute)
22695 (eieio-attribute-to-initarg, eieio-c3-candidate)
22696 (eieio-c3-merge-lists, eieio-class-precedence-c3)
22697 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
22698 (eieio-class-precedence-list, eieio-generic-call-methodname)
22699 (eieio-generic-call-arglst, eieio-generic-call-key)
22700 (eieio-generic-call-next-method-list)
22701 (eieio-pre-method-execution-functions, eieio-generic-call)
22702 (eieio-generic-call-primary-only, eieiomt-method-list)
22703 (eieiomt-optimizing-obarray, eieiomt-install)
22704 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
22705 (eieio-generic-form, eieio-defmethod, make-obsolete)
22706 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
22707 (defclass): Remove `eval-and-compile' from macro.
22708 (call-next-method, shared-initialize): Instead of using
22709 `scoped-class' variable, use new eieio--scoped-class, and
22710 eieio--with-scoped-class.
22711 (initialize-instance): Rename local variable 'scoped-class' to
22712 'this-class' to remove ambiguitity from old global.
22714 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
22716 (eieio--scoped-class-stack): New variable.
22717 (eieio--scoped-class): New fcn.
22718 (eieio--with-scoped-class): New scoping macro.
22719 (eieio-defclass): Use pushnew instead of add-to-list.
22720 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
22721 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
22722 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
22723 `scoped-class' variable, use new eieio--scoped-class, and
22724 eieio--with-scoped-class.
22726 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
22728 2013-06-02 Tassilo Horn <tsdh@gnu.org>
22730 * eshell/esh-ext.el (eshell-external-command): Pass args to
22731 `eshell-find-interpreter'.
22732 (eshell-find-interpreter): Add new second parameter ARGS.
22734 * eshell/em-script.el (eshell-script-initialize): Add second arg
22735 to the function added as MATCH to `eshell-interpreter-alist'.
22737 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
22738 the function added as MATCH to `eshell-interpreter-alist'.
22740 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
22741 (eshell-visual-options): New defcustom.
22742 (eshell-escape-control-x): Adapt docstring.
22743 (eshell-term-initialize): Test `eshell-visual-subcommands' and
22744 `eshell-visual-options' in addition to `eshell-visual-commands'.
22745 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
22747 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
22749 * progmodes/python.el (python-indent-block-enders): Add break,
22750 continue and raise keywords.
22752 2013-06-01 Glenn Morris <rgm@gnu.org>
22754 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
22756 Plain (f)boundp silences compilation warnings since Emacs 22.1.
22757 * progmodes/cc-cmds.el (delete-forward-p):
22758 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
22759 * progmodes/cc-engine.el (buffer-syntactic-context):
22760 * progmodes/cc-fonts.el (face-property-instance):
22761 * progmodes/cc-mode.el (set-keymap-parents):
22762 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
22763 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
22764 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
22765 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
22766 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
22768 * progmodes/cc-vars.el (other): Emacs has this widget since
22769 at least 21.1, so don't (re)define it.
22771 * eshell/em-cmpl.el (eshell-cmpl-initialize):
22772 Replace the obsolete alias pcomplete-arg-quote-list.
22774 2013-06-01 Leo Liu <sdl.web@gmail.com>
22776 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
22777 punctuation syntax.
22778 (inferior-octave-minimal-columns)
22779 (inferior-octave-last-column-width): New variables.
22780 (inferior-octave-track-window-width-change): New function.
22781 (inferior-octave-mode): Adjust column width so that Octave output,
22782 for example from 'ls', can fit into the window nicely.
22784 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22786 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22787 Highlight expansions inside regexp literals.
22789 2013-05-31 Glenn Morris <rgm@gnu.org>
22791 * obsolete/sym-comp.el (symbol-complete):
22792 Replace obsolete completion-annotate-function.
22794 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
22796 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22798 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22799 New function, checks if point is inside a literal that allows
22800 expression expansion.
22801 (ruby-syntax-propertize-expansion): Use it.
22802 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
22805 2013-05-30 Juri Linkov <juri@jurta.org>
22807 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
22809 (isearch-invisible): New variable.
22810 (isearch-forward): Doc fix.
22811 (isearch-mode): Set `isearch-invisible'
22812 to the value of `search-invisible'.
22813 (isearch-toggle-case-fold): Doc fix.
22814 (isearch-toggle-invisible): New command.
22815 (isearch-query-replace): Let-bind `search-invisible'
22816 to the value of `isearch-invisible'.
22817 (isearch-search): Use `isearch-invisible' instead of
22818 `search-invisible'. Let-bind `search-invisible'
22819 to the value of `isearch-invisible'. (Bug#11378)
22821 2013-05-30 Juri Linkov <juri@jurta.org>
22823 * replace.el (perform-replace): Avoid `isearch-range-invisible'
22824 call when `query-flag' is nil and `search-invisible' is non-nil.
22827 2013-05-30 Glenn Morris <rgm@gnu.org>
22829 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
22831 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
22832 (cc-require): Suppress spurious "noruntime" warnings.
22833 (cc-require-when-compile): Use fboundp, for sake of compiler.
22835 * progmodes/cc-mode.el: Move load of cc-vars before that of
22836 cc-langs (which in turn loads cc-vars), to quieten compiler.
22838 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
22840 * paren.el: Simplify the code.
22841 (show-paren-mode): Always start the timer.
22842 (show-paren--idle-timer): Rename from show-paren-idle-timer.
22843 (show-paren--overlay, show-paren--overlay-1): Rename from
22844 show-paren-overlay and show-paren-overlay-1, and initialize to an
22845 overlay rather than to nil.
22846 (show-paren-function): Misc cleanup and simplifications.
22848 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
22850 * paren.el (show-paren-data-function): New hook.
22851 (show-paren--default): New function, extracted from show-paren-function.
22852 (show-paren-function): Use show-paren-data-function.
22854 2013-05-30 Glenn Morris <rgm@gnu.org>
22856 * ielm.el (ielm-map, ielm-complete-symbol):
22857 Use completion-at-point rather than obsolete functions.
22858 (inferior-emacs-lisp-mode): Doc fix.
22859 Set completion-at-point-functions, rather than
22860 comint-dynamic-complete-functions.
22862 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
22863 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
22864 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
22866 * image.el (image-animated-p): Tweak definition.
22868 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
22869 (rlogin-process-connection-type): Tweak default. Add set-after.
22870 (rlogin-host): Doc fix.
22871 (rlogin): Tweak prompt.
22872 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
22874 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
22875 * progmodes/tcl.el (inferior-tcl-mode-map):
22876 Use completion-at-point rather than obsolete alias.
22878 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
22880 * minibuffer.el (read-file-name-completion-ignore-case):
22881 Move before completion--in-region, for eager macro expansion.
22883 2013-05-29 Juri Linkov <juri@jurta.org>
22885 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
22886 for total count of matching lines. Add `global-matches' for total
22887 count of matches. Rename `matches' to `lines' for count of
22888 matching lines. Add `matches' for count of matches.
22889 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
22890 to `prev-line' for line number of prev match endpt.
22891 Increment `matches' for every match. Print the number of
22892 matching lines in the header.
22893 (occur-context-lines): Rename `lines' to `curr-line'.
22894 Rename `prev-lines' to `prev-line'. (Bug#14017)
22896 2013-05-29 Juri Linkov <juri@jurta.org>
22898 * replace.el (perform-replace): Add `skip-read-only-count',
22899 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
22900 Increment them for corresponding conditions and report the number
22901 of skipped occurrences in the final message. (Bug#11746)
22902 (query-replace, query-replace-regexp, query-replace-regexp-eval)
22903 (replace-string, replace-regexp): Doc fix.
22905 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
22907 * emacs-lisp/trace.el (trace--read-args): Provide a default.
22909 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
22910 prog-mode-map (bug#14504).
22912 2013-05-29 Leo Liu <sdl.web@gmail.com>
22914 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
22915 (octave-help): Small simplification.
22917 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
22918 off the highlight first.
22920 2013-05-29 Glenn Morris <rgm@gnu.org>
22922 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
22923 Handle idlwave-last-system-routine-info-cons-cell being nil.
22925 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
22926 (idlwave-write-paths): Simplify via with-temp-buffer.
22928 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
22929 * emulation/cua-rect.el: Also load cua-base at run time.
22931 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
22932 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
22933 (cperl-imenu-on-info): Require imenu.
22935 2013-05-28 Alan Mackenzie <acm@muc.de>
22937 Handle "capitalised keywords" correctly.
22938 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
22940 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
22942 * eshell/em-unix.el: Add -r option to cp.
22944 2013-05-28 Glenn Morris <rgm@gnu.org>
22946 * vc/vc-arch.el (vc-exec-after): Declare.
22947 (vc-switches): Autoload.
22948 * vc/vc-bzr.el: No need to require vc when compiling.
22949 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
22950 (vc-resynch-buffer, vc-dir-refresh): Declare.
22951 (vc-setup-buffer, vc-switches): Autoload.
22952 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
22953 (vc-resynch-buffer): Declare.
22954 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
22955 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
22956 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
22957 (grep-read-regexp, grep-read-files, grep-expand-template)
22958 (vc-dir-refresh): Declare.
22959 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
22960 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
22961 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
22962 * vc/vc-mtn.el (vc-exec-after): Declare.
22963 (vc-switches): Autoload.
22964 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
22965 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
22966 (vc-file-tree-walk): Declare.
22967 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
22968 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
22969 (vc-tag-precondition, vc-rename-master): Autoload.
22970 * vc/vc-svn.el (vc-exec-after): Declare.
22971 (vc-switches, vc-setup-buffer): Autoload.
22972 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
22974 (vc-resynch-buffer): Declare.
22976 * obsolete/fast-lock.el (byte-compile-warnings):
22977 Don't warn about obsolete features in this obsolete file.
22979 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
22980 Move definition before use.
22982 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
22983 (dun-unix-verbs): Remove dun-zippy.
22984 (dun-zippy): Remove function.
22986 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
22988 2013-05-27 Juri Linkov <juri@jurta.org>
22990 * replace.el (replace-search): New function with code moved out
22991 from `perform-replace'.
22992 (replace-highlight, replace-dehighlight): Move function definitions
22993 up closer to `replace-search'. (Bug#11746)
22995 2013-05-27 Juri Linkov <juri@jurta.org>
22997 * replace.el (perform-replace): Ignore invisible matches.
22998 In addition to checking `query-replace-skip-read-only', also
22999 filter out matches by calling `run-hook-with-args-until-failure'
23000 on `isearch-filter-predicates', and also check `search-invisible'
23001 for t or call `isearch-range-invisible'.
23002 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
23004 2013-05-27 Juri Linkov <juri@jurta.org>
23006 * isearch.el (isearch-filter-predicates): Rename from
23007 `isearch-filter-predicate'. Doc fix. (Bug#11378)
23008 (isearch-message-prefix): Display text from the property
23009 `isearch-message-prefix' of the currently active filters.
23010 (isearch-search): Don't compare `isearch-filter-predicate' with
23011 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
23012 on `isearch-filter-predicates'. Also check `search-invisible' for t
23013 or call `isearch-range-invisible'.
23014 (isearch-filter-visible): Make obsolete.
23015 (isearch-lazy-highlight-search):
23016 Call `run-hook-with-args-until-failure' on
23017 `isearch-filter-predicates' and use `isearch-range-invisible'.
23019 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
23020 `isearch-filter-predicates' instead of `funcall'ing
23021 `isearch-filter-predicate'.
23022 (Info-mode): Set `Info-isearch-filter' to
23023 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
23025 * dired-aux.el (dired-isearch-filter-predicate-orig):
23027 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
23028 (dired-isearch-filenames-end): Add and remove
23029 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
23030 instead of changing the value of `isearch-filter-predicate'.
23031 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
23032 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
23033 Put property `isearch-message-prefix' to "filename " on
23034 `dired-isearch-filter-filenames'.
23036 * wdired.el (wdired-change-to-wdired-mode):
23037 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
23038 locally instead of changing `isearch-filter-predicate'.
23039 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
23041 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
23043 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
23044 return the commit hash (Bug#14459). Also set the
23045 `vc-git-detached' property.
23046 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
23047 (vc-git-mode-line-string): Use the same help-echo format whether
23048 in detached mode or not, because we know the actual revision now.
23049 When in detached mode, shorten the revision to 7 chars.
23051 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
23053 * emacs-lisp/easy-mmode.el (define-minor-mode):
23054 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
23055 mode hook and provide a docstring.
23057 2013-05-27 Alan Mackenzie <acm@muc.de>
23059 Remove spurious syntax-table text properties inserted by C-y.
23060 * progmodes/cc-mode.el (c-after-change): Also clear hard
23061 syntax-table property with value nil.
23063 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
23065 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
23066 when reading the events; the buffer layout shall not be changed.
23068 2013-05-27 Leo Liu <sdl.web@gmail.com>
23070 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
23072 (inferior-octave-directory-tracker): Automatically re-sync
23074 (octave-help): Improve handling of 'See also'.
23076 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
23078 * doc-view.el: Minor naming convention tweaks.
23079 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
23081 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
23082 even if there's no `display' property yet (bug#14435).
23084 2013-05-25 Eli Zaretskii <eliz@gnu.org>
23086 * subr.el (unmsys--file-name): Rename from reveal-filename.
23088 * Makefile.in (custom-deps, finder-data, autoloads)
23089 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
23090 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
23091 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
23093 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
23095 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
23096 error-completion on the first 2 args of condition-case (bug#14446).
23099 2013-05-25 Leo Liu <sdl.web@gmail.com>
23101 * comint.el (comint-previous-matching-input): Do not flood the
23102 *Messages* buffer with trivial messages.
23104 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
23106 * progmodes/flymake.el (flymake-nop): Don't return a string.
23107 (flymake-set-at): Fix typo.
23109 * simple.el (read--expression): New function, extracted from
23110 eval-expression. Set completion-at-point-functions (bug#14465).
23111 (eval-expression, eval-minibuffer): Use it.
23113 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
23115 * progmodes/flymake.el (flymake-save-buffer-in-file)
23116 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
23117 (flymake-selected-frame, flymake-log, flymake-ins-after)
23118 (flymake-set-at, flymake-get-buildfile-from-cache)
23119 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
23120 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
23121 Refine the doc string.
23122 (flymake-get-file-name-mode-and-masks): Reformat.
23123 (flymake-get-real-file-name-function): Fix a minor bug.
23125 2013-05-24 Juri Linkov <juri@jurta.org>
23127 * progmodes/grep.el (grep-mode-font-lock-keywords):
23128 Support =linenumber= format used by git-grep for lines with
23129 function names. (Bug#13549)
23131 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
23133 * progmodes/octave.el (octave-smie-rules): Return nil rather than
23134 0 after a semi-colon; it works better for smie-auto-fill.
23135 (octave--indent-new-comment-line): New function.
23136 (octave-indent-new-comment-line): Use it (indirectly).
23137 (octave-mode): Don't disable smie-auto-fill. Use add-function to
23138 modify comment-line-break-function.
23140 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
23141 (smie-setup): Use add-function to set it.
23143 2013-05-24 Sam Steingold <sds@gnu.org>
23145 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
23146 argument (before the `interactive' argument).
23148 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
23150 * image-mode.el (image-mode-winprops): Add winprops to
23151 image-mode-winprops-alist before running
23152 image-mode-new-window-functions.
23153 * doc-view.el (doc-view-new-window-function): Don't delay
23154 doc-view-goto-page via timers (bug#14435).
23156 2013-05-24 Tassilo Horn <tsdh@gnu.org>
23158 * doc-view.el: Integrate with desktop.el. (Bug#14435)
23159 (doc-view-desktop-save-buffer): New function.
23160 (doc-view-restore-desktop-buffer): New function.
23161 (desktop-buffer-mode-handlers):
23162 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
23164 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
23165 `desktop-save-buffer' function.
23167 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
23169 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
23170 (tramp-gvfs-file-name-handler): Raise a user error when
23171 `tramp-gvfs-enabled' is nil.
23172 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
23173 Do not raise a user error when loading package. (Bug#14447)
23175 * net/xesam.el: Move to obsolete/.
23177 2013-05-24 Glenn Morris <rgm@gnu.org>
23179 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
23181 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
23183 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
23184 (Info-find-node, Man-getpage-in-background): Declare.
23186 * mail/unrmail.el (unrmail):
23187 Replace obsolete detect-coding-with-priority.
23189 * net/socks.el (socks-split-string): Use this rather than split-string.
23190 (socks-nslookup-host): Update for above change.
23191 (dynamic-choice, s5-dynamic-choice-match)
23192 (s5-dynamic-choice-match-inline, s5-widget-value-create):
23193 Comment out unused code.
23195 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
23196 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
23197 (gud-tooltip-echo-area): Make obsolete.
23198 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
23200 * progmodes/js.el (js--optimize-arglist): Declare.
23202 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
23204 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
23205 (ediff-window-C): Declare.
23207 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
23208 Tweak requires to silence compiler.
23210 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
23211 (he-search-string, he-tried-table, he-expand-list)
23212 (he-init-string, he-string-member, he-substitute-string)
23213 (he-reset-string): Declare.
23215 * obsolete/options.el (list-options): Use custom-variable-p,
23216 rather than obsolete alias.
23218 2013-05-23 Sam Steingold <sds@gnu.org>
23220 * simple.el (shell-command-on-region): Pass the `replace' argument
23221 down to `call-process-region' to comply with the doc as reported on
23222 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
23224 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
23226 * emacs-lisp/smie.el (smie-indent-forward-token)
23227 (smie-indent-backward-token): Handle string tokens (bug#14381).
23229 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23231 * ielm.el (ielm-menu): New menu.
23232 (inferior-emacs-lisp-mode): Set comment-start.
23234 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23236 * textmodes/reftex.el (reftex-ref-style-toggle):
23237 Fix deactivate action.
23239 * textmodes/reftex-vars.el (reftex-ref-style-alist):
23240 Add cleveref macros.
23242 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
23243 Accept options for bibliography commands.
23244 * textmodes/reftex-vars.el (reftex-bibliography-commands):
23245 Add addbibresource. Basic Biblatex support.
23247 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
23249 * net/tramp-gvfs.el (top):
23250 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
23251 when loading package. (Bug#14447)
23253 2013-05-23 Glenn Morris <rgm@gnu.org>
23255 * progmodes/js.el: No need to load comint when compiling.
23256 (ring-insert, comint-send-string, comint-send-input)
23257 (comint-last-input-end, ido-chop): Declare.
23259 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
23260 * vc/ediff-mult.el: Adjust requires.
23261 (ediff-directories-internal, ediff-directory-revisions-internal)
23262 (ediff-patch-file-internal): Declare.
23263 * vc/ediff-ptch.el: Adjust requires.
23264 (ediff-use-last-dir, ediff-buffers-internal): Declare.
23265 (ediff-find-file): Autoload.
23266 * vc/ediff-util.el: No need to load ediff when compiling.
23267 (ediff-regions-internal): Declare.
23268 * vc/ediff-wind.el: Adjust requires.
23269 (ediff-compute-toolbar-width): Define when compiling.
23270 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
23271 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
23272 (dired-get-filename, dired-get-marked-files)
23273 (ediff-last-dir-patch, ediff-patch-default-directory)
23274 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
23275 (ediff-patch-buffer-internal): Declare.
23277 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
23278 (ispell-process, ispell-buffer-local-words, lm-summary)
23279 (lm-section-start, lm-section-end): Declare.
23280 (checkdoc-ispell-init): Simplify.
23282 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
23283 (he-string-member, he-reset-string, he-substitute-string): Declare.
23285 * eshell/em-ls.el: Adjust requires.
23286 (eshell-glob-regexp): Declare.
23287 * eshell/em-tramp.el: Adjust requires.
23288 (eshell-parse-command): Autoload.
23289 * eshell/em-xtra.el: Adjust requires.
23290 (eshell-parse-command): Autoload.
23291 * eshell/esh-ext.el: Adjust requires.
23292 (eshell-parse-command, eshell-close-handles): Autoload.
23293 * eshell/esh-io.el: Adjust requires.
23294 (eshell-output-filter): Autoload.
23295 * eshell/esh-util.el: No need to load tramp when compiling.
23296 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
23298 (eshell-parse-ange-ls): Require ange-ftp and tramp.
23299 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
23300 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
23301 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
23302 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
23303 * eshell/esh-opt.el, eshell/esh-proc.el:
23304 * eshell/esh-var.el: Adjust requires.
23305 * eshell/eshell.el: Do not require esh-util twice.
23306 (eshell-add-input-to-history): Declare.
23307 (eshell-command): Check history module is active before using it.
23309 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
23311 2013-05-22 Leo Liu <sdl.web@gmail.com>
23313 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
23315 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
23317 * autorevert.el (auto-revert-notify-add-watch)
23318 (auto-revert-notify-handler): Add `attrib' for the inotify case,
23319 it indicates changes in file modification time.
23321 2013-05-22 Glenn Morris <rgm@gnu.org>
23323 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
23324 Always delete the autoloaded function from the noruntime and
23325 unresolved functions lists.
23327 * allout.el: No need to load epa, epg, overlay when compiling.
23328 (epg-context-set-passphrase-callback, epg-list-keys)
23329 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
23330 (epg-key-user-id-list): Declare.
23332 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
23333 (viper-set-parsing-style-toggling-macro)
23334 (viper-set-emacs-state-searchstyle-macros):
23335 Use called-interactively-p on Emacs.
23336 (viper-looking-back): Make it an obsolete alias. Update callers.
23337 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
23338 Use looking-back rather than viper-looking-back.
23339 (viper-tmp-insert-at-eob, viper-enlarge-region)
23340 (viper-read-string-with-history, viper-register-to-point)
23341 (viper-append-to-register, viper-change-state-to-vi)
23342 (viper-backward-char-carefully, viper-forward-char-carefully)
23343 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
23344 (viper-change-state-to-emacs): Declare.
23345 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
23346 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
23347 * emulation/viper-mous.el: Do not load viper-cmd.
23348 (viper-backward-char-carefully, viper-forward-char-carefully)
23349 (viper-forward-word, viper-adjust-window): Declare.
23351 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
23353 * progmodes/idlw-help.el (idlwave-help-fontify):
23354 Use called-interactively-p.
23356 * term/w32console.el (w32-get-console-codepage)
23357 (w32-get-console-output-codepage): Declare.
23359 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
23360 Remove unnecessary declarations.
23361 (dframe-message): Doc fix.
23363 * info.el (dframe-select-attached-frame, dframe-current-frame):
23366 * speedbar.el (speedbar-message): Make it an obsolete alias.
23367 Update all callers.
23368 (speedbar-with-attached-buffer)
23369 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
23370 (speedbar-with-writable): Use backquote.
23371 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
23372 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
23373 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
23374 rather than speedbar- aliases.
23375 * mail/rmail.el: Load dframe rather than speedbar when compiling.
23376 (speedbar-make-specialized-keymap, speedbar-insert-button)
23377 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
23378 (speedbar-do-function-pointer): Declare.
23379 (rmail-speedbar-button, rmail-speedbar-find-file)
23380 (rmail-speedbar-move-message):
23381 Use dframe-with-attached-buffer rather than speedbar- alias.
23382 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
23383 (dframe-message, speedbar-make-specialized-keymap)
23384 (speedbar-add-expansion-list, speedbar-mode-functions-list)
23385 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
23386 (speedbar-insert-button, dframe-select-attached-frame)
23387 (dframe-maybee-jump-to-attached-frame)
23388 (speedbar-change-initial-expansion-list)
23389 (speedbar-previously-used-expansion-list-name): Declare.
23390 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
23391 Use dframe-message, dframe-with-attached-buffer rather than
23393 (gud-sentinel): Silence compiler.
23394 * progmodes/vhdl-mode.el (speedbar-refresh)
23395 (speedbar-do-function-pointer, speedbar-add-supported-extension)
23396 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
23397 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
23398 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
23399 (speedbar-file-lists, speedbar-make-tag-line)
23400 (speedbar-line-directory, speedbar-goto-this-file)
23401 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
23402 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
23403 (speedbar-make-button, speedbar-reset-scanners)
23404 (speedbar-files-item-info, speedbar-line-text)
23405 (speedbar-find-file-in-frame, speedbar-set-timer)
23406 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
23407 (speedbar-with-writable): Do not (re)define it.
23408 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
23409 rather than speedbar- alias.
23411 2013-05-21 Leo Liu <sdl.web@gmail.com>
23413 * progmodes/octave.el (octave-mode-menu): Update and re-organize
23415 (octave-mode): Tweak fill-nobreak-predicate.
23416 (inferior-octave-startup): Check process to avoid infinite loop.
23417 (inferior-octave): Pop to buffer first to show abornmal process
23420 2013-05-21 Glenn Morris <rgm@gnu.org>
23422 * printing.el (pr-menu-bar): Define when compiling.
23424 2013-05-21 Leo Liu <sdl.web@gmail.com>
23426 * progmodes/octave.el (octave-auto-fill): Remove.
23427 (octave-indent-new-comment-line): Improve.
23428 (octave-mode): Use auto fill mode through
23429 comment-line-break-function and fill-nobreak-predicate.
23430 (octave-goto-function-definition): Support DEFUN_DLD.
23431 (octave-beginning-of-defun): Small tweak.
23432 (octave-help): Show parent directory.
23434 2013-05-21 Glenn Morris <rgm@gnu.org>
23436 * files.el (dired-unmark):
23437 * progmodes/gud.el (gdb-input): Update declarations.
23439 * calculator.el (electric, ehelp): No need to load when compiling.
23440 (Electric-command-loop, electric-describe-mode): Declare.
23442 * doc-view.el (doc-view-current-converter-processes): Move before use.
23444 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
23445 Move MODE-set-explicitly definition before use.
23447 * international/mule-diag.el (mule-diag):
23448 Don't use obsolete window-system-version.
23450 * mail/feedmail.el (smtpmail): No need to load when compiling.
23451 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
23453 * mail/mail-utils.el (rfc822): No need to load when compiling.
23454 (rfc822-addresses): Autoload it.
23455 (mail-strip-quoted-names): Trivial simplification.
23457 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
23458 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
23460 * net/snmp-mode.el (tempo): Don't duplicate requires.
23462 * progmodes/prolog.el (info): No need to load when compiling.
23463 (comint): Require before shell requires it.
23464 (Info-goto-node): Autoload it.
23465 (Info-follow-nearest-node): Declare.
23466 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
23468 * textmodes/artist.el (picture-mode-exit): Declare.
23470 * textmodes/reftex-parse.el (reftex-parse-from-file):
23471 Trivial rewrite so the compiler can parse it better.
23473 2013-05-20 Leo Liu <sdl.web@gmail.com>
23475 * progmodes/octave.el (octave-help-mode-map)
23476 (octave-help-mode-finish-hook): New variables.
23477 (octave-help-mode, octave-help-mode-finish): New functions.
23478 (octave-help): Use octave-help-mode.
23480 2013-05-20 Glenn Morris <rgm@gnu.org>
23482 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
23484 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
23486 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
23487 start at point, so that expansion starting right after opening
23488 slash in a regexp is recognized.
23489 (ruby-syntax-before-regexp-re): New defvar, extracted from
23490 ruby-syntax-propertize-function. Since the value of this regexp
23491 is looked up at runtime now, we should be able to turn
23492 `ruby-syntax-methods-before-regexp' into a defcustom later.
23493 (ruby-syntax-propertize-function): Split regexp matching into two
23494 parts, for opening and closing slashes. That allows us to skip
23495 over string interpolations and support multiline regexps.
23496 Don't call `ruby-syntax-propertize-expansions', instead use another rule
23497 for them, which calls `ruby-syntax-propertize-expansion'.
23498 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
23499 call to `ruby-syntax-propertize-function'.
23500 (ruby-syntax-propertize-expansion): Extracted from
23501 `ruby-syntax-propertize-expansions'. Handles one expansion.
23502 (ruby-syntax-propertize-percent-literal): Leave point right after
23503 the percent symbol, so that the expression expansion rule can
23504 propertize the contents.
23505 (ruby-syntax-propertize-heredoc): Leave point at bol following the
23507 (ruby-syntax-propertize-expansions): Remove.
23509 2013-05-18 Juri Linkov <juri@jurta.org>
23511 * man.el (Man-default-man-entry): Remove `-' from the end
23512 of the default value. (Bug#14400)
23514 2013-05-18 Glenn Morris <rgm@gnu.org>
23516 * comint.el (comint-password-prompt-regexp):
23517 Allow "password for XXX" where XXX contains colons (eg https://...).
23519 2013-05-18 Leo Liu <sdl.web@gmail.com>
23521 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
23522 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
23523 (octave-source-directories): Don't check process.
23524 (octave-source-directories, octave-find-definition): Doc fix.
23526 2013-05-18 Glenn Morris <rgm@gnu.org>
23528 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
23529 Remove backspace/delete bindings. (Bug#14392)
23531 * cus-dep.el (custom-make-dependencies): Sort the output.
23532 (custom-versions-load-alist): Convert comment to doc.
23534 2013-05-17 Leo Liu <sdl.web@gmail.com>
23536 * newcomment.el (comment-search-backward): Stricter in finding
23537 comment start. (Bug#14303)
23539 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
23540 (octave-comment-start-skip): Properly anchored.
23542 2013-05-17 Leo Liu <sdl.web@gmail.com>
23544 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
23545 Clean up when turned off. (Bug#14395)
23546 (smie--highlight-matching-block-overlay): No longer buffer-local.
23547 (smie-highlight-matching-block): Adjust.
23549 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
23551 Doc string fix for "nanoseconds" (Bug#14406).
23552 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
23553 Fix doc string typo that had "nanoseconds" instead of "microseconds".
23555 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
23557 * calc/calc-units.el (math-extract-units): Preserve powers
23560 2013-05-17 Leo Liu <sdl.web@gmail.com>
23562 * subr.el (delete-consecutive-dups): New function.
23563 * ido.el (ido-set-matches-1): Use it.
23564 * progmodes/octave.el (inferior-octave-completion-table): Use it.
23565 * ido.el (ido-remove-consecutive-dups): Remove.
23567 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
23569 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
23570 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
23571 regexp-opt's `words'.
23573 2013-05-16 Leo Liu <sdl.web@gmail.com>
23575 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
23576 (smie--highlight-matching-block-overlay)
23577 (smie--highlight-matching-block-lastpos)
23578 (smie--highlight-matching-block-timer): New variables.
23579 (smie-highlight-matching-block): New function.
23580 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
23581 (smie-setup): Conditionally enable smie-blink-matching-open.
23583 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
23585 Sync with upstream verilog-mode r840.
23586 * progmodes/verilog-mode.el (verilog-mode-version)
23587 (verilog-mode-release-date): Update.
23588 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
23589 (verilog-sig-tieoff): Fix string error on
23590 AUTORESET with colon define, bug594. Reported by Andrew Hou.
23591 (verilog-read-decls): Fix parameters confusing
23592 AUTOINST interfaces, bug565. Reported by Leith Johnson.
23594 2013-05-16 Eli Zaretskii <eliz@gnu.org>
23596 * subr.el (reveal-filename): New function.
23598 * loadup.el: Compute Emacs executable versions on MS-Windows,
23599 where executables have the .exe extension. Add a hard link
23600 emacs-XX.YY.ZZ.exe on MS-Windows.
23602 * Makefile.in (XARGS_LIMIT): New variable.
23603 (custom-deps, finder-data, autoloads)
23604 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
23605 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
23606 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
23607 (compile-main): Limit xargs according to $(XARGS_LIMIT).
23609 2013-05-16 Leo Liu <sdl.web@gmail.com>
23611 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
23612 (octave-mode-menu, octave-mode-map): Remove its uses.
23614 2013-05-16 Reto Zimmermann <reto@gnu.org>
23616 Sync with upstream vhdl mode v3.34.2.
23617 * progmodes/vhdl-mode.el: Use `push' throughout.
23618 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
23619 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
23620 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
23621 (vhdl-actual-generic-name): New option to derive actual generic name.
23622 (vhdl-port-paste-signals): Replace formal by actual generics.
23623 (vhdl-beautify): New name for old group vhdl-align. Update users.
23624 (vhdl-beautify-options): New option.
23625 (vhdl-last-input-event): New compat alias. Use throughout.
23626 (vhdl-goto-line): Replace user level function `goto-line'.
23627 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
23628 vhdl-fix-statement-buffer.
23629 (vhdl-create-mode-menu): Add some entries.
23630 (vhdl-align-region-groups): Respect vhdl-beautify-options.
23631 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
23632 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
23633 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
23634 to force statements on one line.
23635 (vhdl-remove-trailing-spaces-region):
23636 New, split from vhdl-remove-trailing-spaces.
23637 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
23638 Respect vhdl-beautify-options.
23639 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
23640 (vhdl-update-sensitivity-list): Not add with index if exists without.
23641 Not include array index with signal. Ignore keywords in comments.
23642 (vhdl-get-visible-signals): Regexp tweaks.
23643 (vhdl-template-component-inst): Handle empty library.
23644 (vhdl-template-type): Add template for 'enum' type.
23645 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
23646 Use vhdl-replace-string.
23647 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
23648 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
23649 (vhdl-speedbar-initialize): Update for above name change.
23650 (vhdl-compose-wire-components): Fix in handling of constants.
23651 (vhdl-error-regexp-emacs-alist): New variable.
23652 (vhdl-error-regexp-add-emacs): New function;
23653 adds support for new compile.el (Emacs 22+)
23654 (vhdl-generate-makefile-1): Change target order for single lib. units.
23655 Allow use of absolute file names.
23657 2013-05-16 Leo Liu <sdl.web@gmail.com>
23659 * simple.el (prog-indent-sexp): Indent enclosing defun.
23661 2013-05-15 Glenn Morris <rgm@gnu.org>
23663 * cus-start.el (show-trailing-whitespace): Move to editing basics.
23664 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
23665 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
23666 (whitespace-highlight): Move to whitespace group.
23668 * comint.el (comint-source):
23669 * pcmpl-linux.el (pcmpl-linux):
23670 * shell.el (shell-faces):
23671 * eshell/esh-opt.el (eshell-opt):
23672 * international/ccl.el (ccl): Remove empty custom groups.
23674 * completion.el (dynamic-completion-mode):
23675 * jit-lock.el (jit-lock-debug-mode):
23676 * minibuffer.el (completion-in-region-mode):
23677 * type-break.el (type-break-mode-line-message-mode)
23678 (type-break-query-mode):
23679 * emulation/tpu-edt.el (tpu-edt-mode):
23680 * progmodes/subword.el (global-subword-mode, global-superword-mode):
23681 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
23682 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
23684 * term/xterm.el (xterm): Change parent group to terminals.
23686 * master.el (master): Remove empty custom group.
23687 (master-mode): Remove unused :group argument.
23688 * textmodes/refill.el (refill): Remove empty custom group.
23689 (refill-mode): Remove unused :group argument.
23691 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
23693 * cus-dep.el: Provide a feature.
23694 (custom-make-dependencies): Ignore dotfiles (dir-locals).
23695 Don't mistakenly ignore files whose basenames match a basename
23696 from preloaded-file-list (eg cedet/ede/simple.el).
23697 Add a fallback method for getting :group.
23699 2013-05-15 Juri Linkov <juri@jurta.org>
23701 * isearch.el (isearch-char-by-name): Rename from
23702 `isearch-insert-char-by-name'. Doc fix.
23703 (isearch-forward): Mention `isearch-char-by-name' in
23704 the docstring. (Bug#13348)
23706 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
23707 `exit-minibuffer' instead of
23708 `isearch-nonincremental-exit-minibuffer'.
23709 (isearch-edit-string): Remove mention of
23710 `isearch-nonincremental-exit-minibuffer' from docstring.
23711 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
23712 (isearch-forward-exit-minibuffer)
23713 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
23715 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23717 * loadup.el: Just use unversioned DOC.
23719 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
23720 literals as extending to EOB.
23721 (nxml-last-fontify-end): Remove unused variable.
23722 (nxml-after-change1): Use with-silent-modifications.
23723 (nxml-extend-after-change-region): Simplify.
23724 (nxml-extend-after-change-region1): Remove function.
23725 (nxml-after-change1): Don't adjust for dependent regions.
23726 (nxml-fontify-matcher): Simplify.
23727 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
23728 (xmltok-add-dependent): Remove function.
23729 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
23730 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
23731 (xmltok-scan-prolog-after-processing-instruction-open): Treat
23732 unclosed <[[, <?, comment, and other literals as extending to EOB.
23733 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
23734 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
23736 (rng-do-some-validation-1): Don't mark dependent regions.
23737 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
23738 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
23739 (nxml-clear-dependent-regions): Remove functions.
23740 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
23741 (nxml-ensure-scan-up-to-date):
23742 Don't clear&mark dependent regions.
23744 2013-05-15 Leo Liu <sdl.web@gmail.com>
23746 * progmodes/octave.el (octave-goto-function-definition):
23747 Improve and fix callers.
23749 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23751 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
23752 the setter (bug#14387).
23754 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
23755 surrounding group (bug#14402).
23757 2013-05-14 Juri Linkov <juri@jurta.org>
23759 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
23762 2013-05-14 Glenn Morris <rgm@gnu.org>
23764 * progmodes/f90.el (f90-imenu-generic-expression):
23765 Fix typo in 2013-05-08 change. (Bug#14402)
23767 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23769 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
23770 Remove signals for which replies are never received.
23772 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23774 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
23775 (gdb-handler-alist, gdb-handler-number): Remove variables.
23776 (gdb-handler-list): New variable.
23777 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
23778 (gdb-pending-handler-p, gdb-handle-reply)
23779 (gdb-remove-all-pending-triggers): New functions.
23780 (gdb-discard-unordered-replies): New defcustom.
23781 (gdb-handler): New defstruct.
23782 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
23783 instead of gdb-pending-triggers. Update docstring.
23784 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
23785 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
23786 (gdb-var-update-handler, def-gdb-auto-update-trigger)
23787 (def-gdb-auto-update-handler, gdb-get-changed-registers)
23788 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
23789 (gdb-frame-handler): Pending triggers are now automatically managed.
23790 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
23792 (gdb-input): Automatically handles pending triggers. Update docstring.
23793 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
23794 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
23796 (gdb-done-or-error): Now use gdb-handle-reply.
23798 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23800 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
23803 2013-05-14 Glenn Morris <rgm@gnu.org>
23805 * subr.el (user-emacs-directory-warning): New option.
23806 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
23808 2013-05-14 Leo Liu <sdl.web@gmail.com>
23810 * progmodes/octave.el (octave-font-lock-keywords): Fix error
23812 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
23813 (octave-font-lock-texinfo-comment): Fix invalid search bound
23814 error: wrong side of point.
23816 2013-05-14 Glenn Morris <rgm@gnu.org>
23818 * progmodes/flymake.el (flymake-xml-program): New option.
23819 (flymake-xml-init): Use it.
23821 * term/xterm.el: Provide a feature.
23823 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
23825 2013-05-13 Glenn Morris <rgm@gnu.org>
23827 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
23828 Add compat aliases as a hack workaround. (Bug#14384)
23830 2013-05-13 Leo Liu <sdl.web@gmail.com>
23832 * progmodes/octave.el (octave-indent-comment): Fix indentation for
23834 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
23836 (octave-comment-start-skip): Include %!.
23837 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
23839 2013-05-12 Leo Liu <sdl.web@gmail.com>
23841 * progmodes/octave.el (inferior-octave-startup): Store the value
23842 of __octave_srcdir__ for octave-source-directories.
23843 (inferior-octave-check-process): New function refactored out of
23844 inferior-octave-send-list-and-digest.
23845 (octave-source-directories)
23846 (octave-find-definition-filename-function): New variables.
23847 (octave-source-directories)
23848 (octave-find-definition-default-filename): New functions.
23849 (octave-find-definition): Improve to find functions implemented in C++.
23851 2013-05-12 Glenn Morris <rgm@gnu.org>
23853 * calendar/diary-lib.el (diary-outlook-format-1):
23854 Don't include dayname in the output. (Bug#14349)
23856 2013-05-11 Glenn Morris <rgm@gnu.org>
23858 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
23860 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
23861 Treat cc-provide like provide.
23863 2013-05-11 Kevin Ryde <user42@zip.com.au>
23865 * cus-dep.el (custom-make-dependencies):
23866 Use generated-autoload-load-name for the sake of files such
23867 such cedet/semantic/bovine/c.el, where the base file name
23868 is not in load-path. (Bug#5277)
23870 2013-05-11 Glenn Morris <rgm@gnu.org>
23872 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
23875 2013-05-11 Leo Liu <sdl.web@gmail.com>
23877 * progmodes/octave.el (octave-indent-comment): Improve.
23878 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
23879 (octave-eldoc-function-signatures, octave-eldoc-function):
23881 (octave-mode, inferior-octave-mode): Add eldoc support.
23883 2013-05-11 Richard Stallman <rms@gnu.org>
23885 * epa.el (epa-decrypt-file): Take output file name as argument
23886 and read it using `interactive'.
23888 2013-05-11 Leo Liu <sdl.web@gmail.com>
23890 * progmodes/octave.el (octave-beginning-of-line)
23891 (octave-end-of-line): Check before using up-list because it jumps
23892 out of more syntactic contructs since moving to smie.
23893 (octave-indent-comment): New function.
23894 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
23895 (octave-begin-keywords, octave-end-keywords)
23896 (octave-reserved-words, octave-smie-bnf-table)
23897 (octave-smie-rules): Add new keywords from Octave 3.6.4.
23899 2013-05-11 Glenn Morris <rgm@gnu.org>
23901 * faces.el (internal-face-x-get-resource):
23902 * frame.el (ns-display-monitor-attributes-list):
23903 * calc/calc-aent.el (math-to-radians-2):
23904 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
23907 * calc/calc-menu.el: Make it loadable in isolation.
23909 * net/eudcb-bbdb.el: Make it loadable without bbdb.
23910 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
23911 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
23912 (eudc-bbdb-query-internal): Require 'bbdb.
23914 * lpr.el (lpr-headers-switches):
23915 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
23917 * progmodes/sql.el (sql-login-params): Fix and improve :type.
23919 * emulation/edt-mapper.el: In batch mode, error rather than hang.
23921 * term.el (term-set-escape-char): Make it idempotent.
23923 2013-05-10 Leo Liu <sdl.web@gmail.com>
23925 * progmodes/octave.el (inferior-octave-completion-table):
23926 No longer a function and all uses changed. Use cache to speed up
23927 completion due to bug#11906.
23928 (octave-beginning-of-defun): Re-write to be more general.
23930 2013-05-10 Glenn Morris <rgm@gnu.org>
23932 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
23934 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
23936 * comint.el (comint-redirect-send-command-to-process): Use :around
23937 rather than :override for comint-redirect-filter.
23938 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
23939 Call it instead of comint-redirect-original-filter-function (which
23940 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
23942 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
23944 * frame.el (display-monitor-attributes-list): Add NS case.
23945 (ns-display-monitor-attributes-list): Declare.
23947 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
23949 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
23951 2013-05-09 Glenn Morris <rgm@gnu.org>
23953 * international/fontset.el (vertical-centering-font-regexp):
23954 Set standard-value.
23956 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
23958 * bookmark.el (bookmark-search-delay):
23959 * cus-start.el (vertical-centering-font-regexp):
23960 * ps-mule.el (ps-mule-font-info-database-default):
23961 * ps-print.el (ps-default-fg, ps-default-bg):
23962 * type-break.el (type-break-good-break-interval):
23963 * whitespace.el (whitespace-indentation-regexp)
23964 (whitespace-space-after-tab-regexp):
23965 * emacs-lisp/testcover.el (testcover-1value-functions)
23966 (testcover-noreturn-functions, testcover-progn-functions)
23967 (testcover-prog1-functions):
23968 * emulation/viper-init.el (viper-emacs-state-cursor-color):
23969 * eshell/em-glob.el (eshell-glob-translate-alist):
23970 * play/tetris.el (tetris-tty-colors):
23971 * progmodes/cpp.el (cpp-face-default-list):
23972 * progmodes/flymake.el (flymake-allowed-file-name-masks):
23973 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
23974 (idlwave-help-browser-generic-args):
23975 * progmodes/make-mode.el (makefile-special-targets-list):
23976 * progmodes/python.el (python-shell-virtualenv-path):
23977 * progmodes/verilog-mode.el (verilog-active-low-regexp)
23978 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
23979 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
23980 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
23981 * textmodes/reftex-vars.el (reftex-format-label-function):
23982 * textmodes/remember.el (remember-diary-file): Fix custom types.
23984 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
23987 2013-05-09 Leo Liu <sdl.web@gmail.com>
23989 * progmodes/octave.el (inferior-octave-completion-at-point):
23990 Restore file completion. (Bug#14300)
23991 (inferior-octave-startup): Fix incorrect highlighting for the
23994 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
23996 * progmodes/ruby-mode.el: First cut at SMIE support.
23997 (ruby-use-smie): New var.
23998 (ruby-smie-grammar): New constant.
23999 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
24000 (ruby-smie--forward-token, ruby-smie--backward-token)
24001 (ruby-smie-rules): New functions.
24002 (ruby-mode-variables): Setup SMIE if applicable.
24004 2013-05-08 Eli Zaretskii <eliz@gnu.org>
24006 * simple.el (line-move-visual): Signal beginning/end of buffer
24007 only if vertical-motion moved less than it was requested. Avoids
24008 silly incorrect error messages when there are display strings with
24009 multiple newlines at EOL.
24011 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
24013 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
24014 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
24015 (prolog-char-quote-workaround):
24016 * progmodes/cperl-mode.el (cperl-under-as-char):
24017 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
24019 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
24021 (vhdl-mode-syntax-table-init): Remove.
24023 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
24026 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
24028 (ld-script-font-lock-keywords):
24029 Change regexps to use things like \_< and \_>.
24031 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
24032 Change all regexps to use things like \_< and \_>.
24034 * progmodes/autoconf.el (autoconf-definition-regexp)
24035 (autoconf-font-lock-keywords, autoconf-current-defun-function):
24036 Handle a _ with symbol syntax.
24037 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
24039 * progmodes/ada-mode.el (ada-mode-abbrev-table):
24040 Consolidate declaration.
24041 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
24043 (ada-create-syntax-table): Remove.
24044 (ada-capitalize-word): Don't mess with the syntax of "_" since it
24045 already has the right syntax nowadays.
24046 (ada-goto-next-word): Don't change the syntax of "_".
24048 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
24051 2013-05-08 Sam Steingold <sds@gnu.org>
24053 * thingatpt.el (thing-at-point): Accept optional second argument
24054 NO-PROPERTIES to strip the text properties from the return value.
24055 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
24056 to `thing-at-point' instead of stripping the properties ourselves.
24057 Also, when `thing-at-point' fails to find a url, prepend "http://"
24058 to the filename at point on the assumption that the user is
24059 pointing at something like gnu.org/gnu.
24061 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
24063 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
24064 * faces.el (crm-separator):
24065 Silence byte-compiler.
24067 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
24068 (tool-bar-map): Remove unneeded defvars.
24070 2013-05-08 Leo Liu <sdl.web@gmail.com>
24072 Re-work a fix for bug#10994 based on Le Wang's patch.
24073 * ido.el (ido-remove-consecutive-dups): New helper.
24074 (ido-completing-read): Use it.
24075 (ido-chop): Revert fix for bug#10994.
24077 2013-05-08 Adam Spiers <emacs@adamspiers.org>
24079 * cus-edit.el (custom-save-variables):
24080 Pretty-print long values. (Bug#14187)
24082 2013-05-08 Glenn Morris <rgm@gnu.org>
24084 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
24085 (m4-mode-syntax-table): Init in the defvar.
24086 (m4-mode-abbrev-table): Let define-derived-mode define it.
24088 2013-05-08 Tom Tromey <tromey@redhat.com>
24090 * progmodes/m4-mode.el (m4-mode-syntax-table):
24091 Do not treat "_" as word constituent. (Bug#14167)
24093 2013-05-07 Glenn Morris <rgm@gnu.org>
24095 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
24096 Remove explicit eshell-isearch-cancel-map.
24098 * progmodes/f90.el (f90-smart-end-names): New option.
24099 (f90-smart-end): Doc fix.
24100 (f90-end-block-optional-name): New constant.
24101 (f90-block-match): Respect f90-smart-end-names.
24103 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
24105 * progmodes/octave.el (octave-smie-forward-token): Be more careful
24106 about implicit semi-colons (bug#14218).
24108 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
24110 * frame.el (display-monitor-attributes-list)
24111 (frame-monitor-attributes): New functions.
24113 2013-05-06 Leo Liu <sdl.web@gmail.com>
24115 * progmodes/octave.el (octave-syntax-propertize-function): Change
24116 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
24117 (octave-font-lock-keywords): Use octave-operator-regexp.
24118 (octave-completion-at-point): Rename from
24119 octave-completion-at-point-function.
24120 (inferior-octave-directory-tracker): Robustify.
24121 (octave-text-functions): Remove and fix its uses. No such things
24124 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
24126 * emacs-lisp/trace.el (trace--display-buffer): New function.
24127 (trace-make-advice): Use it.
24129 2013-05-06 Juri Linkov <juri@jurta.org>
24131 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
24132 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
24134 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
24135 in the help string. (Bug#12985)
24137 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
24139 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
24141 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
24143 * progmodes/perl-mode.el: Add support for here documents.
24144 (perl-syntax-propertize-function): Match here-doc markers.
24145 (perl-syntax-propertize-special-constructs): Find their end.
24146 (perl-imenu-generic-expression): Use [:alnum:].
24148 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
24149 (advice--add-function): Refresh the advice if already present
24152 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
24154 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
24156 2013-05-06 Glenn Morris <rgm@gnu.org>
24158 * w32-fns.el (w32-charset-info-alist): Declare.
24160 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
24161 of its defcustom properties.
24162 (eshell-cmpl-initialize): No need to load pcomplete.
24164 * generic-x.el: No need to require comint when compiling.
24166 * net/eudc-export.el: Make it loadable without bbdb.
24167 (top-level): Use require rather than load-library.
24168 (eudc-create-bbdb-record, eudc-bbdbify-phone)
24169 (eudc-batch-export-records-to-bbdb)
24170 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
24173 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
24175 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
24176 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
24177 some tweaks, instead.
24179 2013-05-05 Leo Liu <sdl.web@gmail.com>
24181 * progmodes/octave.el (octave-font-lock-keywords)
24182 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
24183 (inferior-octave-send-list-and-digest): Improve error message.
24184 (octave-mode, inferior-octave-mode): Use setq-local.
24185 (octave-help): Set info-lookup-mode.
24187 2013-05-05 Richard Stallman <rms@gnu.org>
24189 * vc/compare-w.el (compare-windows-whitespace):
24190 Treat no-break space as whitespace.
24192 * mail/rmailsum.el (rmail-summary-rmail-update):
24193 Detect empty summary and don't change selected message.
24194 (rmail-summary-goto-msg): Likewise.
24196 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
24197 Doc fixes, rename args.
24199 2013-05-05 Alan Mackenzie <acm@muc.de>
24201 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
24203 2013-05-05 Juri Linkov <juri@jurta.org>
24205 * info.el (Info-read-subfile): Use (point-min) instead of (point)
24206 to not add the length of the summary segment to the return value.
24209 2013-05-05 Leo Liu <sdl.web@gmail.com>
24211 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
24212 (inferior-octave-output-filter): Remove.
24213 (octave-send-region, inferior-octave-startup): Fix callers.
24214 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
24215 (octave-binary-file-extensions): New user variable.
24216 (octave-find-definition): Confirm if opening binary files.
24217 (octave-help-file): Use octave-find-definition to get the binary
24219 (octave-help): Adjust for octave-help-file change.
24221 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
24223 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
24224 Merge the two entries that handle function definitions.
24225 (pascal--syntax-propertize): New const.
24226 (pascal-mode): Use it. Use setq-local.
24228 2013-05-04 Glenn Morris <rgm@gnu.org>
24230 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
24231 (diary-from-outlook): Respect diary-from-outlook-function.
24233 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
24235 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
24236 Move the declaration from C.
24237 (read-minibuffer, eval-minibuffer): Move from C.
24238 (completion-setup-function): Avoid minibuffer-completion-contents.
24240 2013-05-03 Leo Liu <sdl.web@gmail.com>
24242 * progmodes/octave.el (octave-font-lock-keywords): Do not
24243 dehighlight 'end' in comments or strings.
24244 (octave-completing-read, octave-goto-function-definition):
24246 (octave-help-buffer): New user variable.
24247 (octave-help-file, octave-help-function): New button types.
24248 (octave-help): New command and bind it to C-h ;.
24249 (octave-find-definition): New command and bind it to M-.
24250 (user-error): Alias to error if not defined.
24252 2013-05-02 Leo Liu <sdl.web@gmail.com>
24254 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
24256 (octave-font-lock-keywords): Include [ and {.
24258 2013-05-02 Leo Liu <sdl.web@gmail.com>
24260 * progmodes/octave.el (inferior-octave-startup-file): Change default.
24261 (inferior-octave): Remove calling comint-mode and return the buffer.
24262 (inferior-octave-startup): Cosmetic changes.
24264 2013-05-02 Leo Liu <sdl.web@gmail.com>
24266 * progmodes/octave.el (octave-syntax-propertize-function):
24267 Include the case when ' is at line beginning. (Bug#14336)
24269 2013-05-02 Glenn Morris <rgm@gnu.org>
24271 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
24272 * desktop.el (vc-dir-mode): Just autoload it here.
24274 2013-05-02 Alan Mackenzie <acm@muc.de>
24276 Eliminate variable c-standard-font-lock-fontify-region-function.
24277 * progmodes/cc-mode.el
24278 (c-standard-font-lock-fontify-region-function): Remove.
24279 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
24281 2013-05-01 Leo Liu <sdl.web@gmail.com>
24283 * progmodes/octave.el: Compatible with older emacs-24 releases.
24284 (inferior-octave-has-built-in-variables): Remove. Built-in
24285 variables were removed from Octave in 2007.
24286 (inferior-octave-startup): Fix uses.
24287 (comint-line-beginning-position): Remove compatibility code for
24290 2013-05-01 Juri Linkov <juri@jurta.org>
24292 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
24294 2013-05-01 Juri Linkov <juri@jurta.org>
24296 * comint.el (comint-previous-matching-input): Don't print message
24297 "History item: %d" when `isearch-mode' is active.
24298 (comint-history-isearch-message): Print message "History item: %d"
24299 when `comint-input-ring-index' is not empty and this function is
24300 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
24302 2013-05-01 Leo Liu <sdl.web@gmail.com>
24304 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
24305 definitions. Use completion-at-point to insert keywords.
24306 (octave-abbrev-start): Remove.
24307 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
24309 2013-04-30 Leo Liu <sdl.web@gmail.com>
24311 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
24314 2013-04-30 Alan Mackenzie <acm@muc.de>
24316 Handle arbitrarily long C++ member initialization lists.
24317 * progmodes/cc-engine.el (c-back-over-member-initializers):
24319 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
24320 (most) member init lists.
24322 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
24324 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
24327 2013-04-30 Leo Liu <sdl.web@gmail.com>
24329 * progmodes/octave.el (octave-variables): Remove. No builtin
24330 variables any more. All converted to functions.
24331 (octave-font-lock-keywords, octave-completion-at-point-function):
24333 (octave-font-lock-texinfo-comment): New user variable.
24334 (octave-texinfo-font-lock-keywords): New variable for texinfo
24336 (octave-function-comment-block): New face.
24337 (octave-font-lock-texinfo-comment): New function.
24338 (octave-mode): Font lock texinfo comment block.
24340 2013-04-29 Leo Liu <sdl.web@gmail.com>
24342 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
24343 indexing expression.
24344 (octave-continuation-string): Do not use \.
24345 (inferior-octave-complete-impossible): Remove.
24346 (inferior-octave-completion-table)
24347 (inferior-octave-completion-at-point): Remove its uses.
24348 (inferior-octave-startup): completion_matches was introduced to
24349 Octave in 1996 so safe to assume it.
24350 (octave-function-file-comment): Improve to follow how Octave does it.
24351 (octave-update-function-file-comment): Tweak.
24353 2013-04-29 Leo Liu <sdl.web@gmail.com>
24355 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
24356 (inferior-octave-startup): Remove inferior-octave-startup-hook.
24357 (octave-function-file-comment): Fix typo.
24358 (octave-sync-function-file-names): Use read-char-choice.
24360 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
24362 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
24363 to t for the less important warnings.
24365 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
24367 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
24369 2013-04-27 Glenn Morris <rgm@gnu.org>
24371 * vc/log-view.el (log-view-current-entry):
24372 Treat "---" separator lines as part of the following rev. (Bug#14169)
24374 2013-04-27 Juri Linkov <juri@jurta.org>
24376 * subr.el (read-number): Doc fix about using it by interactive
24377 code letter `n'. (Bug#14254)
24379 2013-04-27 Juri Linkov <juri@jurta.org>
24381 * desktop.el (desktop-auto-save-timeout): New option.
24382 (desktop-file-checksum): New variable.
24383 (desktop-save): Add optional arg `auto-save' and don't auto-save
24384 if nothing changed.
24385 (desktop-auto-save-timer): New variable.
24386 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
24387 (after-init-hook): Call `desktop-auto-save-set-timer'.
24388 Suggested by Reuben Thomas <rrt@sc3d.org> in
24389 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
24391 2013-04-27 Leo Liu <sdl.web@gmail.com>
24393 * progmodes/octave.el (octave-function-file-p)
24394 (octave-skip-comment-forward, octave-function-file-comment)
24395 (octave-update-function-file-comment): New functions.
24396 (octave-mode-map): Bind C-c ; to
24397 octave-update-function-file-comment.
24398 (octave-mode-menu): Add octave-update-function-file-comment.
24399 (octave-mode, inferior-octave-mode): Fix doc-string.
24400 (octave-insert-defun): Conform to Octave's coding convention.
24403 * files.el (basic-save-buffer): Don't let errors in
24404 before-save-hook prevent saving buffer.
24406 2013-04-20 Roland Winkler <winkler@gnu.org>
24408 * faces.el (read-face-name): Use completing-read if arg multiple
24411 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
24413 * ls-lisp.el (ls-lisp-insert-directory): If no files are
24414 displayed, move point to after the totals line.
24415 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
24418 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
24420 * emacs-lisp/package.el (package-autoload-ensure-default-file):
24421 Add current dir to the load-path.
24422 (package-generate-autoloads): Don't rely on
24423 autoload-ensure-default-file.
24425 2013-04-26 Reuben Thomas <rrt@sc3d.org>
24427 * textmodes/remember.el (remember-store-in-files): Document that
24428 the file name format is passed to `format-time-string'.
24430 2013-04-26 Leo Liu <sdl.web@gmail.com>
24432 * progmodes/octave.el (octave-sync-function-file-names): New function.
24433 (octave-mode): Use it in before-save-hook.
24435 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
24437 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
24440 * progmodes/octave.el (octave-smie-forward-token): Properly skip
24441 \n and comment, even if it's not an implicit ; (bug#14218).
24443 2013-04-26 Glenn Morris <rgm@gnu.org>
24445 * subr.el (read-number): Once more use `read' rather than
24446 `string-to-number', to trap non-numeric input. (Bug#14254)
24448 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
24450 * emacs-lisp/syntax.el (syntax-propertize-multiline):
24451 Use `syntax-multiline' text property consistently instead of
24452 `font-lock-multiline'. (Bug#14237)
24454 2013-04-26 Glenn Morris <rgm@gnu.org>
24456 * emacs-lisp/shadow.el (list-load-path-shadows):
24457 No longer necessary to check for duplicate simple.el, since
24458 2012-07-07 change to init_lread to not include installation lisp
24459 directories in load-path when running uninstalled. (Bug#14270)
24461 2013-04-26 Leo Liu <sdl.web@gmail.com>
24463 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
24464 (octave-mode, inferior-octave-mode): Use setq-local.
24465 (octave-not-in-string-or-comment-p): Rename to
24466 octave-in-string-or-comment-p.
24467 (octave-in-comment-p, octave-in-string-p)
24468 (octave-in-string-or-comment-p): Replace defsubst with defun.
24470 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
24472 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
24474 2013-04-25 Bastien Guerry <bzg@gnu.org>
24476 * textmodes/remember.el (remember-data-directory)
24477 (remember-directory-file-name-format): Fix custom types.
24479 2013-04-25 Leo Liu <sdl.web@gmail.com>
24481 * progmodes/octave.el (octave-completion-at-point-function):
24482 Make use of inferior octave process.
24483 (octave-initialize-completions): Remove.
24484 (inferior-octave-completion-table): New function.
24485 (inferior-octave-completion-at-point): Use it.
24486 (octave-completion-alist): Remove.
24488 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
24490 * progmodes/opascal.el: Use font-lock and syntax-propertize.
24491 (opascal-mode-syntax-table): New var.
24492 (opascal-literal-kind, opascal-is-literal-end)
24493 (opascal-literal-token-at): Rewrite.
24494 (opascal--literal-start-re, opascal-font-lock-keywords)
24495 (opascal--syntax-propertize): New constants.
24496 (opascal-font-lock-defaults): Adjust.
24497 (opascal-mode): Use them. Set comment-<foo> variables as well.
24498 (delphi-comment-face, opascal-comment-face, delphi-string-face)
24499 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
24500 (delphi-other-face, opascal-other-face): Remove face variables.
24501 (opascal-save-state): Remove macro.
24502 (opascal-fontifying-progress-step): Remove constant.
24503 (opascal--ignore-changes): Remove var.
24504 (opascal-set-token-property, opascal-parse-next-literal)
24505 (opascal-is-stable-literal, opascal-complete-literal)
24506 (opascal-is-literal-start, opascal-face-of)
24507 (opascal-parse-region, opascal-parse-region-until-stable)
24508 (opascal-fontify-region, opascal-after-change)
24509 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
24510 (opascal-debug-parse-region, opascal-debug-parse-window)
24511 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
24512 (opascal-debug-fontify-buffer): Remove.
24513 (opascal-debug-mode-map): Adjust accordingly.
24515 2013-04-25 Leo Liu <sdl.web@gmail.com>
24517 Merge octave-mod.el and octave-inf.el into octave.el with some
24519 * progmodes/octave.el: New file renamed from octave-mod.el.
24520 * progmodes/octave-inf.el: Merged into octave.el.
24521 * progmodes/octave-mod.el: Renamed to octave.el.
24523 2013-04-25 Tassilo Horn <tsdh@gnu.org>
24525 * textmodes/reftex-vars.el
24526 (reftex-label-ignored-macros-and-environments): New defcustom.
24528 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
24530 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
24532 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
24533 (smie-indent-keyword): Improve the check to ensure that the next
24534 comment is really on the same line.
24535 (smie-indent-comment): Don't align with a subsequent closer (or eob).
24537 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
24538 semi-colons if the line is not otherwise empty (bug#14218).
24540 2013-04-25 Glenn Morris <rgm@gnu.org>
24542 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
24544 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
24546 * progmodes/opascal.el (opascal-set-token-property): Rename from
24547 opascal-set-text-properties and only set `token' (bug#14134).
24548 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
24549 (opascal-literal-text-properties): Remove.
24550 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
24553 2013-04-24 Reuben Thomas <rrt@sc3d.org>
24555 * textmodes/remember.el (remember-handler-functions): Add an
24556 option for a new handler `remember-store-in-files'.
24557 (remember-data-directory, remember-directory-file-name-format):
24559 (remember-store-in-files): New function to store remember notes
24560 as separate files within a directory.
24562 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
24564 * progmodes/compile.el (compilation-next-error-function):
24565 Pass "formats" to compilation-find-file (bug#11777).
24567 2013-04-24 Glenn Morris <rgm@gnu.org>
24569 * vc/vc-bzr.el (vc-bzr-print-log):
24570 * vc/vc-hg.el (vc-hg-print-log):
24571 * vc/vc-svn.el (vc-svn-print-log):
24572 Fix START-REVISION with LIMIT != 1. (Bug#14168)
24574 * vc/vc-bzr.el (vc-bzr-print-log):
24575 * vc/vc-cvs.el (vc-cvs-print-log):
24576 * vc/vc-git.el (vc-git-print-log):
24577 * vc/vc-hg.el (vc-hg-print-log):
24578 * vc/vc-mtn.el (vc-mtn-print-log):
24579 * vc/vc-rcs.el (vc-rcs-print-log):
24580 * vc/vc-sccs.el (vc-sccs-print-log):
24581 * vc/vc-svn.el (vc-svn-print-log):
24582 * vc/vc.el (vc-print-log-internal): Doc fixes.
24584 2013-04-23 Glenn Morris <rgm@gnu.org>
24586 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
24587 Remove venerable code attempting to avoid substitute-command-keys.
24589 2013-04-23 Tassilo Horn <tsdh@gnu.org>
24591 * textmodes/reftex-vars.el (reftex-label-regexps):
24592 Call `reftex-compile-variables' after changes to this variable.
24594 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
24596 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
24597 Use lexical-binding.
24598 (jit-lock-force-redisplay): Use markers, check buffer's continued
24599 existence and beware narrowed buffers.
24600 (jit-lock-fontify-now): Adjust call accordingly.
24602 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
24604 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
24605 to avoid misleading the user.
24607 2013-04-22 Leo Liu <sdl.web@gmail.com>
24609 * info-look.el: Prefer latex2e.info. (Bug#14240)
24611 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
24613 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
24615 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
24616 * net/tramp.el (tramp-call-process): ... here.
24617 (tramp-set-completion-function, tramp-parse-putty):
24618 * net/tramp-adb.el (tramp-adb-execute-adb-command):
24619 * net/tramp-gvfs.el (tramp-gvfs-send-command):
24620 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
24621 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
24622 (tramp-call-local-coding-command): Use `tramp-call-process'
24623 instead of `tramp-compat-call-process'.
24625 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
24626 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
24627 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
24628 (tramp-find-inline-compress): Improve traces.
24629 (tramp-maybe-send-script): Check for Perl binary.
24630 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
24632 2013-04-22 Daiki Ueno <ueno@gnu.org>
24634 * epg.el (epg-context-pinentry-mode): New function.
24635 (epg-context-set-pinentry-mode): New function.
24636 (epg--start): Pass --pinentry-mode option to gpg command.
24638 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
24640 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
24641 `comint-dynamic-complete' is obsolete since 24.1, replaced by
24642 `completion-at-point'. (Bug#13774)
24644 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
24645 default key binding for `describe-distribution' has been moved to
24646 `C-h C-o'. (Bug#13970)
24648 2013-04-21 Glenn Morris <rgm@gnu.org>
24650 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
24652 (vc-print-log): Clarify interactive prompt.
24654 2013-04-20 Glenn Morris <rgm@gnu.org>
24656 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
24657 No longer include timestamp etc information.
24659 2013-04-20 Roland Winkler <winkler@gnu.org>
24661 * faces.el (read-face-name): Bug fix, return just one face if arg
24662 multiple is nil. (Bug#14209)
24664 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
24666 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
24667 (remove-function): Autoload.
24669 * comint.el (comint-redirect-original-filter-function): Remove.
24670 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
24671 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
24672 (vc-cvs-annotate-command):
24673 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
24674 * progmodes/prolog.el (prolog-consult-compile):
24675 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
24676 Use add/remove-function instead.
24677 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
24678 (gud-tooltip-process-output, gud-tooltip-tips):
24679 Use add/remove-function instead.
24680 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
24681 (scheme-interaction-mode, exit-scheme-interaction-mode):
24682 Use add/remove-function instead.
24684 * vc/vc-dispatcher.el: Use lexical-binding.
24685 (vc--process-sentinel): Rename from vc-process-sentinel.
24686 Change last arg to be the code to run. Don't use vc-previous-sentinel
24687 and vc-sentinel-commands any more.
24688 (vc-exec-after): Allow code to be a function. Use add/remove-function.
24689 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
24691 2013-04-19 Masatake YAMATO <yamato@redhat.com>
24693 * progmodes/sh-script.el (sh-imenu-generic-expression):
24694 Handle function names with a single character. (Bug#14111)
24696 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
24698 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
24699 for subroutines defined in an eval (bug#14182).
24701 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
24703 * bookmark.el (bookmark-completing-read): Improve handling of empty
24704 string (bug#14176).
24706 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
24708 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
24710 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
24712 New faster Imenu implementation (bug#14058).
24713 * progmodes/python.el (python-imenu-prev-index-position)
24714 (python-imenu-format-item-label-function)
24715 (python-imenu-format-parent-item-label-function)
24716 (python-imenu-format-parent-item-jump-label-function):
24718 (python-imenu-format-item-label)
24719 (python-imenu-format-parent-item-label)
24720 (python-imenu-format-parent-item-jump-label)
24721 (python-imenu--put-parent, python-imenu--build-tree)
24722 (python-imenu-create-index, python-imenu-create-flat-index)
24723 (python-util-popn): New functions.
24724 (python-mode): Set imenu-create-index-function to
24725 python-imenu-create-index.
24727 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24729 * winner.el (winner-active-region): Use region-active-p, activate-mark
24730 and deactivate-mark (bug#14225).
24732 * simple.el (deactivate-mark): Don't inline it.
24734 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
24736 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
24738 2013-04-18 Tassilo Horn <tsdh@gnu.org>
24740 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
24741 file extensions from the archive-mode entry in order to prefer
24742 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
24744 2013-04-18 Leo Liu <sdl.web@gmail.com>
24746 * bindings.el (help-event-list): Add ?\?.
24748 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24750 * subr.el (with-wrapper-hook): Declare obsolete.
24751 * simple.el (filter-buffer-substring-function): New hook.
24752 (filter-buffer-substring): Use it.
24753 (filter-buffer-substring-functions): Mark obsolete.
24754 * minibuffer.el (completion-in-region-function): New hook.
24755 (completion-in-region): Use it.
24756 (completion-in-region-functions): Mark obsolete.
24757 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
24758 * abbrev.el (abbrev-expand-function): New hook.
24759 (expand-abbrev): Use it.
24760 (abbrev-expand-functions): Mark obsolete.
24761 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
24762 and :filter-return.
24764 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24766 * progmodes/python.el (python-nav--syntactically): Fix cornercases
24767 and do not care about match data.
24769 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24771 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
24772 completion tables when completing error conditions and
24773 `declare' arguments.
24774 (lisp-complete-symbol, field-complete): Mark as obsolete.
24775 (check-parens): Unmatched parens are user errors.
24776 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
24778 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
24780 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
24781 command changed buffer (ie. `flyspell-pre-buffer' is not current
24782 buffer), which prevents making decisions based on invalid value of
24783 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
24784 cause an error when `flyspell-pre-point' was nil after switching
24786 (flyspell-post-command-hook): No longer needs to change buffers when
24787 checking pre-word. While at it remove unnecessary progn.
24789 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
24791 * textmodes/ispell.el (ispell-add-per-file-word-list):
24792 Fix `flyspell-correct-word-before-point' error when accepting
24793 words and `coment-padding' is an integer by using
24794 `comment-normalize-vars' (Bug #14214).
24796 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24798 New defun movement commands.
24799 * progmodes/python.el (python-nav--syntactically)
24800 (python-nav--forward-defun, python-nav-backward-defun)
24801 (python-nav-forward-defun): New functions.
24803 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24805 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
24806 (python-syntax-context): Use named compiler-macro for backwards
24807 compatibility with Emacs 24.x.
24809 2013-04-17 Leo Liu <sdl.web@gmail.com>
24811 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
24812 octave-hide-process-buffer.
24814 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24816 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
24819 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
24821 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
24822 Fix adjustment of offset when receiving incomplete responses from GDB
24825 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
24827 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
24828 python-mode-abbrev-table.
24829 (python-skeleton-define): Adjust accordingly.
24830 (python-mode-abbrev-table): New table that inherits from it so that
24831 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
24833 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
24834 (abbrev-symbol): Use it.
24835 (abbrev--before-point): Use it since we already handle inheritance.
24837 2013-04-16 Leo Liu <sdl.web@gmail.com>
24839 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
24840 binding to info-lookup-symbol.
24842 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
24844 * minibuffer.el (completion--twq-all):
24845 * term/ns-win.el (ns-initialize-window-system):
24846 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
24848 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
24850 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
24853 * doc-view.el (doc-view-start-process): Handle url-handler directories.
24855 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
24857 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
24858 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
24860 (ruby-end-of-defun): Remove the unused arg, change the docstring
24861 to reflect that this function is only used as the value of
24862 `end-of-defun-function'.
24863 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
24864 to reflect an earlier change that beginning/end-of-defun functions
24865 jump between methods in a class definition, as well as top-level
24868 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
24870 * minibuffer.el (minibuffer-complete): Don't just scroll
24871 a *Completions* that's been iconified.
24872 (minibuffer-force-complete): Make sure repetitions do cycle when going
24873 through completion-in-region -> minibuffer-complete.
24875 2013-04-15 Alan Mackenzie <acm@muc.de>
24877 Correct the placement of c-cpp-delimiters when there're #s not at
24880 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
24881 place a submatch around the #.
24882 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
24883 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
24886 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
24888 * emacs-lisp/nadvice.el: Properly test names when adding advice.
24889 (advice--member-p): New arg `name'.
24890 (advice--add-function, advice-member-p): Use it (bug#14202).
24892 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
24894 Reformulate java imenu-generic-expression.
24895 The old expression contained ill formed regexps.
24897 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
24898 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
24899 (cc-imenu-java-method-arg-regexp): New defconsts.
24900 (cc-imenu-java-build-type-args-regex): New defun.
24901 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
24902 handling of spaces in the regexp.
24904 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
24906 * textmodes/ispell.el (ispell-command-loop): Remove
24907 flyspell highlight of a word when ispell accepts it (bug #14178).
24909 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
24911 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
24912 uses code from the previous `ange-ftp-run-real-handler'.
24913 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
24914 only in case that function exist. This is needed for proper
24915 unloading of Tramp.
24917 2013-04-15 Tassilo Horn <tsdh@gnu.org>
24919 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
24921 * textmodes/reftex.el (reftex-compile-variables): Use it.
24923 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
24925 * files.el (normal-mode): Only use default major-mode if no other mode
24928 * emacs-lisp/trace.el (trace-values): New function.
24930 * files.el: Allow : in local variables (bug#14089).
24931 (hack-local-variable-regexp): New var.
24932 (hack-local-variables-prop-line, hack-local-variables): Use it.
24934 2013-04-13 Roland Winkler <winkler@gnu.org>
24936 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
24937 data before it gets modified by bibtex-beginning-of-entry.
24939 2013-04-13 Roland Winkler <winkler@gnu.org>
24941 * textmodes/bibtex.el (bibtex-url): Doc fix.
24943 2013-04-13 Roland Winkler <winkler@gnu.org>
24945 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
24946 does not visit a BibTeX file, exclude it from the list of buffers
24947 returned by bibtex-initialize.
24949 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
24951 * window.el (split-window): Remove interactive form, since as a
24952 command this function is a special case of split-window-below.
24953 Correct doc string.
24955 2013-04-12 Roland Winkler <winkler@gnu.org>
24957 * faces.el (read-face-name): Do not override value of arg default.
24958 Allow single faces and strings as default values. Remove those
24959 elements from return value that are not faces.
24960 (describe-face): Simplify.
24961 (face-at-point): New optional args thing and multiple so that this
24962 function can provide the same functionality previously provided by
24964 (make-face-bold, make-face-unbold, make-face-italic)
24965 (make-face-unitalic, make-face-bold-italic, invert-face)
24966 (modify-face, read-face-and-attribute): Use face-at-point.
24968 * cus-edit.el (customize-face, customize-face-other-window)
24969 * cus-theme.el (custom-theme-add-face)
24970 * face-remap.el (buffer-face-set)
24971 * facemenu.el (facemenu-set-face): Use face-at-point.
24973 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
24975 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
24977 2013-04-10 Tassilo Horn <tsdh@gnu.org>
24979 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
24980 off leading { and trailing } from field values.
24982 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
24984 * emacs-lisp/timer.el (timer--check): New function.
24985 (timer--time, timer-set-function, timer-event-handler): Use it.
24986 (timer-set-idle-time): Simplify.
24987 (timer--activate): CSE.
24988 (timer-event-handler): Give more info in error message.
24989 (internal-timer-start-idle): New function, moved from C.
24991 * mpc.el (mpc-proc): Add `restart' argument.
24992 (mpc-proc-cmd): Use it.
24993 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
24994 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
24997 2013-04-10 Masatake YAMATO <yamato@redhat.com>
24999 * progmodes/sh-script.el: Implement `sh-mode' own
25000 `add-log-current-defun-function' (bug#14112).
25001 (sh-current-defun-name): New function.
25002 (sh-mode): Use the function.
25004 2013-04-09 Bastien Guerry <bzg@gnu.org>
25006 * simple.el (choose-completion-string): Fix docstring (bug#14163).
25008 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
25010 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
25012 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
25015 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
25017 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
25020 2013-04-07 Leo Liu <sdl.web@gmail.com>
25022 * pcmpl-x.el: New file.
25024 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
25026 Do not set x-display-name until X connection is established.
25027 This is needed to prevent from weird situation described at
25028 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
25029 * frame.el (make-frame): Set x-display-name after call to
25030 window system initialization function, not before.
25031 * term/x-win.el (x-initialize-window-system): Add optional
25032 display argument and use it.
25033 * term/w32-win.el (w32-initialize-window-system):
25034 * term/ns-win.el (ns-initialize-window-system):
25035 * term/pc-win.el (msdos-initialize-window-system):
25036 Add compatible optional display argument.
25038 2013-04-06 Eli Zaretskii <eliz@gnu.org>
25040 * files.el (normal-backup-enable-predicate): On MS-Windows and
25041 MS-DOS compare truenames of temporary-file-directory and of the
25042 file, so that 8+3 aliases (usually found in $TEMP on Windows)
25043 don't fail comparison by compare-strings. Also, compare file
25044 names case-insensitively on MS-Windows and MS-DOS.
25046 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
25048 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
25049 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
25051 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
25053 * whitespace.el (whitespace-color-on, whitespace-color-off):
25054 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
25056 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
25058 * ispell.el (ispell-set-spellchecker-params):
25059 Really set `ispell-args' for all equivs.
25061 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
25063 * ido.el (ido-completions): Use extra elements of ido-decorations
25065 (ido-decorations): Update docstring.
25067 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
25069 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
25070 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
25071 nil during initialization, in order not to miss changes since the
25072 file was opened. (Bug#14140)
25074 2013-04-05 Leo Liu <sdl.web@gmail.com>
25076 * kmacro.el (kmacro-call-macro): Fix bug#14135.
25078 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
25080 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
25082 2013-04-04 Glenn Morris <rgm@gnu.org>
25084 * electric.el (electric-pair-inhibit-predicate): Add :version.
25086 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
25088 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
25089 when a package is required several times (bug#14082).
25091 2013-04-04 Roland Winkler <winkler@gnu.org>
25093 * faces.el (read-face-name): Behave as promised by the docstring.
25094 Assume that arg default is a list of faces.
25095 (describe-face): Call read-face-name with list of default faces.
25097 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
25099 * bookmark.el: Fix deletion of bookmarks (bug#13972).
25100 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
25101 (bookmark-bmenu-execute-deletions): Only skip first line if it's
25103 (bookmark-exit-hook-internal): Save even if list is empty.
25105 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
25107 * emacs-lisp/package.el (package-pinned-packages): New var.
25108 (package--add-to-archive-contents): Obey it (bug#14118).
25110 2013-04-03 Alan Mackenzie <acm@muc.de>
25112 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
25113 Also adapt to the new values of element 7 of a parse state.
25115 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
25116 parameter `not-in-delimiter'. Handle being inside comment opener.
25117 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
25118 character in case we're typing a '*' after a '/'.
25119 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
25120 instead by passing the parameter to c-state-pp-to-literal.
25122 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
25123 for elt. 7 of a parse state.
25125 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
25127 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
25128 * international/latin1-disp.el, international/mule-util.el:
25129 * language/cyril-util.el, language/european.el, language/ind-util.el:
25130 * language/lao-util.el, language/thai.el, language/tibet-util.el:
25131 * language/tibetan.el, language/viet-util.el:
25132 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
25134 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
25136 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
25137 (electric-pair-post-self-insert-function): Use it.
25138 (electric-pair-default-inhibit): New function, extracted from
25139 electric-pair-post-self-insert-function.
25141 2013-03-31 Roland Winkler <winkler@gnu.org>
25143 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
25145 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
25147 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
25149 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
25151 Un-indent after "pass" and "return" statements (Bug#13888)
25152 * progmodes/python.el (python-indent-block-enders): New var.
25153 (python-indent-calculate-indentation): Use it.
25155 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
25157 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
25158 defun. Defining it as defalias could introduce too eager
25159 byte-compiler optimization. (Bug#14030)
25161 2013-03-30 Chong Yidong <cyd@gnu.org>
25163 * iswitchb.el (iswitchb-read-buffer): Fix typo.
25165 2013-03-30 Leo Liu <sdl.web@gmail.com>
25167 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
25168 (kmacro-execute-from-register): Pass the keyboard macro to
25169 kmacro-call-macro or repeating won't work correctly.
25171 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
25173 * progmodes/subword.el: Back to using `forward-symbol'.
25175 * subr.el (forward-whitespace, forward-symbol)
25176 (forward-same-syntax): Move from thingatpt.el.
25178 2013-03-29 Leo Liu <sdl.web@gmail.com>
25180 * kmacro.el (kmacro-to-register): New command.
25181 (kmacro-execute-from-register): New function.
25182 (kmacro-keymap): Bind to 'x'. (Bug#14071)
25184 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
25186 * mpc.el: Use defvar-local and setq-local.
25187 (mpc--proc-connect): Connection failures are not bugs.
25188 (mpc-mode-map): `follow-link' only applies to the buffer's content.
25189 (mpc-volume-map): Bind to the up-events.
25191 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
25193 * progmodes/subword.el (superword-mode): Use `forward-sexp'
25194 instead of `forward-symbol'.
25196 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
25198 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
25199 (edebug--recursive-edit): Use it.
25200 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
25201 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
25203 2013-03-28 Leo Liu <sdl.web@gmail.com>
25205 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
25207 2013-03-27 Eli Zaretskii <eliz@gnu.org>
25209 * facemenu.el (list-colors-callback): New defvar.
25210 (list-colors-redisplay): New function.
25211 (list-colors-display): Install list-colors-redisplay as the
25212 revert-buffer-function. (Bug#14063)
25214 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
25216 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
25217 and suffixes don't overlap (bug#14061).
25219 * case-table.el: Use lexical-binding.
25220 (case-table-get-table): New function.
25221 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
25223 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
25225 * progmodes/subword.el: Add `superword-mode' to do word motion
25226 over symbol_words (parallels and leverages `subword-mode' which
25227 does word motion inside MixedCaseWords).
25229 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
25231 * eshell/em-unix.el: Move su and sudo to...
25232 * eshell/em-tramp.el: ...Eshell tramp module.
25234 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
25236 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
25237 Change return value to be a sexp. Delay `get-buffer' to after
25238 restoring the desktop (bug#13951).
25240 2013-03-26 Leo Liu <sdl.web@gmail.com>
25242 * register.el: Move semantic tag handling back to
25243 cedet/semantic/senator.el. (Bug#14052)
25245 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
25247 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
25248 into the prompt either (bug#13963).
25250 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
25252 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
25253 part of "(error-foo)".
25255 2013-03-24 Juri Linkov <juri@jurta.org>
25257 * replace.el (list-matching-lines-prefix-face): New defcustom.
25258 (occur-1): Pass `list-matching-lines-prefix-face' to the function
25259 `occur-engine' if `face-differs-from-default-p' returns t.
25260 (occur-engine): Add `,' inside backquote construct to evaluate
25261 `prefix-face'. Propertize the prefix with the `prefix-face' face.
25262 Pass `prefix-face' to the functions `occur-context-lines' and
25263 `occur-engine-add-prefix'.
25264 (occur-engine-add-prefix, occur-context-lines): Add optional arg
25265 `prefix-face' and propertize the prefix with `prefix-face'.
25268 2013-03-24 Leo Liu <sdl.web@gmail.com>
25270 * nxml/rng-valid.el (rng-validate-while-idle)
25271 (rng-validate-quick-while-idle): Guard against deleted buffer.
25274 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
25275 is the last entry in kill-buffer-hook.
25277 * files.el (kill-buffer-hook): Doc fix.
25279 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
25281 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
25282 Make it safe-local.
25284 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
25286 2013-03-23 Leo Liu <sdl.web@gmail.com>
25288 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
25291 * nxml/rng-valid.el (rng-validate-mode)
25292 (rng-after-change-function, rng-do-some-validation):
25293 * nxml/rng-maint.el (rng-validate-buffer):
25294 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
25295 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
25296 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
25297 (nxml-extend-after-change-region): Use with-silent-modifications.
25299 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
25302 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
25303 (rng-next-error-1, rng-previous-error-1): Do not let-bind
25304 timer-idle-list. (Bug#13999)
25306 2013-03-23 Juri Linkov <juri@jurta.org>
25308 * info.el (info-index-match): New face.
25309 (Info-index, Info-apropos-matches): Add a nested subgroup to the
25310 main pattern and add text properties with the new face to matches
25311 in index entries relative to the beginning of the index entry.
25314 2013-03-21 Eric Ludlam <zappo@gnu.org>
25316 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
25317 Inhibit read only while inserting objects.
25319 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
25321 * progmodes/cfengine.el: Update docs to mention
25322 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
25323 symbol motion. Remove "_" from the word syntax.
25325 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
25327 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
25328 syntax for both `cfengine2-mode' and `cfengine3-mode'.
25330 2013-03-20 Juri Linkov <juri@jurta.org>
25332 * info.el (Info-next-reference-or-link)
25333 (Info-prev-reference-or-link): New functions.
25334 (Info-next-reference, Info-prev-reference): Use them.
25335 (Info-try-follow-nearest-node): Handle footnote navigation.
25336 (Info-fontify-node): Fontify footnotes. (Bug#13989)
25338 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
25340 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
25341 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
25343 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
25345 Suppress unnecessary non-ASCII chatter during build process.
25346 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
25347 (batch-skkdic-convert): Suppress most of the chatter.
25348 It's not needed so much now that machines are faster,
25349 and its non-ASCII component was confusing; see Dmitry Gutov in
25350 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
25352 2013-03-20 Leo Liu <sdl.web@gmail.com>
25354 * ido.el (ido-chop): Fix bug#10994.
25356 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
25358 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
25360 (whitespace-color-on, whitespace-color-off):
25361 Use `font-lock-fontify-buffer' (Bug#13817).
25363 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
25365 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
25366 remapping in mode-line.
25367 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
25369 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
25371 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
25372 value for `whitespace-line' face (Bug#13875).
25373 (whitespace-font-lock-keywords): Change description.
25374 (whitespace-color-on): Don't save `font-lock-keywords' value, save
25375 the constructed keywords instead.
25376 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
25378 2013-03-19 Leo Liu <sdl.web@gmail.com>
25380 * progmodes/compile.el (compilation-display-error): New command.
25381 (compilation-mode-map, compilation-minor-mode-map): Bind it to
25384 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
25386 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
25388 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
25390 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
25392 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
25394 * net/tramp-compat.el (tramp-compat-user-error): New defun.
25396 * net/tramp-adb.el (tramp-adb-handle-shell-command):
25397 * net/tramp-gvfs.el (top):
25398 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
25399 (tramp-handle-shell-command): Use it.
25400 (tramp-dissect-file-name): Raise an error when hostname is a
25401 method name, and neither method nor user is specified.
25403 * net/trampver.el: Update release number.
25405 2013-03-18 Leo Liu <sdl.web@gmail.com>
25407 Make sure eldoc can be turned off properly.
25408 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
25410 (eldoc-display-message-p): Revert last change.
25411 (eldoc-display-message-no-interference-p)
25412 (eldoc-print-current-symbol-info): Tweak.
25414 2013-03-18 Tassilo Horn <tsdh@gnu.org>
25416 * doc-view.el (doc-view-new-window-function): Check the new window
25417 overlay's display property instead the char property of the
25418 buffer's first char. Use `with-selected-window' instead of
25419 `save-window-excursion' with `select-window'.
25420 (doc-view-document->bitmap): Check the current doc-view overlay's
25421 display property instead the char property of the buffer's first char.
25423 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
25425 Automate the build of ja-dic.el (Bug#13984).
25426 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
25427 from the input, rather than assume that it's been done for us by the
25428 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
25429 the current date into a ja-dic.el comment, as that complicates
25430 regression testing.
25432 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
25434 * whitespace.el: Fix double evaluation.
25435 (whitespace-space, whitespace-hspace, whitespace-tab)
25436 (whitespace-newline, whitespace-trailing, whitespace-line)
25437 (whitespace-space-before-tab, whitespace-indentation)
25438 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
25440 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
25441 (whitespace-color-on): Use a single font-lock-add-keywords call.
25442 Fix double-evaluation of face variables.
25444 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
25446 * net/tramp-adb.el (tramp-adb-parse-device-names):
25447 Use `start-process' instead of `call-process'. Otherwise, the
25448 function might be blocked under MS Windows. (Bug#13299)
25450 2013-03-17 Leo Liu <sdl.web@gmail.com>
25452 Extend eldoc to display info in the mode-line. (Bug#13978)
25453 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
25454 (eldoc-mode-line-string): New variable.
25455 (eldoc-minibuffer-message): New function.
25456 (eldoc-message-function): New variable.
25457 (eldoc-message): Use it.
25458 (eldoc-display-message-p)
25459 (eldoc-display-message-no-interference-p):
25460 Support eldoc-post-insert-mode.
25462 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
25463 (eval-expression): Run it.
25465 2013-03-17 Roland Winkler <winkler@gnu.org>
25467 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
25468 strings in the list of return values.
25470 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
25472 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
25473 radix before checking for HMS forms.
25475 2013-03-16 Leo Liu <sdl.web@gmail.com>
25477 * progmodes/scheme.el: Add indentation and font-locking for λ.
25480 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
25482 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
25483 token before point (bug#13942).
25485 2013-03-16 Leo Liu <sdl.web@gmail.com>
25487 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
25489 2013-03-16 Eli Zaretskii <eliz@gnu.org>
25491 * startup.el (command-line-normalize-file-name): Fix handling of
25492 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
25493 <xfq.free@gmail.com> in
25494 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
25496 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
25498 Sync with Tramp 2.2.7.
25500 * net/trampver.el: Update release number.
25502 2013-03-14 Tassilo Horn <tsdh@gnu.org>
25504 * doc-view.el: Fix bug#13887.
25505 (doc-view-insert-image): Don't modify overlay associated to
25506 non-live windows, and implement horizontal centering of image in
25507 case it's smaller than the window.
25508 (doc-view-new-window-function): Force redisplay of new windows on
25511 2013-03-13 Karl Fogel <kfogel@red-bean.com>
25513 * saveplace.el (save-place-alist-to-file): Don't sort
25514 `save-place-alist', just pretty-print it (bug#13882).
25516 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
25518 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
25519 Check whether `default-file-name-coding-system' is bound.
25520 It isn't in XEmacs.
25522 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
25524 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
25525 backquotes for `obsolete' (bug#13929).
25527 * international/mule.el (find-auto-coding): Include file name in
25528 obsolescence warning (bug#13922).
25530 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
25532 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
25533 for CFEngine 3-specific indentation.
25534 (cfengine3-indent-line): Use it. Fix up category regex.
25535 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
25537 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
25539 * type-break.el (type-break-file-name):
25540 * textmodes/remember.el (remember-data-file):
25541 * strokes.el (strokes-file):
25542 * shadowfile.el (shadow-initialize):
25543 * saveplace.el (save-place-file):
25544 * ps-bdf.el (bdf-cache-file):
25545 * progmodes/idlwave.el (idlwave-config-directory):
25546 * net/quickurl.el (quickurl-url-file):
25547 * international/kkc.el (kkc-init-file-name):
25548 * ido.el (ido-save-directory-list-file):
25549 * emulation/viper.el (viper-custom-file-name):
25550 * emulation/vip.el (vip-startup-file):
25551 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
25552 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
25554 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
25556 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
25557 * language/thai-word.el: Switch to UTF-8.
25559 See ChangeLog.16 for earlier changes.
25561 ;; Local Variables:
25565 Copyright (C) 2011-2015 Free Software Foundation, Inc.
25567 This file is part of GNU Emacs.
25569 GNU Emacs is free software: you can redistribute it and/or modify
25570 it under the terms of the GNU General Public License as published by
25571 the Free Software Foundation, either version 3 of the License, or
25572 (at your option) any later version.
25574 GNU Emacs is distributed in the hope that it will be useful,
25575 but WITHOUT ANY WARRANTY; without even the implied warranty of
25576 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
25577 GNU General Public License for more details.
25579 You should have received a copy of the GNU General Public License
25580 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.