1 2016-02-06 Lars Ingebrigtsen <larsi@gnus.org>
3 * lisp/gnus/gnus-art.el (gnus-button-valid-fqdn-regexp): Don't use
4 the no-longer-existing message-valid-fqdn-regexp variable.
6 2016-02-06 Lars Ingebrigtsen <larsi@gnus.org>
8 Remove message-valid-fqdn-regexp, since it changes too much now
9 * lisp/gnus/message.el (message-valid-fqdn-regexp): Remove.
10 (message-bogus-recipient-p): Don't use it any more.
11 (message-make-fqdn): Ditto. Suggested by Lars-Johan Liman.
13 2016-02-06 Paul van der Walt <paul@denknerd.org> (tiny change)
15 * lisp/gnus/message.el (message-subject-re-regexp): Also match
16 "Re :" as a "Re:" prefix (commonly used in France).
18 2016-02-06 Adam Sjøgren <asjo@koldfront.dk>
20 * lisp/net/shr.el (shr-tag-del, shr-tag-ins): New functions.
22 2016-02-06 David Edmondson <dme@dme.org>
24 src/process.c Correctly convert AF_INET6 addresses
25 * src/process.c (conv_lisp_to_sockaddr): AF_INET6 addresses are
26 converted to a list of 16 bit quantities by
27 conv_sockaddr_to_lisp(). conv_lisp_to_sockaddr() should follow the
28 same scheme rather than expecting a (longer) list of 8 bit
31 2016-02-06 Martin Jesper Low Madsen <martin@martinjlowm.dk> (tiny change)
33 * lisp/gnus/auth-source.el (auth-source-macos-keychain-search):
34 Search for all host/port (or protocol) combinations for a match in
37 2016-02-06 Lars Ingebrigtsen <larsi@gnus.org>
39 Remove nonsensical setting of gnus-newsgroup-unseen
41 * lisp/gnus/gnus-sum.el (gnus-update-marks): Remove nonsensical
42 setting of gnus-newsgroup-unseen.
44 2016-02-05 Lars Ingebrigtsen <larsi@gnus.org>
46 Use underline on all terminals that support it
48 * lisp/subr.el (read-multiple-choice): Use
49 display-supports-face-attributes-p instead of
50 display-graphic-p to determine whether we can use underlining.
52 2016-02-05 Lars Ingebrigtsen <larsi@gnus.org>
54 Make the nsm query say what it did after the user interaction
56 * lisp/net/nsm.el (nsm-query): Issue a message about
57 aborting/accepting messages (suggested by N. Jackson)
60 2016-02-05 Paul Eggert <eggert@cs.ucla.edu>
62 Omit XLI (init) == 0 optimization in make-vector
64 * src/alloc.c (Fmake_vector): Simplify by omitting the (XLI (init)
65 == 0) case, as this optimization is probably not worth the hassle.
66 Just for the record, the test for that case could have been
67 (XLI (init) % ((EMACS_UINT) -1 / UCHAR_MAX) == 0) (!),
68 assuming the typical platform with no padding bits and where
69 conversion to int omits the most significant bits.
71 2016-02-05 Paul Eggert <eggert@cs.ucla.edu>
73 * autogen.sh: Port to dash (Bug#22556).
75 2016-02-05 Michael Albinus <michael.albinus@gmx.de>
77 Minor cleanup for Tramp "doas".
79 * doc/misc/tramp.texi (Inline methods): Add "doas" method.
81 * etc/NEWS: Add Tramp connection method "doas".
83 * lisp/net/tramp-sh.el (tramp-methods) <doas>:
84 Add `tramp-remote-shell-args'.
86 2016-02-05 Xi Lu <lx@shellcodes.org>
88 * lisp/net/tramp-sh.el (tramp-methods) <doas>: Add. (Bug#22542)
90 (tramp-default-user-alist): Add rule for "doas".
91 (top): Completion function for "doas" is
92 `tramp-completion-function-alist-su'.
94 2016-02-05 Lars Ingebrigtsen <larsi@gnus.org>
96 Restore the window configuration
98 * lisp/net/nsm.el (nsm-query-user): Restore the window
99 configuration (bug#22532).
101 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
103 Use an X popup in read-multiple-choice if running from a mouse command
105 * lisp/subr.el (read-multiple-choice): Use an X popup if
106 called from a mouse action (bug#19368).
108 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
110 Display cursor in echo area when prompting
112 * lisp/subr.el (read-multiple-choice): Display the cursor in
113 the echo area when prompting (bug#19368).
115 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
117 Make NSM prompting clearer
119 * lisp/net/nsm.el (nsm-query-user): Use read-multiple-choice
120 to prompt in a nicer way (bug#19368).
122 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
124 Underline read-multiple-choice-face
126 * lisp/faces.el (read-multiple-choice-face): Also underline
129 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
131 Make the read-multiple-choice prompt a bit prettier
133 * doc/lispref/commands.texi (Reading One Event): Mention
134 read-multiple-choice-face.
136 * lisp/subr.el (read-multiple-choice): Make the prompting a bit
139 2016-02-04 Paul Eggert <eggert@cs.ucla.edu>
141 Prefer memcpy and memset to doing it by hand
143 * src/alloc.c (Fmake_vector):
144 * src/ccl.c (setup_ccl_program):
145 Use memset to clear array.
146 * src/alloc.c (Fvector, Fmake_byte_code):
147 * src/charset.c (Fdefine_charset_internal):
148 Use memcpy to copy array.
150 2016-02-04 Nicolas Petton <nicolas@petton.fr>
152 Do not ignore redirections of 301, 302 and 307 status codes
154 The current version of HTTP/1.1 (RFC 7231) no longer requires
155 confirmation on 301, 302 or 307 status codes, therefore we do not have
156 to ignore redirects for other requests than GET and HEAD.
158 * lisp/url/url-http.el (url-http-parse-headers): Do not ignore 301, 302
159 and 307 redirects for other requests than GET and HEAD.
161 2016-02-04 Mark Oteiza <mvoteiza@udel.edu>
163 * lisp/net/eww.el (eww-switch-to-buffer): Use pop-to-buffer-same-window instead.
165 2016-02-04 Paul Eggert <eggert@cs.ucla.edu>
167 Simplify USE_ALIGNED_ALLOC
169 * src/alloc.c (USE_ALIGNED_ALLOC): Simplify, now that we’ve merged
170 in the emacs-25 changes. Omit no-longer-needed decl for aligned_alloc.
172 2016-02-04 Eric Abrahamsen <eric@ericabrahamsen.net>
174 Honor docstring of gnus-group-get-new-news
176 * lisp/gnus/gnus-start.el (gnus-get-unread-articles): If the prefix arg
177 is t, but non-numeric, unconditionally consider all groups to need
180 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
182 New function read-multiple-choice
184 * doc/lispref/commands.texi (Reading One Event): Document
185 read-multiple-choice.
187 * lisp/faces.el (read-multiple-choice-face): New face.
189 * lisp/subr.el (read-multiple-choice): New function.
191 2016-02-04 John Wiegley <johnw@newartisans.com>
193 Merge from origin/emacs-25
195 ee73997 Make erc work better when encountering unknown prefix chars
196 b99141d Make erc completion case-insensitive again
197 66c4620 Make complection in erc use consistent casing
198 8c562b2 Make /QUIT in erc more robust
199 d93d2c5 Make tracking faces in Emacs work more reliably
200 af6ab7e Make shr not bug out on images on non-graphical displays
201 3311f40 Fix bookmark display widths
202 d90ab1e Fix typo in eww-make-unique-file-name
203 7f81825 Make it possible to TAB to input fields
204 a43a1dc Insert complete alt texts when images are disabled
205 56ed4e1 Allow eww text fields to grow
206 66b315c Make erc work when subword-mode is switched on
207 255b68f Fix IMAP doc example
208 91557f5 Quoting fixes in doc strings and diagnostics
209 2c0dc9f Fix warning message in hack-local-variables
210 504696d Etags: yet another improvement in Ruby tags
211 8784ebf Fix x-popup-menu on TTYs without a mouse
212 8b87ecb * lisp/emacs-lisp/map.el: Improvements to the docstring of the
214 6191003 Use pop-to-buffer-same-window in eww
215 fe321fd * autogen.sh: Revert all recent changes.
216 74ebd4a * make-dist: Updates related to nt/.
217 737193a * make-dist: Add modules/.
218 3696bf2 * make-dist: Update for super-special file that can't live in etc/.
219 a4278e2 Fix failure to compile ns-win.el in parallel builds
220 860da4d Fix names of tags generated for Ruby accessors
221 f6213ce Fix file-name recognition in 'etags'
222 e42e662 Change Ruby file names and extensions recognized by 'etags'
223 58bfb6a More improvements for Ruby support in 'etags'
224 c04e911 Add --git-config option to autogen.sh
225 5713466 Fix editing undo changes in eww fields
226 51362d6 Allow the user more control of popping up the eww window
227 ee0fbd8 Make eww-browse-url with new-window parameter work again
228 9c3142d Clean up eww code slightly
229 cb035f3 Don't insert nil faces in shr
230 4c3fae3 ; * lisp/progmodes/prolog.el: Remove some obsolete commentary.
231 93f2153 Improve the custom type of some user options.
232 9f60d7e Mark some risky calendar variables.
233 1d07dcd Highlight two additional SCSS keywords
234 ee8b466 Recommend enabling integrity-checking in git
235 e639e10 Some corrections in Elisp manual
236 d766ca8 Chatter when autogen.sh changes Git configuration
237 3b734e1 * org/org-compat.el (org-font-lock-ensure): Fix bogus test (bug#22399)
238 43cb9f8 Omit unnecessary history from Lisp intro
239 2fbd1da * etc/HISTORY: Add some more history, plus git tags.
240 c90e1b4 Improve elisp “Security Considerations” doc
241 cedd7ca autogen.sh now arranges for git to check hashes
242 86ce76b ; Fix ChangeLog.2 commit ID.
243 7b1d2b1 Fix (c & 040) typo in emergency escapes
244 a8273da Fix display of overlay strings with 'display' and 'box' property
245 fc48106 Fix imap-starttls-open
246 cdecbed Fix return value of imap-starttls-open
247 20c7e34 ; * etc/NEWS: Fix renamed command name
248 98bdbdb Correct reference to DARWIN_OS preprocessor symbol
250 b920a0e Spelling fixes
251 93b144b Pacify GCC on C library without glibc API
253 2016-02-04 John Wiegley <johnw@newartisans.com>
255 Merge from origin/emacs-25
257 ea26c8a * lisp/net/browse-url.el (browse-url-default-browser): Lower
258 priority of non-free Chrome.
259 0fac75f Improve the custom type of some user options.
260 2df0e04 Highlight CSS variables with variable name face
261 3cf5e81 * lisp/gnus/gnus-kill.el (gnus-winconf-kill-file): Not
263 2a5233c Mark some user options that can get evalled as risky.
264 39b166f Disable DebPrint in sys_read on MS-Windows
265 9fd0189 ;Fix ChangeLog entry
266 4bb7233 Fix typos in Introduction to Emacs Lisp manual
268 2016-02-04 Vasilij Schneidermann <v.schneidermann@gmail.com> (tiny change)
270 Allow sending empty hidden values in eww
272 * lisp/net/eww.el (eww-tag-input): Allow sending empty hidden
275 (cherry picked from commit 5898da8210af7953e638ddf7821c05260979c3f0)
279 2016-02-04 David Edmondson <dme@dme.org>
281 Make erc work better when encountering unknown prefix chars
283 * lisp/erc/erc.el (erc-channel-receive-names): Output a warning
284 instead of erroring out on unknown prefix chars (bug#22380).
286 2016-02-04 Mark Oteiza <mvoteiza@udel.edu>
288 Add a new command to switch between erc buffers
290 * doc/misc/eww.texi: Document eww-switch-to-buffer and its keybinding
291 * etc/NEWS: Mention new command
292 * lisp/net/eww.el (eww-mode-map): Bind eww-switch-to-buffer to "s"
293 (eww-mode-map): Add menu item
294 (eww-switch-to-buffer): New command
296 2016-02-04 David Edmondson <dme@dme.org>
298 Make erc work better when encountering unknown prefix chars
300 * lisp/erc/erc.el (erc-channel-receive-names): Output a warning
301 instead of erroring out on unknown prefix chars (bug#22380).
303 2016-02-04 Vasilij Schneidermann <v.schneidermann@gmail.com> (tiny change)
305 Allow sending empty hidden values in eww
307 * lisp/net/eww.el (eww-tag-input): Allow sending empty hidden
310 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
312 Make erc completion case-insensitive again
314 * lisp/erc/erc.el (erc-completion-at-point): Make erc completion
315 case-insensitive again (bug#11360).
317 2016-02-04 Carlos Pita <carlosjosepita@gmail.com> (tiny change)
319 Make complection in erc use consistent casing
321 * lisp/erc/erc-pcomplete.el (pcomplete-erc-all-nicks): Make
322 case in the complection consistent (bug#18509).
324 2016-02-04 Francis Litterio <flitterio@gmail.com>
326 Make /QUIT in erc more robust
328 * lisp/erc/erc.el (erc-kill-query-buffers): Don't bug out if we're
329 issuing /QUIT to disconnected servers (bug#22099).
331 2016-02-04 Kevin Brubeck Unhammer <unhammer@fsfe.org> (tiny change)
333 Make tracking faces in Emacs work more reliably
335 * lisp/erc/erc-track.el (erc-faces-in): Always return lists of
336 faces to avoid later ambiguity (bug#22424).
338 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
340 Make shr not bug out on images on non-graphical displays
342 * lisp/net/shr.el (shr-put-image): Don't bug out on alt-less
343 images on non-graphical displays (bug#22327).
345 2016-02-04 Andrew Hyatt <ahyatt@gmail.com>
347 Remove packages obsoleted before Emacs 24.
349 In accordance with the policy discussed in the emacs-devel list,
350 packages that have been obsoleted for a full major release cycle are up
353 This removes almost all packages that are now eligible for deletion,
354 with the exception of "cl-compat", which seems it is likely to still be
355 used, and "optional", which offers some functionality that doesn't have
358 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
360 Fix bookmark display widths
362 * lisp/net/eww.el (eww-list-bookmarks): Pop to the buffer before
363 preparing it so that the widths are computed correctly (bug#22328).
365 2016-02-04 Lars Ingebrigtsen <larsi@gnus.org>
367 Fix typo in eww-make-unique-file-name
369 * lisp/net/eww.el (eww-make-unique-file-name): Make this function
372 2016-02-03 Lars Ingebrigtsen <larsi@gnus.org>
374 Make it possible to TAB to input fields
376 * lisp/net/eww.el (eww-tag-input): Make it possible to TAB to
377 input fields (bug#22540).
379 2016-02-03 Lars Ingebrigtsen <larsi@gnus.org>
381 Insert complete alt texts when images are disabled
383 * lisp/net/shr.el (shr-tag-img): When images are disabled, insert
384 the complete alt/title string (bug#22293).
386 2016-02-03 Lars Ingebrigtsen <larsi@gnus.org>
388 Allow eww text fields to grow
390 * lisp/net/eww.el (eww-process-text-input): Allow text fields to
391 grow when typing in stuff that's longer than the original width.
393 2016-02-03 Dima Kogan <dima@secretsauce.net>
395 Make erc work when subword-mode is switched on
397 * lisp/erc/erc-backend.el (erc-forward-word, erc-word-at-arg-p)
398 (erc-bounds-of-word-at-point): New functions to do word-based
399 things when subword-mode is switched on.
401 * lisp/erc/erc-button.el (erc-button-add-nickname-buttons): Use them
404 2016-02-03 Teemu Likonen <tlikonen@iki.fi>
408 * doc/misc/gnus.texi (Client-Side IMAP Splitting): Fix example.
410 2016-02-03 Paul Eggert <eggert@cs.ucla.edu>
412 Quoting fixes in doc strings and diagnostics
414 * lisp/emacs-lisp/bytecomp.el (byte-compile-setq, byte-compile-funcall):
415 * lisp/gnus/mml-smime.el (mml-smime-get-dns-cert)
416 (mml-smime-get-ldap-cert):
417 Follow user style preference when quoting diagnostics.
419 2016-02-03 Paul Eggert <eggert@cs.ucla.edu>
421 Mention context when resume from emergency escape
423 That way, if the user has been doing something else for a while,
424 they are reminded of the situation when restarting Emacs,
425 and are more likely to understand the two questions.
426 * doc/emacs/trouble.texi (Emergency Escape): Document this.
427 * src/keyboard.c (handle_interrupt): Implement this.
429 2016-02-03 Noam Postavsky <npostavs@gmail.com>
431 Fix warning message in hack-local-variables
433 * lisp/files.el (hack-local-variables): use 'thisbuf' to reference
434 the original buffer name in the warning message. (Bug#21681)
436 2016-02-03 Eli Zaretskii <eliz@gnu.org>
438 Etags: yet another improvement in Ruby tags
440 * lib-src/etags.c (Ruby_functions): Handle continuation lines in
441 Ruby accessor definitions. (Bug#22241)
443 * test/etags/ruby-src/test1.ru (A::B#X): Add some more tests for
444 accessors and multiline definitions.
445 * test/etags/ETAGS.good_1:
446 * test/etags/ETAGS.good_2:
447 * test/etags/ETAGS.good_3:
448 * test/etags/ETAGS.good_4:
449 * test/etags/ETAGS.good_5:
450 * test/etags/ETAGS.good_6:
451 * test/etags/CTAGS.good: Adapt to changes in Ruby tags.
453 2016-02-03 Eli Zaretskii <eliz@gnu.org>
455 Fix x-popup-menu on TTYs without a mouse
457 * src/menu.c (Fx_popup_menu): Be sure to initialize 'x' and 'y'
458 for the TTY case without a mouse. (Bug#22538)
460 2016-02-03 Nicolas Petton <nicolas@petton.fr>
462 * lisp/emacs-lisp/map.el: Improvements to the docstring of the pcase macro
464 2016-02-03 Paul Eggert <eggert@cs.ucla.edu>
466 Port aligned_alloc decl to Cygwin.
468 Problem reported by Ken Brown (Bug#22522#38).
469 * configure.ac (aligned_alloc): Check for decl too.
470 * src/lisp.h (aligned_alloc): Declare if not already declared.
472 2016-02-03 Paul Eggert <eggert@cs.ucla.edu>
474 autogen.sh now configures git only on request
476 * autogen.sh (do_autoconf, do_git): New vars.
477 Support new arguments --help, all, autoconf, git.
478 By default, just do autoconf-related configuration, not git.
479 Prefer 'echo' to 'cat <<EOF ...', as this tends to avoid temp files.
480 If GNU cp is available, use it to backup .git/config before
481 changing it. When configuring git, chatter about what is being
482 done, and configure git to check hashes. Avoid some duplicate
483 file name specification when creating git hooks.
485 * GNUmakefile (ALL_IF_GIT): New macro.
487 * INSTALL.REPO: Suggest './autogen.sh all'.
489 2016-02-02 Lars Ingebrigtsen <larsi@gnus.org>
491 Use pop-to-buffer-same-window in eww
493 * lisp/net/eww.el: pop-to-buffer-same-window throughout instead of
494 switch-to-buffer (bug#22244).
496 2016-02-02 Paul Eggert <eggert@cs.ucla.edu>
498 * autogen.sh: Revert all recent changes.
500 2016-02-02 Paul Eggert <eggert@cs.ucla.edu>
502 Build with C11 if available
504 * admin/merge-gnulib (GNULIB_MODULES): Add std-gnu11.
505 * m4/std-gnu11.m4: New file, from gnulib.
506 * lib/gnulib.mk, m4/gnulib-comp.m4: Regenerate.
508 2016-02-02 Paul Eggert <eggert@cs.ucla.edu>
512 * doc/misc/texinfo.tex: Copy from gnulib.
514 2016-02-02 Glenn Morris <rgm@gnu.org>
516 * make-dist: Updates related to nt/.
518 * make-dist: Add modules/.
520 * make-dist: Update for super-special file that can't live in etc/.
522 2016-02-02 Eli Zaretskii <eliz@gnu.org>
524 Fix failure to compile ns-win.el in parallel builds
526 * src/Makefile.in ($(lispsource)/term/ns-win.elc): Add order-only
527 dependency on $(lispsource)/international/charprop.el.
530 2016-02-02 Eli Zaretskii <eliz@gnu.org>
532 Fix names of tags generated for Ruby accessors
534 * lib-src/etags.c (Ruby_functions): Don't include the leading
535 colon ':' in tags for Ruby accessors and aliases. (Bug#22241)
537 * test/etags/ETAGS.good_1:
538 * test/etags/ETAGS.good_2:
539 * test/etags/ETAGS.good_3:
540 * test/etags/ETAGS.good_4:
541 * test/etags/ETAGS.good_5:
542 * test/etags/ETAGS.good_6:
543 * test/etags/CTAGS.good: Adapt to changes in Ruby tags.
545 2016-02-02 Glenn Morris <rgm@gnu.org>
547 * lisp/vc/add-log.el (change-log-directory-files, find-change-log):
550 2016-02-02 Eli Zaretskii <eliz@gnu.org>
552 Fix file-name recognition in 'etags'
554 * lib-src/etags.c (get_language_from_filename): If FILE includes a
555 leading directory, compare only its basename to the known file
556 names in lang_names[].
558 * test/etags/Makefile (RBSRC): Adapt to recent test1.ruby
560 * test/etags/ETAGS.good_1:
561 * test/etags/ETAGS.good_2:
562 * test/etags/ETAGS.good_3:
563 * test/etags/ETAGS.good_4:
564 * test/etags/ETAGS.good_5:
565 * test/etags/ETAGS.good_6:
566 * test/etags/CTAGS.good: Adapt to changes in Ruby file names and
567 to the results in Makefile due to the above etags.c fix.
569 2016-02-02 Eli Zaretskii <eliz@gnu.org>
571 Change Ruby file names and extensions recognized by 'etags'
573 * lib-src/etags.c <Ruby_filenames>: New variable, holds names
575 <Ruby_suffixes>: Treat .rb, .ru, and .rbw as Ruby extensions.
576 <lang_names>: Add Ruby_filenames to the Ruby entry.
577 * test/etags/ruby-src/test1.ru: Renamed from test1.ruby.
580 2016-02-02 Paul Eggert <eggert@cs.ucla.edu>
582 Port better to platforms lacking aligned_alloc
584 Problem reported by Ken Brown (Bug#22522).
585 * src/lisp.h (hybrid_aligned_alloc)
586 [HYBRID_MALLOC && !HAVE_ALIGNED_ALLOC]: New decl.
588 2016-02-02 Paul Eggert <eggert@cs.ucla.edu>
590 Port malloc.h hygiene fix to LTO
592 * src/alloc.c (__malloc_initialize_hook):
593 Make it externally visible (Bug#22522).
595 2016-02-02 Eli Zaretskii <eliz@gnu.org>
597 More improvements for Ruby support in 'etags'
599 * lib-src/etags.c (Ruby_functions): Tag Ruby accessors and
600 alias_method. Identify constants even if the assignment is not
601 followed by whitespace. (Bug#22241)
603 * test/etags/ruby-src/test1.ruby: Add tests for constants,
604 accessors, and alias_method.
605 * test/etags/ETAGS.good_1:
606 * test/etags/ETAGS.good_2:
607 * test/etags/ETAGS.good_3:
608 * test/etags/ETAGS.good_4:
609 * test/etags/ETAGS.good_5:
610 * test/etags/ETAGS.good_6:
611 * test/etags/CTAGS.good: Adapt to changes in Ruby tests.
613 2016-02-02 Paul Eggert <eggert@cs.ucla.edu>
615 Add --git-config option to autogen.sh
617 * autogen.sh: New options --git-config, --help.
618 (git_config): New shell var. Alter function to respect this var.
620 2016-02-02 Lars Ingebrigtsen <larsi@gnus.org>
622 Fix editing undo changes in eww fields
624 * eww.el (eww-tag-form): Don't overwrite initial form data in text
626 (eww-process-text-input): Make `M-t' at the end of text fields work
629 2016-02-01 Lars Ingebrigtsen <larsi@gnus.org>
631 Allow the user more control of popping up the eww window
633 * eww.el (eww): Use pop-to-buffer-same-window (suggested by
634 Michael Heerdegen) (bug#22244).
636 2016-02-01 Lars Ingebrigtsen <larsi@gnus.org>
638 Make eww-browse-url with new-window parameter work again
640 * eww.el (eww-browse-url): Stay in the same buffer if we're
641 already in a eww mode buffer so that eww-browse-url with a
642 new-window parameter works (bug#22244).
644 2016-02-01 Lars Ingebrigtsen <larsi@gnus.org>
646 Clean up eww code slightly
648 * eww.el (eww-browse-url): Clean up code slightly.
650 2016-02-01 Lars Ingebrigtsen <larsi@gnus.org>
652 Don't insert nil faces in shr
654 * shr.el (shr-insert-table): Don't add nil faces, because that
655 will show up in *Messages* as "Invalid face reference: nil [32
658 2016-02-01 Glenn Morris <rgm@gnu.org>
660 Make find-change-log prefer a VCS root, if no ChangeLog exists.
662 * lisp/vc/add-log.el (change-log-directory-files): New option.
663 (find-change-log): Respect change-log-directory-files.
664 * doc/emacs/maintaining.texi (Change Log Commands):
665 Mention change-log-directory-files.
667 2016-02-01 Glenn Morris <rgm@gnu.org>
669 Improve the custom type of some user options.
671 * lisp/autoinsert.el (auto-insert-alist):
672 * lisp/replace.el (query-replace-from-to-separator):
673 * lisp/gnus/gnus-art.el (gnus-hidden-properties):
674 * lisp/gnus/gnus-gravatar.el (gnus-gravatar-properties):
675 * lisp/gnus/gnus-picon.el (gnus-picon-properties):
676 * lisp/progmodes/prolog.el (prolog-keywords, prolog-types)
677 (prolog-mode-specificators, prolog-determinism-specificators)
678 (prolog-directives, prolog-program-name, prolog-program-switches)
679 (prolog-consult-string, prolog-compile-string, prolog-eof-string)
680 (prolog-prompt-regexp): Improve custom type.
682 2016-02-01 Glenn Morris <rgm@gnu.org>
684 Mark some risky calendar variables.
686 * lisp/calendar/cal-china.el (chinese-calendar-time-zone):
687 Remove risky setting for deleted obsolete alias.
688 (calendar-chinese-standard-time-zone-name)
689 (calendar-chinese-daylight-saving-start)
690 (calendar-chinese-daylight-saving-end):
691 * lisp/calendar/calendar.el (calendar-iso-date-display-form)
692 (calendar-european-date-display-form)
693 (calendar-american-date-display-form, calendar-date-display-form):
694 * lisp/calendar/diary-lib.el (diary-remind-message)
695 (diary-header-line-format):
696 * lisp/calendar/solar.el (calendar-time-display-form)
697 (calendar-location-name): Mark as risky.
699 2016-02-01 Simen Heggestøyl <simenheg@gmail.com>
701 Highlight two additional SCSS keywords
703 * lisp/textmodes/css-mode.el (css-bang-ids): New defconst holding CSS
704 identifiers on the form !foo.
705 (scss-bang-ids): New defconst holding SCSS identifiers on the form
707 (css--font-lock-keywords): Highlight the new SCSS bang identifiers in
708 `font-lock-builtin-face'.
710 * test/indent/css-mode.css: Add bang rule test case.
712 * test/indent/scss-mode.css: Add test cases for the introduced bang
715 2016-02-01 Karl Fogel <kfogel@red-bean.com>
717 Recommend enabling integrity-checking in git
719 * admin/notes/git-workflow: Recommend setting transfer.fsckObjects.
721 This is related to the autogen.sh changes made by Paul Eggert in
722 commit d766ca8f (2016-02-01) and commit cedd7cad (2016-02-01), and to
723 my edits today to http://www.emacswiki.org/emacs/GitForEmacsDevs and
724 to emacswiki.org/emacs/GitQuickStartForEmacsDevs. See also the thread
725 "Recommend these .gitconfig settings for git integrity." at
726 https://lists.gnu.org/archive/html/emacs-devel/2016-01/threads.html#01802.
728 2016-02-01 Martin Rudalics <rudalics@gmx.at>
730 Some corrections in Elisp manual
732 * doc/lispref/buffers.texi (Read Only Buffers): Describe optional
734 * doc/lispref/debugging.texi (Error Debugging): `debug-on-signal'
736 * doc/lispref/display.texi (Refresh Screen): Describe optional
737 argument FRAME of `redraw-frame'.
738 (Attribute Functions): Describe optional argument CHARACTER of
740 (Defining Images): `image-load-path' is an option.
741 (Beeping): `ring-bell-function' is an option.
742 * doc/lispref/frames.texi (Size and Position): The PIXELWISE
743 argument of `set-frame-size' is optional.
744 (Raising and Lowering): The TERMINAL argument of `tty-top-frame'
746 * doc/lispref/keymaps.texi (Controlling Active Maps): Fix doc of
748 * doc/lispref/minibuf.texi (Text from Minibuffer):
749 `read-regexp-defaults-function' is an option.
750 (Minibuffer Contents): `delete-minibuffer-contents' is a command.
751 * doc/lispref/modes.texi (Mode Line Variables):
752 `mode-line-position' and `mode-line-modes' are variables, not
754 * doc/lispref/strings.texi (Creating Strings): The START argument
755 of `substring' is optional.
756 * doc/lispref/text.texi (Buffer Contents): Describe optional
757 argument NO-PROPERTIES of `thing-at-point'.
758 (User-Level Deletion): Both arguments of
759 `delete-trailing-whitespace' are optional.
760 (Margins): Use @key{RET} instead of @kbd{RET}.
761 * doc/lispref/windows.texi (Display Action Functions): Write
762 non-@code{nil} instead of non-nil.
763 (Choosing Window Options): The WINDOW arg of
764 `split-window-sensibly' is optional.
765 (Choosing Window Options): Write non-@code{nil} instead of
767 (Window Start and End): Both args of `window-group-end' are
770 * src/buffer.c (Fbarf_if_buffer_read_only): Rename argument POS
771 to POSITION to keep consisteny with doc-string.
773 2016-02-01 Paul Eggert <eggert@cs.ucla.edu>
775 Double static heap size.
777 * src/sheap.h (STATIC_HEAP_SIZE): Double it, since it was too
778 small on FreeBSD (Bug#22086).
780 2016-02-01 Paul Eggert <eggert@cs.ucla.edu>
782 Chatter when autogen.sh changes Git configuration
784 * autogen.sh (git_config): New function. Use it instead of ‘git config’.
786 2016-02-01 Kyle Meyer <kyle@kyleam.com>
788 * org/org-compat.el (org-font-lock-ensure): Fix bogus test (bug#22399)
790 2016-02-01 Michael Albinus <michael.albinus@gmx.de>
794 * lisp/net/tramp.el (tramp-file-name-handler):
795 * lisp/net/tramp-sh.el (tramp-sh-handle-expand-file-name):
796 Use `tramp-drop-volume-letter'. (Bug#20821)
798 2016-01-31 Paul Eggert <eggert@cs.ucla.edu>
800 Omit unnecessary history from Lisp intro
802 * doc/lispintro/emacs-lisp-intro.texi (Review, Digression into C)
803 (Conclusion): Reword so as not to talk about earlier versions
804 of Emacs in what should be an intro.
806 2016-01-31 Paul Eggert <eggert@cs.ucla.edu>
808 * etc/HISTORY: Add some more history, plus git tags.
810 2016-01-31 Paul Eggert <eggert@cs.ucla.edu>
812 Improve elisp “Security Considerations” doc
814 * doc/lispref/os.texi (Security Considerations):
815 Mention call-process and rename-file as opposed to shell commands.
816 Add some more cross-references.
818 2016-01-31 Paul Eggert <eggert@cs.ucla.edu>
820 autogen.sh now arranges for git to check hashes
822 Suggested by Karl Fogel in:
823 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg01802.html
824 * autogen.sh: Do "git config transfer.fsckObjects true".
826 2016-01-31 Dave Barker <kzar@kzar.co.uk>
828 Add ability to give rcirc servers an alias name
830 * lisp/net/rcirc.el (rcirc-server-alist): Add :server-alias
831 customization option.
832 (rcirc, rcirc-connect): Take server alias into account.
834 2016-01-31 Paul Eggert <eggert@cs.ucla.edu>
836 Fix (c & 040) typo in emergency escapes
838 * src/keyboard.c (handle_interrupt): Fix recently-introduced
839 typo (040 should have been ~040) that silently suppressed
840 auto-saves after emergency escapes. Redo comparison to avoid
843 2016-01-31 Paul Eggert <eggert@cs.ucla.edu>
845 Port new hybrid malloc to FreeBSD
847 Problem reported by Wolfgang Jenkner in: http://bugs.gnu.org/22086#118
848 * src/gmalloc.c (__malloc_initialize_hook, __after_morecore_hook)
849 (__morecore) [HYBRID_MALLOC]: Define in this case too.
851 2016-01-31 Wolfgang Jenkner <wjenkner@inode.at>
853 * configure.ac: Stop using mmap for buffers for FreeBSD.
855 2016-01-31 Eli Zaretskii <eliz@gnu.org>
857 Fix display of overlay strings with 'display' and 'box' property
859 * src/xdisp.c (get_next_display_element): Take the box face from
860 display stack level that comes from a buffer, not an overlay
863 2016-01-31 Andreas Schwab <schwab@linux-m68k.org>
865 Fix imap-starttls-open
867 * lisp/net/imap.el (imap-starttls-open): Log imap process
868 output. Call imap-parse-greeting. (Bug#22500)
870 2016-01-31 Michael Albinus <michael.albinus@gmx.de>
872 Merge changes from Tramp repository
874 * doc/misc/Makefile.in (${buildinfodir}/tramp.info tramp.html):
875 No EXTRA_OPTS needed.
877 * doc/misc/tramp.texi: Merge changes from Emacsemacs-25
878 branch, especially for @trampfn{}.
879 (Top): Move @ifnottex down.
880 (History): XEmacs support has been removed.
881 (GVFS based methods, Remote processes): Do not use emacsgvfs flag.
882 (Auto-save and Backup): Use both syntax versions.
883 (File name Syntax): Remark on IPv6 adresses is valid for
886 * doc/misc/trampver.texi: Do not set emacsgvfs flag.
888 2016-01-31 Andreas Schwab <schwab@linux-m68k.org>
890 Fix return value of imap-starttls-open
892 * lisp/net/imap.el (imap-starttls-open): Fix return value.
894 2016-01-31 John Wiegley <johnw@newartisans.com>
896 Correct reference to DARWIN_OS preprocessor symbol
898 * src/alloc.c: Correct a preprocessor reference to DARWIN_OS, which may
901 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
909 2016-01-30 Glenn Morris <rgm@gnu.org>
911 * lisp/vc/add-log.el (find-change-log): Use locate-dominating-file.
913 2016-01-30 Matthew Carter <m@ahungry.com>
915 Quote table names for postgres listings (sql-mode)
917 * lisp/progmodes/sql.el (sql-postgres-completion-object): Avoid passing
918 unquoted table names to the completion list.
920 2016-01-30 Glenn Morris <rgm@gnu.org>
922 Change Smerge "Mine" and "Other" for "Upper" and "Lower. (Bug#20878)
924 * lisp/vc/smerge-mode.el (smerge-diff-switches)
925 (smerge-context-menu, smerge-match-conflict, smerge-swap): Doc fixes.
926 (smerge-upper, smerge-upper-face, smerge-keep-upper)
927 (smerge-diff-base-upper): Rename from smerge-mine, smerge-mine-face,
928 smerge-keep-mine, smerge-diff-base-mine. Update all uses.
929 (smerge-mine-face, smerge-other-face): Remove obsolete face aliases.
930 (smerge-lower, smerge-lower-face, smerge-lower-re, smerge-keep-lower)
931 (smerge-diff-base-lower): Rename from smerge-other, smerge-other-face,
932 smerge-other-re, smerge-keep-other, smerge-diff-base-lower.
934 (smerge-basic-map): Add "l" and "u" bindings.
935 (smerge-mode-menu): Update menu bindings for renaming.
936 (smerge-font-lock-keywords): Update face names.
937 (smerge-match-names): Update names.
938 (smerge-diff-upper-lower): Rename from smerge-diff-mine-other.
939 (smerge-match-conflict, smerge-ediff): Rename local variables.
940 (smerge-makeup-conflict): Relabel markers.
941 (smerge-parsep-re): Use renamed variables.
943 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
945 Port recent my_edata change to MS-Windows
947 * src/lastfile.c (my_edata): Also define if WINDOWSNT.
949 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
951 Pacify GCC on C library without glibc API
953 Without this change, with --enable-gcc-warnings GCC would complain
954 “error: redundant redeclaration of ‘aligned_alloc’”.
955 * configure.ac: Simplify aligned_alloc testing.
956 * src/alloc.c (aligned_alloc): Don’t use if DARWIN_OS,
957 since the simplified configure.ac no longer checks for that.
958 Don’t declare if HAVE_ALIGNED_ALLOC.
959 Correct misspelling of HAVE_ALIGNED_ALLOC in ifdef.
961 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
963 Tell Automake the new lib/Makefile.am is OK
965 * lib/Makefile.am (AUTOMAKE_OPTIONS): Add -Wno-portability.
967 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
969 Make it easy to override preferred-branch test
971 * Makefile.in (preferred-branch-is-current):
972 Rename from emacs-25-branch-is-current. All uses changed.
973 (PREFERRED_BRANCH): New macro.
975 2016-01-30 Glenn Morris <rgm@gnu.org>
977 * lisp/net/browse-url.el (browse-url-default-browser):
978 Lower priority of non-free Chrome.
980 2016-01-30 Glenn Morris <rgm@gnu.org>
982 Improve the custom type of some user options.
984 * lisp/desktop.el (desktop-minor-mode-table):
985 * lisp/man.el (Man-frame-parameters):
986 * lisp/midnight.el (midnight-delay):
987 * lisp/speedbar.el (speedbar-select-frame-method):
988 * lisp/tooltip.el (tooltip-frame-parameters):
989 * lisp/tree-widget.el (tree-widget-space-width):
990 * lisp/type-break.el (type-break-keystroke-threshold):
991 * lisp/woman.el (woman-imenu-generic-expression):
992 * lisp/cedet/ede.el (ede-debug-program-function):
993 * lisp/cedet/ede/project-am.el (project-am-debug-target-function):
994 * lisp/emulation/viper-keym.el (viper-toggle-key):
995 * lisp/erc/erc-networks.el (erc-server-alist):
996 * lisp/gnus/message.el (message-deletable-headers, message-signature):
997 * lisp/mail/mailalias.el (mail-directory-stream):
998 * lisp/play/tetris.el (tetris-x-colors):
999 * lisp/progmodes/gud.el (gud-tooltip-modes): Improve custom type.
1001 2016-01-30 Simen Heggestøyl <simenheg@gmail.com>
1003 Highlight CSS variables with variable name face
1005 * lisp/textmodes/css-mode.el (css-nmstart-re): Don't match variables.
1006 (css--font-lock-keywords): Highlight variables in
1007 `font-lock-variable-name-face'.
1009 2016-01-30 Glenn Morris <rgm@gnu.org>
1011 * lisp/gnus/gnus-kill.el (gnus-winconf-kill-file): Not user-serviceable.
1013 2016-01-30 Glenn Morris <rgm@gnu.org>
1015 Mark some user options that can get evalled as risky.
1017 * lisp/allout.el (allout-title):
1018 * lisp/emacs-lisp/edebug.el (edebug-global-break-condition):
1019 * lisp/gnus/message.el (message-mailer-swallows-blank-line):
1020 * lisp/progmodes/gud.el (gud-tooltip-display):
1021 * lisp/vc/ediff-mult.el (ediff-default-filtering-regexp):
1024 2016-01-30 Eli Zaretskii <eliz@gnu.org>
1026 Disable DebPrint in sys_read on MS-Windows
1028 * src/w32.c (sys_read): Disable a debugging print that is normal
1029 when non-blocking reads are retried.
1031 2016-01-30 Martin Rudalics <rudalics@gmx.at>
1033 ;Fix ChangeLog entry
1035 2016-01-30 Eli Zaretskii <eliz@gnu.org>
1037 Fix typos in Introduction to Emacs Lisp manual
1039 * doc/lispintro/emacs-lisp-intro.texi (Emacs Initialization)
1040 (kill-new function, Digression into C)
1041 (Complete forward-sentence, Divide and Conquer, Find a File)
1042 (lengths-list-many-files, Columns of a graph, defcustom)
1043 (recursive-count-words): Fix typos. Reported by Daniel Bastos
1044 <dbastos@toledo.com>.
1046 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1048 Shrink static heap a bit
1050 * src/sheap.h: Include lisp.h, for Lisp_Object.
1051 (STATIC_HEAP_SIZE): Now an enum constant, not a macro.
1052 Make it 2 MiB * sizeof (Lisp_Object), which is a bit more
1053 conservative than the old value.
1056 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1058 Fix extern symbols defined and not used
1060 * src/alloc.c: Always include <signal.h>.
1061 (malloc_warning) [!SIGDANGER && (SYSTEM_MALLOC || HYBRID_MALLOC)]:
1062 Do not define; unused.
1063 * src/emacs.c, src/lisp.h (might_dump) [!DOUG_LEA_MALLOC]: Now static.
1064 * src/gmalloc.c (gdefault_morecore): Rename from __default_morecore,
1065 to avoid collision with glibc. Now static. All uses changed.
1066 * src/lastfile.c (my_edata): Define only if
1067 ((!defined SYSTEM_MALLOC && !defined HYBRID_MALLOC && !defined
1068 WINDOWSNT) \ || defined CYGWIN || defined DARWIN_OS).
1071 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1073 Build lib/e-*.o only on platforms that need it
1075 * configure.ac (hybrid malloc): Simplify configuration.
1076 (SHEAP_OBJ): Remove; no longer needed.
1077 (HYBRID_MALLOC): New var. Subst it.
1078 (HYBRID_MALLOC_LIB): New Automake conditional.
1079 * lib/Makefile.am (noinst_LIBRARIES): Add libegnu.a only if
1081 (libegnu_a_CPPFLAGS): Omit AM_CPPFLAGS; not needed.
1082 (MOSTLYCLEANFILES): Add libegnu.a.
1083 * src/Makefile.in (SHEAP_OBJ): Remove.
1084 (HYBRID_MALLOC): New macro.
1085 (base_obj): Use it to conditionally add sheap.o.
1086 (LIBEGNU_ARCHIVE): New macro.
1087 ($(LIBEGNU_ARCHIVE)): New rule, replacing $(lib)/libegnu.a.
1088 All uses of the latter replaced by the former.
1089 * src/alloc.c (USE_ALIGNED_ALLOC): Simplify configuration.
1090 Correct misspelling ALIGNED_ALLOC to HAVE_ALIGNED_ALLOC.
1091 * src/gmalloc.c: Update comment.
1092 * src/lisp.h (aligned_alloc)
1093 [!DOUG_LEA_MALLOC && !HYBRID_MALLOC && !SYSTEM_MALLOC]:
1097 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1099 Include <malloc.h> when advisable
1101 This should help insulate us better from future glibc changes.
1102 It is good hygiene to include .h files for APIs that Emacs uses.
1103 Fix type clashes between Emacs and GNU <malloc.h> (Bug#22086).
1104 * configure.ac: Check for malloc.h.
1105 * src/alloc.c: Include <malloc.h> depending on HAVE_MALLOC_H,
1106 not on DOUG_LEA_MALLOC.
1107 * src/emacs.c, src/gmalloc.c (malloc_enable_thread):
1108 Remove decl (now in lisp.h).
1109 * src/gmalloc.c: Include stddef.h earlier, for ptrdiff_t.
1110 [emacs]: Include lisp.h.
1111 [HAVE_MALLOC_H]: Include <malloc.h>.
1112 (__MALLOC_HOOK_VOLATILE): New macro, if not already defined.
1113 (__after_morecore_hook, __malloc_initialize_hook, __morecore)
1114 (__default_morecore):
1115 [!HAVE_MALLOC_H]: New decls near non-inclusion of <malloc.h>.
1116 (calloc): Make it clear that the macro should not be used.
1118 (malloc_info): New macro, to avoid clash with glibc <malloc.h>.
1119 (__morecore, __default_morecore, __after_morecore_hook)
1120 (__malloc_extra_blocks, __malloc_initialize_hook, __free_hook)
1121 (__malloc_hook, __realloc_hook, __memalign_hook, memory_warnings):
1123 (gmalloc_hook, gfree_hook, grealloc_hook):
1124 Rename from __malloc_hook, __free_hook, __realloc_hook to
1125 avoid type collision with glibc <malloc.h>. All uses changed.
1127 (__malloc_extra_blocks) [DOUG_LEA_MALLOC||HYBRID_MALLOC||SYSTEM_MALLOC]:
1129 (gmalloc_hook, __malloc_extra_blocks): Define even if [!HYBRID_MALLOC].
1130 (__malloc_initialize_hook, __after_morecore_hook):
1131 Declare with types compatible with glibc.
1132 (__memalign_hook, hybrid_calloc) [HYBRID_MALLOC]:
1133 Remove. All uses removed.
1134 * src/lisp.h (__malloc_extra_blocks, malloc_enable_thread): New decls.
1135 * src/ralloc.c, src/vm-limit.c:
1136 Simplify includes and include <malloc.h> if available.
1138 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1140 * src/alloc.c: Include "sheap.h".
1142 (alloc_unexec_pre, alloc_unexec_post) [HYBRID_MALLOC]:
1143 Set and clear bss_sbrk_did_unexec, on all platforms not just Cygwin.
1144 * src/lisp.h (alloc_unexec_pre, alloc_unexec_post) [!DOUG_LEA_MALLOC]:
1145 Declare unconditionally.
1146 * src/unexcw.c, src/unexelf.c (bss_sbrk_did_unexec): Remove decl.
1147 (unexec): Don’t set or clear bss_sbrk_did_unexec;
1148 the caller now does this.
1151 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1153 Pacify --enable-gcc-warnings when HYBRID_MALLOC
1155 * src/buffer.c (init_buffer):
1156 * src/emacs.c (main):
1157 * src/xsmfns.c (smc_save_yourself_CB, x_session_initialize):
1158 Use emacs_get_current_dir_name, not get_current_dir_name.
1159 * src/conf_post.h (aligned_alloc) [HYBRID_MALLOC && emacs]: New macro.
1160 (HYBRID_GET_CURRENT_DIR_NAME, get_current_dir_name): Remove.
1161 * src/emacs.c: Include "sheap.h".
1162 (report_sheap_usage): Remove decl.
1163 (Fdump_emacs) [HYBRID_MALLOC]: Report usage directly.
1164 Don't assume ptrdiff_t can be printed as int.
1165 * src/gmalloc.c [HYBRID_MALLOC]:
1166 Include "sheap.h" rather than declaring its contents by hand.
1167 (get_current_dir_name, gget_current_dir_name)
1168 (hybrid_get_current_dir_name): Remove.
1169 (emacs_abort): Remove duplicate decl.
1170 (aligned_alloc): Undef, like malloc etc.
1171 (ALLOCATED_BEFORE_DUMPING): Now a static function, not a macro.
1172 Make it a bit more efficient.
1173 (malloc_find_object_address): Remove unused decl.
1174 (enum mcheck_status, mcheck, mprobe, mtrace, muntrace, struct mstats)
1175 (mstats, memory_warnings): Declare only if GC_MCHECK.
1176 * src/lisp.h (emacs_get_current_dir_name):
1177 New decl, replacing get_current_dir_name.
1178 * src/sheap.c: Include sheap.h first.
1179 (STATIC_HEAP_SIZE): Remove; now in sheap.h.
1180 (debug_sheap): Now static.
1181 (bss_sbrk_buffer_end): Remove; no longer used.
1182 (bss_sbrk_ptr): Now static and private.
1183 (bss_sbrk_did_unexec): Now bool.
1184 (BLOCKSIZE): Remove, to avoid GCC warning about its not being used.
1185 (bss_sbrk): Don't treat request_size 0 as special, since the code
1186 works without this being a special case.
1187 Avoid overflow if request size exceeds INT_MAX.
1188 (report_sheap_usage): Remove; now done in emacs.c.
1189 * src/sheap.h: New file.
1190 * src/sysdep.c (get_current_dir_name): Remove macro.
1192 (emacs_get_current_dir_name): Rename function from
1193 get_current_dir_name. Handle HYBRID_MALLOC here;
1197 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1199 Report static heap usage on non-Cygwin, too
1201 * src/emacs.c (Fdump_emacs) [HYBRID_MALLOC]: Report sheap usage here ...
1202 * src/unexcw.c (unexec): ... instead of here, since sheap can be used
1203 on platforms other than Cygwin (Bug#22086).
1205 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1207 Pacify GCC on extern decls
1209 * src/unexelf.c (bss_sbrk_did_unexec): Move decl to top level
1210 to pacify recent GCC (Bug#22086).
1212 2016-01-30 Wolfgang Jenkner <wjenkner@inode.at>
1214 Add musl patch to support HYBRID_MALLOC on elf systems
1216 * src/gmalloc.c: Adjust for HYBRID_MALLOC in the non CYGWIN case.
1217 (__default_morecore): Here, in particular.
1218 * configure.ac: Define HYBRID_MALLOC when unexelf.o is used.
1219 New variable SHEAP_OBJ.
1220 * src/Makefile.in: Use it.
1223 2016-01-30 Rich Felker <dalias@libc.org> (tiny change)
1225 unexelf.c hook to support HYBRID_MALLOC on ELF
1227 * src/unexelf.c (unexec) [HYBRID_MALLOC]:
1228 Define bss_sbrk_did_unexec (Bug#22086).
1230 2016-01-30 Wolfgang Jenkner <wjenkner@inode.at>
1232 Link temacs with gnulib compiled with -Demacs
1234 This is done to support HYBRID_MALLOC, since some static variables
1235 (e.g., last_environ in putenv.c) hold pointers to memory malloced
1236 before dumping (Bug#22086).
1237 * lib/Makefile.am: Add incantation to install libegnu.a.
1238 * src/Makefile.in ($(lib)/libgnu.a): Replace with libegnu.a
1239 (temacs$(EXEEXT)): Use it.
1241 2016-01-30 Wolfgang Jenkner <wjenkner@inode.at>
1243 Internal linkage for gmalloc etc. if HYBRID_MALLOC
1245 This avoids clashes with symbols if the after-dump malloc is
1246 derived from Doug Lea's implementation (Bug#22086).
1248 * src/gmalloc.c (emacs_abort, __morecore, __default_morecore):
1249 Move declarations up. For HYBRID_MALLOC, turn all `extern'
1250 declarations below to `static' ones.
1251 (aligned_alloc): Declare for !MSDOS as well.
1252 (heapsize, _fraghead): Move resp. copy declaration downwards.
1253 For HYBRID_MALLOC, conditionalize out the other definitions,
1254 since the previous `static' declarations double as tentative
1255 definitions, anyway.
1256 (_malloc, _free, _realloc, __free_hook, _aligned_blocks)
1257 (__realloc_hook, __memalign_hook): Conditionalize out.
1258 (cfree, memalign, valloc): Ditto.
1260 2016-01-30 Paul Eggert <eggert@cs.ucla.edu>
1262 Merge from origin/emacs-25
1264 3f481ad Rename xref-query-replace to xref-query-replace-in-results
1265 62f4ed4 Update cl-defgeneric and cl-defmethod docstrings
1266 2111e0e Comment out next-error-function integration in xref
1267 4e11ad3 Correct a use of "which" in intro.texi
1268 a1865bc Distinguish the two meanings of Java's keyword "default". Fixes bug #22358.
1269 76045f7 Don't operate on menu bar of nonexistent frame
1270 c32f3bc Unbreak the GNUstep build.
1272 2016-01-30 Andreas Schwab <schwab@linux-m68k.org>
1274 Re-enable checks in member, memql, delete to complain about non-lists
1276 * src/fns.c (Fmember, Fmemql, Fdelete): Revert 2007-10-16 change.
1278 2016-02-04 Martin Rudalics <rudalics@gmx.at>
1280 Minor doc(-string) tweaks
1282 * lisp/window.el (window-in-direction): Fix doc-string typo.
1283 * doc/lispref/frames.texi (Frame Font): Mention canonical
1284 character width/height.
1285 * doc/lispref/windows.texi (Windows and Frames): Clarify
1286 handling of minibuffer window for `window-in-direction'.
1287 (Window Sizes): Minor tweaks in descriptions of
1288 `window-max-chars-per-line', `window-min-width' and
1290 (Deleting Windows): Minor tweak in `delete-window' description.
1291 (Selecting Windows): Clarify window use time description.
1292 (Cyclic Window Ordering): Minor tweak.
1293 (Switching Buffers): Clarify description of
1294 `switch-to-buffer-in-dedicated-window'.
1296 2016-02-04 Eli Zaretskii <eliz@gnu.org>
1298 Remove some useless-use-of eval.
1300 * lisp/gnus/gnus.el (gnus-load-hook): Don't use eval.
1301 * lisp/gnus/nnrss.el (xml): Simply require it.
1302 (xml-rpc-method-call): Use declare-function.
1304 2016-01-28 Glenn Morris <rgm@gnu.org>
1306 Don't use eval to quieten prolog.el compilation.
1308 * lisp/progmodes/prolog.el (pltrace-on, pltrace-off): Declare.
1309 (prolog-enable-sicstus-sd, prolog-disable-sicstus-sd): Don't use eval.
1311 2016-01-28 Glenn Morris <rgm@gnu.org>
1313 Mark some risky prolog variables.
1315 * lisp/progmodes/prolog.el (prolog-system-version)
1316 (prolog-keywords, prolog-types, prolog-mode-specificators)
1317 (prolog-determinism-specificators, prolog-directives)
1318 (prolog-program-name, prolog-program-switches)
1319 (prolog-consult-string, prolog-compile-string)
1320 (prolog-eof-string, prolog-prompt-regexp, prolog-help-function):
1321 Mark anything processed by prolog-find-value-by-system as risky.
1323 2016-01-28 Glenn Morris <rgm@gnu.org>
1325 * lisp/custom.el (defcustom): Doc fix.
1327 * doc/lispref/customize.texi (Variable Definitions):
1328 Defcustom should always have a type.
1330 2016-01-28 Glenn Morris <rgm@gnu.org>
1332 * lisp/emacs-lisp/bytecomp.el (byte-compile-nogroup-warn):
1333 Warn if defcustom has no type. (Bug#16276)
1335 * lisp/cedet/semantic/db-file.el (semanticdb-persistent-path):
1338 * lisp/emacs-lisp/package.el (package-load-list): Improve :type.
1340 2016-01-28 Michael Albinus <michael.albinus@gmx.de>
1344 * lisp/net/tramp-adb.el (tramp-adb-maybe-open-connection):
1345 * lisp/net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
1346 * lisp/net/tramp-sh.el (tramp-maybe-open-connection):
1347 * lisp/net/tramp-smb.el (tramp-smb-maybe-open-connection):
1348 Mark it as connected.
1350 * lisp/net/tramp.el (tramp-handle-file-remote-p): Check also, if
1351 connection property "connected" is set. (Bug#22452)
1353 2016-01-27 Glenn Morris <rgm@gnu.org>
1355 * test/lisp/vc/vc-hg.el: Move from test/automated/.
1357 * lisp/xwidget.el (xwidget-query-on-exit-flag): Declare.
1359 * lisp/xwidget.el (xwidget-webkit-browse-url): Give explicit error
1360 if not compiled with xwidgets.
1362 2016-01-26 Paul Eggert <eggert@cs.ucla.edu>
1364 C-u C-x = example doc fix
1366 * doc/emacs/mule.texi (International Chars):
1367 Adjust example to match current behavior of C-u C-x =.
1369 2016-01-26 Paul Eggert <eggert@cs.ucla.edu>
1373 This attempts to future-proof Emacs a bit against possible glibc
1374 changes, by having Emacs use <malloc.h> declarations rather than
1375 coding them up by hand. Problem noted by Florian Weimer in:
1376 https://sourceware.org/ml/libc-alpha/2016-01/msg00777.html
1377 Implement this mainly by moving malloc.h-related functions from
1378 emacs.c (which does not include <malloc.h>) to alloc.c (which does).
1379 * src/alloc.c (my_heap_start) [DOUG_LEA_MALLOC || GNU_LINUX]:
1381 The remaining changes to this file apply only if DOUG_LEA_MALLOC.
1382 (alloc_unexec_pre, alloc_unexec_post): New functions.
1383 (malloc_initialize_hook): Use my_heap_start and alloc_unexec_post.
1384 (__MALLOC_HOOK_VOLATILE): New macro, if not already defined.
1385 (__malloc_initialize_hook): Use it.
1386 (malloc_state_ptr, malloc_initialize_hook, __malloc_initialize_hook):
1388 * src/emacs.c: ... here.
1389 (malloc_get_state, malloc_set_state): Remove extern decls.
1390 (my_heap_start) [DOUG_LEA_MALLOC || GNU_LINUX]: Remove static var.
1391 All uses changed to similarly-named new function.
1392 (Fdump_emacs): Use new functions alloc_unexec_pre, alloc_unexec_post.
1393 * src/lisp.h (my_heap_start, alloc_unexec_pre, alloc_unexec_post):
1396 2016-01-26 Eli Zaretskii <eliz@gnu.org>
1398 * doc/emacs/mark.texi (Using Region): Clarify wording. (Bug#22467)
1400 2016-01-26 Paul Eggert <eggert@cs.ucla.edu>
1402 Remove never-set var handle_user_signal_hook
1404 * src/keyboard.c, src/keyboard.h (handle_user_signal_hook):
1405 Remove never-set var. All uses removed.
1407 2016-01-26 Anders Lindgren <andlind@gmail.com>
1409 Fixed NextStep fullscreen issue (bug#22468)
1411 When in fullscreen mode, `[screen visibleFrame]' sometimes
1412 includes, sometimes excludes the menu bar. This could cause
1413 a frame to be placed too low when in fullscreen mode.
1415 * src/nsterm.m (ns_menu_bar_should_be_hidden): Trace.
1416 (constrain_frame_rect): New parameter, isFullscreen, when true don't
1417 query the height of the menu bar.
1418 (ns_constrain_all_frames): Pass `false' (isFullscreen) to
1419 `constrain_frame_rect'.
1420 ([EmacsView initFrameFromEmacs:]): Trace.
1421 ([EmacsView isFullscreen]): Trace.
1422 ([EmacsWindow constrainFrameRect:toScreen:]): Pass fullscreen
1423 state to `constrain_frame_rect'.
1425 2016-01-25 Artur Malabarba <bruce.connor.am@gmail.com>
1427 * lisp/files.el: Use a fixed file name for the second dir-locals file
1429 (dir-locals-file): Revert to its original fixed value.
1430 (dir-locals-file-2): New const.
1431 (dir-locals--all-files): Don't use `file-name-all-completions'.
1432 Instead, just check for the 2 dir-locals files and return a list
1433 of the ones that exit (if any).
1435 * etc/NEWS: Document the change.
1437 * doc/emacs/custom.texi (Directory Variables): Document the change.
1439 * doc/lispref/variables.texi (Directory Local Variables): Update
1442 2016-01-25 Artur Malabarba <bruce.connor.am@gmail.com>
1444 * lisp/files-x.el (modify-dir-local-variable): Small rewrite
1446 Change a variable name to be more meaningful, and reorder some of
1447 the code with no change in behaviour.
1449 2016-01-25 Artur Malabarba <bruce.connor.am@gmail.com>
1451 * lisp/files.el (dir-locals-find-file): Refactor return values
1453 Returning a cache remains unchanged, but the case of returning a
1454 file (or pattern) is now changed to return the contaning
1457 (dir-locals-read-from-file): Rename to `dir-locals-read-from-dir'
1459 (dir-locals-read-from-dir): Simplify accordingly.
1460 (hack-dir-local-variables): Simplify accordingly and rename a
1463 2016-01-25 Glenn Morris <rgm@gnu.org>
1465 * lisp/textmodes/flyspell.el (flyspell--prev-meta-tab-binding):
1468 * configure.ac (USE_CAIRO): Rename to more standard HAVE_CAIRO.
1470 * configure.ac (--with-cairo): Say it's experimental.
1472 * lisp/xwidget.el (xwidget-webkit-scroll-behavior):
1473 Rename using American spelling. Update all uses.
1475 2016-01-25 Glenn Morris <rgm@gnu.org>
1477 Yet more xwidget doc fixes.
1479 * lisp/xwidget.el (xwidget-webkit-scroll-behaviour)
1480 (xwidget-insert, xwidget-webkit-browse-url)
1481 (xwidget-webkit-scroll-up, xwidget-webkit-scroll-down)
1482 (xwidget-webkit-scroll-forward, xwidget-webkit-scroll-backward)
1483 (xwidget-webkit-insert-string, xwidget-webkit-show-named-element)
1484 (xwidget-webkit-show-id-element)
1485 (xwidget-webkit-show-id-or-named-element)
1486 (xwidget-webkit-adjust-size, xwidget-webkit-current-url)
1487 (xwidget-webkit-execute-script-rv)
1488 (xwidget-webkit-copy-selection-as-kill, xwidget-get)
1489 (xwidget-put): Doc fixes.
1490 (xwidget-webkit-insert-string, xwidget-webkit-show-named-element)
1491 (xwidget-webkit-show-id-element)
1492 (xwidget-webkit-show-id-or-named-element): Prompt fixes.
1494 2016-01-25 Ted Zlatanov <tzz@lifelogs.com>
1496 * lisp/gnus/gnus-art.el (gnus-blocked-images):
1497 Add explicit nil choice and tags.
1499 2016-01-25 Paul Eggert <eggert@cs.ucla.edu>
1503 2016-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1505 (rng-c-fix-escaped-newlines): Use subst-char-in-string
1507 * lisp/nxml/rng-cmpct.el (rng-c-fix-escaped-newlines):
1508 Use subst-char-in-string.
1510 2016-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1512 * lisp/textmodes/sgml-mode.el (sgml-forward-sexp): New function
1514 (sgml-cursor-sensor, sgml-pretty-print, sgml-parse-tag-backward)
1515 (sgml-calculate-indent): Use it.
1517 2016-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1519 * lisp/org: Fix some compiler warnings
1521 * lisp/org/ob-core.el (org-babel-check-confirm-evaluate)
1522 (org-babel-map-src-blocks): Don't emit warnings if added vars are not used.
1523 (*this*): Declare as dyn-bound.
1524 (org-babel-expand-src-block, org-babel-load-in-session)
1525 (org-babel-switch-to-session-with-code, org-babel-get-rownames):
1527 (org-babel-combine-header-arg-lists): Remove unused var `args'.
1528 (org-babel-find-named-block): Remove unused var `msg'.
1530 * lisp/org/org-src.el (org-inhibit-startup, org-src-fontify-natively):
1531 Declare as dyn-bound.
1532 (org-edit-src-code): Remove unused var `lfmt'.
1533 (org-edit-fixed-width-region): Remove unused var `preserve-indentation'.
1535 2016-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1537 * lisp/font-lock.el: Use #' to quote function symbols
1539 2016-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1541 (font-lock-ensure-function): Fix bug#22399
1543 * lisp/font-lock.el (font-lock-ensure-function): Fix handling when
1544 font-lock-mode is not enabled (bug#22399).
1546 2016-01-25 Alan Mackenzie <acm@muc.de>
1548 Expunge "allow" + infinitive from source and doc, part 2.
1550 Do the same for "permit", "enable", "prevent", and (where appropriate)
1553 doc/misc/reftex.texi:
1555 lib/get-permissions.c:
1557 lisp/org/org-element.el:
1558 lisp/org/org-mobile.el:
1559 lisp/textmodes/reftex-vars.el:
1563 test/etags/c-src/emacs/src/lisp.h:
1565 Expunge the likes of "This allows to do something" from the above files.
1567 2016-01-25 Artur Malabarba <bruce.connor.am@gmail.com>
1569 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-mode): Redundant line
1571 `special-mode' is already read-only.
1573 2016-01-25 Artur Malabarba <bruce.connor.am@gmail.com>
1575 * lisp/emacs-lisp/ert.el (ert--results-move): Change error to user-error
1577 2016-01-24 Paul Eggert <eggert@cs.ucla.edu>
1579 Port "$@" to OpenIndiana ksh93
1581 In http://lists.gnu.org/archive/html/bug-autoconf/2015-12/msg00000.html
1582 Pavel Raiskup reports that ${1+"$@"} runs afoul of a bug in /bin/sh
1583 (derived from ksh 93t+ 2010-03-05). ${1+"$@"} works around an ancient
1584 bug in long-dead shells, so remove the workaround.
1585 * admin/check-doc-strings, configure.ac, lib-src/rcs2log:
1586 Use plain "$@" rather than ${1+"$@"}.
1588 2016-01-24 Paul Eggert <eggert@cs.ucla.edu>
1590 * src/xwidget.c (Fxwidget_set_adjustment): Fix doc string quoting typo.
1592 2016-01-24 Paul Eggert <eggert@cs.ucla.edu>
1594 Improve wording for SMB support
1596 * doc/misc/tramp.texi (External methods): Improve and modernize
1597 wording for discussion of smbclient. There is no longer any
1598 need to mention the laundry list of old MS Windows implementations
1599 of SMB and CIFS, nor to mention CIFS. Also, give a URL for Samba.
1601 2016-01-24 Paul Eggert <eggert@cs.ucla.edu>
1606 2016-01-24 openat_proc_name: fix last '/' overwritten on OS/2 kLIBC
1607 2016-01-24 closedir, dirfd, opendir: port to OpenSolaris 5.10
1608 2016-01-15 detect utimes() correctly on OS/2 kLIBC
1609 2016-01-15 openat_proc_name: port to OS/2 kLIBC
1610 2016-01-14 stdint: check _INTPTR_T_DECLARED for intptr_t etc.
1611 2016-01-14 opendir, closedir, dirfd, fdopendir: port to OS/2 kLIBC
1612 2016-01-14 dup, dup2, fcntl: support a directory fd on OS/2 kLIBC
1613 2016-01-14 binary-io: don't put fd in binary mode if a console on EMX
1614 2016-01-14 sig2str: list all signals on FreeBSD >= 7
1615 2016-01-13 acl-permissions: port to USE_ACL==0 platforms
1616 2016-01-12 mktime: rename macro to avoid glibc clash
1617 2016-01-12 Port "$@" to OpenIndiana ksh93
1618 2016-01-12 Port Universal Time settings to strict POSIX
1619 * build-aux/gitlog-to-changelog, build-aux/update-copyright:
1620 * doc/misc/texinfo.tex, lib/acl-internal.c, lib/acl-internal.h:
1621 * lib/binary-io.h, lib/dirent.in.h, lib/dirfd.c, lib/dup2.c:
1622 * lib/fcntl.c, lib/fdopendir.c, lib/mktime.c, lib/openat-proc.c:
1623 * lib/sig2str.h, lib/stdint.in.h, m4/dirfd.m4, m4/dup2.m4:
1624 * m4/fcntl.m4, m4/utimes.m4:
1626 * m4/gnulib-comp.m4: Regenerate.
1628 2016-01-24 Alan Mackenzie <acm@muc.de>
1630 Expunge "allow" + infinitive without direct object from source and doc.
1632 Do the same for "permit", "enable", and "prevent".
1634 * doc/emacs/mule.texi:
1635 * doc/lispref/control.texi:
1636 * doc/lispref/display.texi:
1637 * doc/lispref/frames.texi:
1638 * doc/lispref/functions.texi:
1639 * doc/lispref/nonascii.texi:
1640 * doc/lispref/streams.texi:
1641 * doc/lispref/windows.texi:
1642 * doc/misc/dbus.texi:
1643 * doc/misc/eww.texi:
1644 * doc/misc/flymake.texi:
1645 * doc/misc/octave-mode.texi:
1646 * doc/misc/org.texi:
1647 * doc/misc/reftex.texi:
1648 * doc/misc/tramp.texi:
1649 * doc/misc/wisent.texi:
1651 * lisp/autorevert.el:
1652 * lisp/cedet/mode-local.el:
1653 * lisp/cedet/semantic/senator.el:
1654 * lisp/cedet/semantic/wisent.el:
1657 * lisp/gnus/gnus-agent.el:
1658 * lisp/gnus/mm-util.el:
1659 * lisp/international/characters.el:
1660 * lisp/ldefs-boot.el:
1661 * lisp/mail/mailclient.el:
1663 * lisp/mh-e/mh-search.el:
1664 * lisp/net/tramp-cmds.el:
1665 * lisp/net/tramp-gvfs.el:
1666 * lisp/org/org-crypt.el:
1667 * lisp/org/org-element.el:
1668 * lisp/org/org-feed.el:
1670 * lisp/org/ox-ascii.el:
1671 * lisp/org/ox-icalendar.el:
1672 * lisp/org/ox-publish.el:
1674 * lisp/play/gamegrid.el:
1675 * lisp/play/gomoku.el:
1676 * lisp/progmodes/antlr-mode.el:
1677 * lisp/progmodes/python.el:
1678 * lisp/progmodes/vhdl-mode.el:
1680 * lisp/textmodes/ispell.el:
1681 * lisp/tree-widget.el:
1691 Replace solecisms like "This allow to do something" with a correct
1692 alternative, such as "This allow you to do something", "This allows
1693 something to be done" or "This allows the doing of something".
1695 2016-01-24 l3thal <kwhite@gnu.org>
1697 Merge branch 'emacs-25' of git.sv.gnu.org:/srv/git/emacs into emacs-25
1699 2016-01-24 Kelvin White <kwhite@gnu.org>
1701 Add NEWS entry for asynchronous reconnect in ERC
1703 2016-01-24 l3thal <kwhite@gnu.org>
1705 Add NEWS entry for asynchronous reconnect in ERC
1707 2016-01-24 Kelvin White <kwhite@gnu.org>
1709 browse-url.el: Add 'google-chrome' to supported browsers.
1711 2016-01-24 Paul Eggert <eggert@cs.ucla.edu>
1713 Port Tramp manual to latest Texinfo
1715 Otherwise, 'make pdf' did not work (Bug#22416).
1716 * doc/misc/tramp.texi (xxx, yyy): Remove macros.
1717 (trampfn): Specialize to the case where METHOD is nonempty.
1718 The 2nd argument is now user@host, not 2nd user and 3rd host args.
1720 (trampf): New macro.
1722 2016-01-24 Lars Ingebrigtsen <larsi@gnus.org>
1724 * eww.el (eww-render): Protect against empty content-types.
1726 2016-01-24 Nicolas Petton <nicolas@petton.fr>
1730 * admin/authors.el (authors-ignored-files, authors-renamed-files-alist):
1733 2016-01-23 Dmitry Gutov <dgutov@yandex.ru>
1735 Rename xref-query-replace to xref-query-replace-in-results
1737 * lisp/progmodes/xref.el(xref-query-replace):
1738 Rename to xref-query-replace-in-results.
1739 (http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg01240.html)
1741 * lisp/progmodes/xref.el (xref--xref-buffer-mode-map):
1742 * lisp/dired-aux.el (dired-do-find-regexp-and-replace):
1743 * doc/emacs/dired.texi (Operating on Files):
1744 * doc/emacs/maintaining.texi (Xref Commands)
1745 (Identifier Search, Identifier Search): Update accordingly.
1747 2016-01-23 Dmitry Gutov <dgutov@yandex.ru>
1749 Update cl-defgeneric and cl-defmethod docstrings
1751 * lisp/emacs-lisp/cl-generic.el: Remove outdated TODO item.
1752 (cl-defgeneric): Rename BODY to DEFAULT-BODY.
1753 (cl-defmethod): Mention that multiple dispatch arguments are
1754 allowed. Document supported types. (Bug#22336)
1756 2016-01-23 Dmitry Gutov <dgutov@yandex.ru>
1758 Comment out next-error-function integration in xref
1760 * lisp/progmodes/xref.el (xref--xref-buffer-mode):
1761 Comment out next-error-function integration
1762 (http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg01286.html).
1764 2016-01-23 John Wiegley <johnw@newartisans.com>
1766 Correct a use of "which" in intro.texi
1768 2016-01-23 Alan Mackenzie <acm@muc.de>
1770 Distinguish the two meanings of Java's keyword "default". Fixes bug #22358.
1772 * lisp/progmodes/cc-engine.el (c-guess-basic-syntax CASE 14): Check the
1773 context of case labels (including "default") more rigorously.
1774 (c-guess-basic-syntax CASE 15): Consequential amendment.
1776 * lisp/progmodes/cc-langs.el (c-modifier-kwds): Add "default" to Java's value.
1778 2016-01-23 Oscar Fuentes <ofv@wanadoo.es>
1780 Don't operate on menu bar of nonexistent frame
1782 * src/xfns.c (Fx_hide_tip) [USE_LUCID]: Check that the current frame
1783 is valid before redisplaying its menu. Fixes bug#22438.
1785 2016-01-23 Anders Lindgren <andlind@gmail.com>
1787 Unbreak the GNUstep build.
1789 * src/nsterm.m ([EmacsBell init]): In GNUstep, don't use the
1790 predefined "caution" image. Add trace.
1791 (x_set_window_size): Remove unused variables `cols' and `rows'.
1792 (ns_draw_fringe_bitmap): Exclude assignment of `fromRect' when
1794 ([EmacsView updateFrameSize:]): Remove unused variable `win'.
1795 ([EmacsWindow zoom:]): Remove unused variable `f'.
1797 2016-01-23 Eli Zaretskii <eliz@gnu.org>
1798 John Wiegley <johnw@gnu.org>
1799 Michael Heerdegen <michael_heerdegen@web.de>
1801 Improve documentation of 'pcase'
1803 * doc/lispref/control.texi (Pattern matching case statement):
1804 Reorganize, expand, and improve wording.
1806 * etc/NEWS: Mention that 'pcase' changes are documented.
1808 2016-01-23 Paul Eggert <eggert@cs.ucla.edu>
1810 * etc/NEWS: Say that Cairo is experimental.
1812 2016-01-23 Paul Eggert <eggert@cs.ucla.edu>
1814 Report error for PNG under Cairo
1816 * src/image.c (lookup_rgb_color): Signal a file error instead
1817 of dumping core when mishandling an image.
1819 2016-01-23 Arash Esbati <esbati@gmx.de>
1821 Delete a spurious backquote (tiny change)
1823 * lisp/textmodes/reftex-ref.el (reftex-label): Delete a
1824 spurious backquote which raises an error with emacs 25.
1826 2016-01-23 Paul Eggert <eggert@cs.ucla.edu>
1828 Pacify --enable-gcc-warnings --with-cairo
1830 Problem reported by Alexander Kuleshov in:
1831 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg01289.html
1832 * src/gtkutil.c (xg_get_page_setup):
1833 Use switch rather than if-then-else.
1834 * src/image.c (COLOR_TABLE_SUPPORT):
1835 Define directly rather than via #define and optional later #undef.
1836 (lookup_rgb_color) [USE_CAIRO && ENABLE_CHECKING]:
1837 Crash when the pixel is undefined, as there is a genuine bug
1839 * src/image.c (tiff_load, gif_load, svg_load_image)
1840 (x_kill_gs_process) [USE_CAIRO]:
1841 * src/xterm.c (x_draw_fringe_bitmap) [USE_CAIRO]:
1842 Omit unused locals, or move them to where they’re needed.
1843 (x_clear_area1): Now ATTRIBUTE_UNUSED.
1845 2016-01-22 Eli Zaretskii <eliz@gnu.org>
1847 Update documentation for Dired search and replace
1849 * doc/emacs/dired.texi (Operating on Files): Update descriptions
1850 of 'A' and 'Q' now bound to 'dired-do-find-regexp' and
1851 'dired-do-find-regexp-and-replace'.
1853 * etc/NEWS: Mention xref-related changes in Dired.
1855 2016-01-22 Paul Eggert <eggert@cs.ucla.edu>
1857 Port recent xdisp.c fix to picky C compilers
1859 * src/xdisp.c (dump_glyph): Redo the call to fprintf to avoid
1860 putting #if inside the arguments to a standard function, which
1861 the C standard says has undefined behavior.
1863 2016-01-22 Alan Mackenzie <acm@muc.de>
1865 Prevent spurious recognition of K&R argument declarations. Fixes bug #2203
1867 * cc-engine.el (c-forward-declarator): New function.
1868 (c-in-knr-argdecl): Before recognizing a K&R argument declaration, check it is
1869 contained in the preceding arg list.
1871 * cc-fonts.el (c-font-lock-declarators): Use the new function
1872 `c-forward-declarator' in place of inline code.
1874 2016-01-22 Eli Zaretskii <eliz@gnu.org>
1876 Fix the build with --enable-checking=glyphs
1878 * src/xdisp.c (dump_glyph): Don't refer to glyph->u.xwidget in a
1879 build without xwidget support.
1881 2016-01-22 Eli Zaretskii <eliz@gnu.org>
1883 Document cl-generic.el
1885 * doc/lispref/functions.texi (Generic Functions): New section.
1887 (Functions): Update the chapter menu.
1888 * doc/lispref/elisp.texi: Update the master menu.
1890 2016-01-22 Paul Eggert <eggert@cs.ucla.edu>
1892 xwidgets style cleanup
1894 Adjust the newly-added Xwidgets code so that it uses a more-typical
1895 Emacs style. This should not affect behavior, except that in
1896 a few places it adds runtime checks that Lisp arguments are of
1897 the proper type, and in one place it uses more-precise arithmetic.
1898 * src/buffer.c, src/dispnew.c, src/emacs.c, src/emacsgtkfixed.c:
1899 * src/emacs.c, src/print.c, src/window.c, src/xdisp.c, src/xterm.c:
1900 Include xwidget.h unconditionally.
1901 * src/buffer.c (Fkill_buffer):
1902 * src/dispnew.c (update_window):
1903 * src/emacs.c (main):
1904 * src/print.c (print_object):
1905 * src/window.c (Fdelete_window_internal):
1906 * src/xdisp.c (handle_single_display_spec, push_it, pop_it)
1907 (get_next_element, set_iterator_to_next, next_element_from_xwidget)
1908 (dump_glyph, calc_pixel_width_or_height, BUILD_GLYPH_STRINGS_XW)
1909 (BUILD_GLYPH_STRINGS, x_produce_glyphs, get_window_cursor_type):
1910 * src/xterm.c (x_draw_glyph_string, x_draw_bar_cursor):
1911 Call xwidget functions and macros without worrying about
1912 HAVE_XWIDGETS when the code is a no-op on non-xwidget
1914 * src/dispextern.h (XWIDGET_GLYPH, struct glyph_string.xwidget)
1915 (IT_XWIDGET, GET_FROM_XWIDGET, struct it.u.xwidget)
1916 (struct it.xwidget):
1917 * src/lisp.h (PVEC_XWIDGET, PVEC_XWIDGET_VIEW):
1919 * src/emacsgtkfixed.h: Omit unnecessary comment.
1920 * src/keyboard.c: Fix spacing.
1921 * src/xdisp.c (BUILD_XWIDGET_GLYPH_STRING, produce_xwidget_glyph):
1922 Define to be a no-op if not HAVE_XWIDGETS.
1923 * src/xwidget.c: Include xwidget.h first (after config.h)
1924 to make sure that it can stand by itself.
1925 (Fmake_xwidget, Fxwidget_webkit_execute_script):
1926 Fix typo in doc string.
1927 (Fmake_xwidget): Check type of args.
1928 (Fmake_xwidget, offscreen_damage_event)
1929 (webkit_document_load_finished_cb, webkit_download_cb)
1930 (webkit_new_window_policy_decision_requested_cb)
1931 (webkit_navigation_policy_decision_requested_cb)
1932 (xwidget_osr_draw_cb, xwidget_osr_event_forward)
1933 (xwidget_osr_event_set_embedder, xwidget_init_view):
1934 Omit unnecessary casts.
1935 * src/xwidget.c (Fmake_xwidget, xwidget_hidden)
1936 (xwidget_show_view, xwidget_hide_view)
1937 (x_draw_xwidget_glyph_string, xwidget_start_redisplay, xwidget_touch)
1939 * src/xwidget.h (struct xwidget.kill_without_query)
1940 (struct xwidget_view.redisplayed, struct xwidget_view.hidden):
1941 Use bool for boolean.
1942 * src/xwidget.c (store_xwidget_event_string, Fxwidget_size_request):
1943 Simplify by using list functions.
1944 (WEBKIT_FN_INIT): Omit unnecessary test for nil.
1945 (Fxwidget_resize): Check type of integer args
1946 before doing any work. Check that they are nonnegative.
1947 (Fxwidget_set_adjustment): Check type of integer arg.
1948 Avoid redundant call to gtk_scrolled_window_get_vadjustment.
1949 Simplify. Use double, not float.
1950 (Fxwidget_info, Fxwidget_view_info): Simplify by using CALLN.
1951 (valid_xwidget_spec_p): Simplify.
1952 (xwidget_spec_value): Omit unused arg FOUND. All callers changed.
1953 * src/xwidget.h: Include lisp.h first, so that includers do
1954 not need to worry about doing that before including this file.
1955 Make this .h file safe to include even on non-HAVE_XWIDGETS
1956 configurations, to simplify the includers.
1957 (x_draw_xwidget_glyph_string, syms_of_xwidget, valid_xwidget_spec_p)
1958 (xwidget_end_redisplay, lookup_xwidget)
1959 (xwidget_view_delete_all_in_window, kill_buffer_xwidgets):
1960 Now a no-op if !HAVE_XWIDGETS, to simplify callers.
1961 (struct glyph_matrix, struct glyph_string, struct xwidget)
1962 (struct xwidget_view, struct window):
1963 New forward or incomplete decls, so that includers need not
1964 assume the corresponding .h files are already included, or that
1965 HAVE_XWIDGETS is defined.
1966 (struct xwidget_type, xwidget_from_id): Remove; unused.
1968 2016-01-22 John Wiegley <johnw@newartisans.com>
1970 Further corrections to the pcase docstring
1972 2016-01-22 Eli Zaretskii <eliz@gnu.org>
1974 * doc/emacs/anti.texi (Antinews): Rewrite for Emacs 25.
1976 2016-01-21 Stephen Leake <stephen_leake@stephe-leake.org>
1978 In xref-collect-references, force backends to respect the 'dir' arg
1980 * lisp/progmodes/xref.el (xref-collect-references): Force symref backends
1981 to use `default-directory'.
1983 2016-01-21 John Wiegley <johnw@newartisans.com>
1985 Minor correction to pcase docstring
1987 2016-01-21 John Wiegley <johnw@newartisans.com>
1989 Write a new docstring for the pcase macro
1991 * lisp/emacs-lisp/pcase.el (pcase): Write a new docstring.
1993 2016-01-21 Stephen Berman <stephen.berman@gmx.net>
1995 Avoid byte-compiler warning in todo-mode (bug#21953)
1997 * todo-mode.el (todo-convert-legacy-files): Add limit argument
1998 to looking-back to comply with advertised-calling-convention.
2000 2016-01-21 Stephen Berman <stephen.berman@gmx.net>
2002 Fix desktop support in todo-mode and doc-view (bug#22377)
2004 * lisp/calendar/todo-mode.el (todo-restore-desktop-buffer):
2005 * lisp/doc-view.el (doc-view-restore-desktop-buffer): Return current buffer.
2007 * lisp/calendar/todo-mode.el (todo-modes-set-2):
2008 * lisp/doc-view.el (doc-view-mode): Set desktop-save-buffer unconditionally.
2010 2016-01-20 Paul Eggert <eggert@cs.ucla.edu>
2012 No need to configure gobject-introspection
2014 It wasn’t needed for the recently-installed xwidget_mvp code; see:
2015 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg01154.html
2016 * configure.ac (DOES_XWIDGETS_USE_GIR, GIR_REQUIRED, GIR_MODULES):
2018 * src/Makefile.in (GIR_LIBS, GIR_CFLAGS):
2019 Remove. All uses removed.
2020 * configure.ac (emacs_config_features): Don’t worry about GIR.
2022 2016-01-20 Paul Eggert <eggert@cs.ucla.edu>
2024 Don’t export C symbols not used elsewhere
2026 These were recently added, mostly as part of xwidget code.
2027 * src/emacsgtkfixed.c (emacs_fixed_get_type): Now static.
2028 (EMACS_FIXED, EMACS_FIXED_GET_CLASS):
2029 Now static functions here, not macros in emacsgtkfixed.h.
2030 * src/emacsgtkfixed.h (EMACS_TYPE_FIXED):
2031 Remove. All uses replaced by definiens.
2032 (EMACS_FIXED, EMACS_FIXED_GET_CLASS):
2033 Remove; these are now static functions in emacsgtkfixed.c.
2034 (EMACS_FIXED_CLASS, EMACS_IS_FIXED, EMACS_IS_FIXED_CLASS):
2036 (emacs_fixed_get_type): Remove decl; no longer extern.
2037 * src/xwidget.c (offscreen_damage_event)
2038 (webkit_mime_type_policy_typedecision_requested_cb)
2039 (webkit_new_window_policy_decision_requested_cb)
2040 (webkit_navigation_policy_decision_requested_cb)
2041 (xwidget_spec_value, xwidget_view_lookup)
2042 (xwidget_start_redisplay, xwidget_touch):
2044 * src/xwidget.h (xwidget_start_redisplay, xwidget_touch):
2047 2016-01-20 Dmitry Gutov <dgutov@yandex.ru>
2049 Support squiggly heredocs in ruby-mode
2051 * lisp/progmodes/ruby-mode.el (ruby-here-doc-beg-re):
2052 Support squiggly heredocs added in Ruby 2.3.
2054 * test/indent/ruby.rb: Add squiggly example.
2056 2016-01-20 Glenn Morris <rgm@gnu.org>
2058 * configure.ac (emacs_config_features): Remove WEBKIT.
2060 2016-01-20 Paul Eggert <eggert@cs.ucla.edu>
2062 Port to platforms with gtk3 but not webkitgtk3
2064 I ran into this problem on my Fedora 23 installation;
2065 Emacs configured but did not build when --with-xwidgets was specified.
2066 * configure.ac (HAVE_WEBKIT, HAVE_GIR): Omit unnecessary initializations.
2067 (DOES_XWIDGETS_USE_GIR): New var.
2068 If --with-xwidgets is specified, report an error if not
2069 doable, to be consistent with the other --with options.
2070 Require webkitgtk3 to use Xwidgets, as the Xwidgets code does
2071 not work at all without webkitgtk3. Simplify use of
2072 EMACS_CHECK_MODULES. Output message about gobject
2073 introspection only if xwidgets are used.
2074 * etc/NEWS: Users need webkitgtk3, not merely webkit.
2075 * src/xwidget.c (syms_of_xwidget): Don’t worry about HAVE_WEBKIT_OSR,
2076 since this file is no longer compiled if webkitgtk3 is not available.
2078 2016-01-20 Eli Zaretskii <eliz@gnu.org>
2080 Fix doc string of 'isearch-search-fun-function'
2082 * lisp/isearch.el (isearch-search-fun-function)
2083 (isearch-search-string): Doc fixes. (Bug#22411)
2085 2016-01-19 Stefan Monnier <monnier@iro.umontreal.ca>
2087 * lisp/xwidget.el: Nitpicks
2089 * lisp/xwidget.el (xwidget-log, xwidget-webkit-callback):
2090 Use with-current-buffer rather than save-excursion + set-buffer.
2092 2016-01-19 Glenn Morris <rgm@gnu.org>
2094 Don't hard-code 1 as point-min.
2096 * lisp/image-mode.el (image-display-size):
2097 * lisp/xwidget.el (xwidget-webkit-last-session)
2098 (xwidget-webkit-current-session): Don't hard-code 1 as point-min.
2100 2016-01-19 Glenn Morris <rgm@gnu.org>
2102 * lisp/xwidget.el: Add declarations to silence non-xwidget compilation.
2104 2016-01-19 Glenn Morris <rgm@gnu.org>
2106 Trivial doc copyedits.
2108 * src/xwidget.c (Fmake_xwidget, Fget_buffer_xwidgets)
2109 (Fxwidget_webkit_get_title, Fxwidget_resize)
2110 (Fxwidget_set_adjustment, Fxwidgetp, Fxwidget_view_p)
2111 (Fxwidget_info, Fxwidget_view_lookup)
2112 (Fset_xwidget_query_on_exit_flag): Trivial doc copyedits.
2114 2016-01-19 Glenn Morris <rgm@gnu.org>
2116 Avoid advising image-display-size for xwidgets.
2118 * lisp/xwidget.el (xwidget-image-display-size): Remove.
2119 (image-display-size): Remove advice.
2120 * lisp/image-mode.el (xwidget-info, xwidget-at): Declare.
2121 (image-display-size): Incorporate xwidget code directly.
2123 2016-01-19 Glenn Morris <rgm@gnu.org>
2125 Avoid breaking non-xwidget Emacs that happen to load xwidget.el.
2127 * lisp/xwidget.el (window-configuration-change-hook)
2128 (kill-buffer-query-functions): Only modify these hooks if
2129 compiled with xwidget support.
2131 2016-01-19 Glenn Morris <rgm@gnu.org>
2133 * lisp/xwidget.el (xwidget-webkit-scroll-behaviour): Fix custom spec.
2135 * configure.ac (WEBKIT, GIR, CAIRO): Use EMACS_CHECK_MODULES, not PKG_.
2137 * configure.ac (emacs_config_features): Add XWIDGETS, WEBKIT, GIR.
2139 * configure.ac (HAVE_WEBKIT_OSR): Remove broken, duplicated gtk3 test.
2141 2016-01-19 Katsumi Yamaoka <yamaoka@jpl.org>
2143 * lisp/gnus/nnir.el (nnir-request-update-mark):
2144 Default to the original mark.
2145 cf. <http://thread.gmane.org/gmane.emacs.gnus.general/86583>
2146 and <http://thread.gmane.org/gmane.emacs.gnus.general/86640>
2148 2016-01-19 Glenn Morris <rgm@gnu.org>
2150 * lisp/xwidget.el (report-xwidget-bug): Remove.
2152 (top-level): No longer require reporter.
2154 2016-01-19 Joakim Verona <joakim@verona.se>
2155 Grégoire Jadi <daimrod@gmail.com>
2157 Support for the new Xwidget feature.
2160 (HAVE_XWIDGETS, WIDGET_OBJ, EMACS_CONFIG_FEATURES):
2161 * xterm.c (x_draw_glyph_string, x_draw_bar_cursor):
2163 (handle_display_spec, handle_single_display_spec, push_it)
2164 (pop_it, set_iterator_to_next, dump_glyph)
2165 (calc_pixel_width_or_height, fill_xwidget_glyph_string)
2166 (BUILD_XWIDGET_GLYPH_STRING, BUILD_GLYPH_STRINGS)
2167 (produce_xwidget_glyph, x_produce_glyphs)
2168 (get_window_cursor_type):
2169 * window.c (Fdelete_window_internal):
2171 * print.c (print_object):
2172 * lisp.h (ptrdiff_t):
2173 * keyboard.c (kbd_buffer_get_event, make_lispy_event)
2176 * dispnew.c (update_window, scrolling_window):
2177 * dispextern.h (g, i):
2178 * Makefile.in (XWIDGETS_OBJ, WEBKIT_CFLAGS, WEBKIT_LIBS)
2179 (GIR_LIBS, ALL_CFLAGS, base_obj, LIBES):
2180 * keyboard.c (kbd_buffer_get_event):
2181 * emacsgtkfixed.c (emacs_fixed_gtk_widget_size_allocate)
2182 (emacs_fixed_class_init): Add case for an xwidget view.
2184 * xwidget.c, xwidget.h, xwidget.el: New files for xwidgets
2187 Various improvements to the Xwidget feature.
2192 2016-01-19 Eli Zaretskii <eliz@gnu.org>
2194 Improve documentation of 'alist-get'
2196 * doc/lispref/variables.texi (Setting Generalized Variables): Add
2197 'alist-get' to the list of functions that can appear in PLACE
2200 2016-01-19 Eli Zaretskii <eliz@gnu.org>
2202 Minor copyedits of doc/emacs/maintaining.texi
2204 * doc/emacs/maintaining.texi (List Identifiers): More accurate
2205 description of "C-M-i" wrt tags tables.
2206 (Tags Tables): Move the definition of "tag" to a footnote.
2208 2016-01-19 Eli Zaretskii <eliz@gnu.org>
2210 Unbreak the Cygwin-w32 build
2212 * src/w32fns.c (globals_of_w32fns): Move the initialization of
2213 resetstkoflw into a part that isn't compiled on Cygwin.
2216 2016-01-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
2218 * shr.el (shr-table-body): Allow tables to have text children.
2220 2016-01-19 Phillip Lord <phillip.lord@russet.org.uk>
2222 Cope with multiple overlapping faces.
2224 * lisp/htmlfontify.el (hfy-face-to-style-i): Treat inheritance right to
2226 (hfy-face-resolve-face): Handle font specification as well as font
2227 name. Documentation update. (Bug#21990)
2229 2016-01-18 Paul Eggert <eggert@cs.ucla.edu>
2231 Fix spurious escapes in describe-input-method
2233 Problem reported by Vincent Belaïche (Bug#22309).
2234 * lisp/international/mule-cmds.el (describe-language-environment):
2235 * lisp/international/quail.el (quail-help):
2236 Apply substitute-command-keys to doc strings before displaying them.
2238 2016-01-30 Nicolas Petton <nicolas@petton.fr>
2240 Bump version to 25.0.90
2244 * msdos/sed2v2.inp: Bump version to 25.0.90.
2246 2016-01-30 Nicolas Petton <nicolas@petton.fr>
2248 * etc/AUTHORS: Update the AUTHORS file
2250 2016-01-30 Nicolas Petton <nicolas@petton.fr>
2254 * admin/authors.el (authors-renamed-files-alist): Additions.
2256 2016-01-30 Nicolas Petton <nicolas@petton.fr>
2258 Make it possible to run make change-history on emacs-25
2260 * Makefile.in: Check if the current branch is emacs-25 instead of
2263 2016-01-30 lu4nx <lx@shellcodes.org>
2265 Support Go language in 'etags'
2267 * lib-src/etags.c <Ruby_help>: Fix documentation of Ruby tags.
2268 <Go_help>: New help.
2269 <Go_suffixes>: New variable.
2270 (Go_functions): New function.
2271 <lang_names>: Add entry for Go. (Bug#22370)
2273 * doc/emacs/maintaining.texi (Tag Syntax): Document Go support.
2274 * doc/man/etags.1: Mention Go support.
2276 * etc/NEWS: Mention Go support.
2278 * test/etags/go-src/test.go:
2279 * test/etags/go-src/test1.go: New test files.
2280 * test/etags/Makefile (GOSRC): New variable.
2281 (SRCS): Add $(GOSRC).
2282 * test/etags/ETAGS.good_1:
2283 * test/etags/ETAGS.good_2:
2284 * test/etags/ETAGS.good_3:
2285 * test/etags/ETAGS.good_4:
2286 * test/etags/ETAGS.good_5:
2287 * test/etags/ETAGS.good_6:
2288 * test/etags/CTAGS.good: Adapt to addition of Go tests.
2290 2016-01-30 Eli Zaretskii <eliz@gnu.org>
2292 Improve Ruby support in 'etags'
2294 * lib-src/etags.c (Ruby_functions): Tag constants. Don't tag
2295 singleton classes. Remove class qualifiers from tags generated
2296 for method and constant names. (Bug#22241)
2298 * doc/emacs/maintaining.texi (Tag Syntax): Mention that constants
2299 are tagged by etags in Ruby.
2301 * etc/NEWS: Mention that constants are tagged by etags in Ruby.
2303 * test/etags/ruby-src/test1.ruby: Add more tests.
2304 * test/etags/ETAGS.good_1:
2305 * test/etags/ETAGS.good_2:
2306 * test/etags/ETAGS.good_3:
2307 * test/etags/ETAGS.good_4:
2308 * test/etags/ETAGS.good_5:
2309 * test/etags/ETAGS.good_6:
2310 * test/etags/CTAGS.good: Adapt to the changes in etags and in Ruby
2313 2016-01-30 Eli Zaretskii <eliz@gnu.org>
2315 Adjust etags test results to changes in copyright years
2317 * test/etags/CTAGS.good:
2318 * test/etags/ETAGS.good_1:
2319 * test/etags/ETAGS.good_2:
2320 * test/etags/ETAGS.good_3:
2321 * test/etags/ETAGS.good_4:
2322 * test/etags/ETAGS.good_5:
2323 * test/etags/ETAGS.good_6: Adjust to shift in characters and
2326 2016-01-30 Andreas Schwab <schwab@linux-m68k.org>
2328 Revert "Re-enable checks in member, memql, delete to complain about non-lists"
2330 This reverts commit f524e8b7f12d9b5a8b92084e5385429fe7b085b9.
2332 2016-01-30 Nicolas Petton <nicolas@petton.fr>
2334 Make it possible to run make change-history on emacs-25
2336 * Makefile.in: Check if the current branch is emacs-25 instead of
2339 2016-01-30 Dmitry Gutov <dgutov@yandex.ru>
2341 Don't fiddle with DEFAULT
2343 * lisp/progmodes/project.el (project--completing-read-strict):
2344 Don't change DEFAULT, whether is has any matches in
2347 2016-01-30 Eli Zaretskii <eliz@gnu.org>
2349 Document xwidget commands and functions
2351 * doc/lispref/display.texi (Xwidgets): New section, describes some
2352 of the xwidget primitives.
2353 * doc/lispref/display.texi (Display): Update the chapter menu.
2354 * doc/emacs/misc.texi (Embedded WebKit Widgets): New section.
2355 * doc/emacs/emacs.texi (Top): Update the master menu to include
2358 2016-01-30 Lars Ingebrigtsen <larsi@gnus.org>
2360 Build fix for shr.el
2362 * shr.el (seq): Require.
2364 2016-01-30 Dmitry Gutov <dgutov@yandex.ru>
2366 Improve project-find-file yet again!
2368 * lisp/progmodes/project.el (project--completing-read-strict):
2370 (project-find-file-in): Use it.
2371 (project-file-completion-table): Move the default
2372 implementation inside the cl-defgeneric form.
2373 (http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg01720.html)
2375 2016-01-30 Dmitry Gutov <dgutov@yandex.ru>
2377 Don't pass DIR to 'hg status'
2379 * lisp/vc/vc-hg.el (vc-hg-dir-status-files):
2380 Don't pass DIR to 'hg status' (bug#22481).
2382 2016-01-30 Stephen Leake <stephen_leake@stephe-leake.org>
2384 Fix typo in previous commits
2386 * lisp/progmodes/project.el (project-find-file-in):
2387 * lisp/vc/vc-mtn.el (vc-mtn-find-ignore-file): Fix typo in previous
2390 2016-01-30 Stephen Leake <stephen_leake@stephe-leake.org>
2392 Improve project-find-file
2394 * lisp/progmodes/project.el (project-file-completion-table): New.
2395 (project-find-file, project-or-external-find-file): Default to filename
2397 (project-file-completion-table): New, split out from
2398 project--find-file-in.
2399 (project-find-file-in): Renamed from project--find-file-in, use
2400 project-file-completion-table.
2402 * lisp/progmodes/xref.el (ede-minor-mode): New declaration.
2403 (xref--find-ignores-arguments): Add doc string.
2405 2016-01-30 Stephen Leake <stephen_leake@stephe-leake.org>
2407 Implement vc-mtn-find-ignore-file, fix some doc strings
2409 * lisp/cedet/cedet-global.el (cedet-gnu-global-root): Improve doc string.
2411 * lisp/cedet/ede/locate.el (initialize-instance): Improve doc string.
2413 * lisp/vc/vc-git.el (vc-git-find-ignore-file): Fix doc string.
2415 * lisp/vc/vc-mtn.el (vc-mtn-find-ignore-file): New function.
2417 2016-01-23 Michael Albinus <michael.albinus@gmx.de>
2419 Improve user name completion in Tramp
2421 * lisp/net/tramp.el (tramp-parse-passwd, tramp-parse-etc-group):
2422 Call also "getent passwd" or "getent group", if possible.
2423 (tramp-parse-putty): Cache the result.
2425 2016-01-22 Michael Albinus <michael.albinus@gmx.de>
2427 * etc/NEWS: Move kqueue entries to Emacs 25.1 sections.
2429 2016-01-20 Glenn Morris <rgm@gnu.org>
2431 Remove handling of non-string time-stamp formats, obsolete for 20 years.
2433 * lisp/time-stamp.el (time-stamp-format): Doc fix.
2434 (time-stamp-old-format-warn, time-stamp-fconcat): Remove.
2435 (time-stamp-string): Ignore non-string formats.
2437 2016-01-20 Eli Zaretskii <eliz@gnu.org>
2439 Anoter fix for problematic merge from emacs-25
2441 * src/w32fns.c (globals_of_w32fns): Move initialization of
2442 resetstkoflw to a non-Cygwin part.
2444 2016-01-20 Michael Albinus <michael.albinus@gmx.de>
2446 * test/Makefile.in (mostlyclean): Use ${LOGFILES}.
2448 2016-01-20 Eli Zaretskii <eliz@gnu.org>
2450 Fix MS-Windows build broken by a botched merge from emacs-25
2452 * src/w32.c (w32_crypto_hprov): New static variable.
2453 (globals_of_w32): Initialize w32_crypto_hprov.
2454 (w32_init_crypt_random, w32_init_random): New functions.
2456 * src/w32.h (w32_init_random): Add prototype.
2458 2016-01-20 Vincent Belaïche <vincentb1@users.sourceforge.net>
2460 Correct a whole bunch of bugs coming with renamed cell relocation.
2462 * lisp/ses.el (ses-localvars): rename variable
2463 `ses--renamed-cell-symb-list' into `ses--in-killing-named-cell-list'
2464 and adjust the comment about it.
2465 (ses-plist-delq): new defun.
2466 (ses--ses-buffer-list): new defvar.
2467 (ses--unbind-cell-name): new defun.
2468 (ses-relocate-symbol): Do not relocate symbol when it is a named cell.
2469 (ses-relocate-formula): Undo change of
2470 2011-12-27T19:30:39Z!vincentb1@users.sourceforge.net that was
2471 preventing relocation for named cell --- now doing this is delegated
2472 to function `ses-relocate-symbol'.
2473 (ses-relocate-range): In docstring, undo change of
2474 2016-01-03T07:31:52Z!johnw@newartisans.com, `ses-range' must remain
2475 lower case as it is not a variable.
2476 (ses-relocate-all): Cell name relocation : 1) check that cell is a
2477 renamed cell by testing `ses-cell' property to :ses-named, rather than
2478 comparing name to corresponding standard name. Set rowcol of renamed
2479 cell into the hashmap --- `ses-cell' property must not be used for
2480 that as the same name can be used for different locations in different
2481 SES sheets ; 2) use `local-variable-if-set-p' rather than `boundp' and
2482 `local-variable-p' to check if cell name is already in use in this
2483 sheet or needs initialisation.
2484 (ses-relocate-all): Cell value relocation : 1) like for name
2485 relocation use the `ses-cell' property rather than comparing actual
2486 name to corresponding standard name. 2) Correct bug introduced in
2487 2011-12-27T19:30:39Z!vincentb1@users.sourceforge.net, as the test was
2488 made the other way round than the intention --- ie value relocation
2489 was disabled for standard cell, not for renamed cell as was the
2491 (ses-relocate-all): Add loop for unbinding deleted renamed cells
2493 (ses-killbuffer-hook): new defun.
2494 (ses-mode): Add the ses--ses-buffer-list maintenance mechanism ---
2495 kill buffer hook, plus pushing current buffer if new in list.
2496 (ses-delete-row, ses-delete-column): Collect deleted renamed cells
2497 into `ses--in-killing-named-cell-list'.
2498 (ses-rename-cell): Remove update of variable
2499 `ses--renamed-cell-symb-list', this variable is renamed to
2500 `ses--in-killing-named-cell-list', and its setting is done in
2501 functions `ses-delete-row' and , `ses-delete-column' now.
2502 (ses-rename-cell): Make cell new name a buffer local variable.
2503 (ses-rename-cell): Change correction of
2504 2015-12-30T23:10:37Z!vincentb1@users.sourceforge.net concerning
2505 computation of the range over which `cursor-intangible' property was
2506 to be updated. This correction was ok for non spilling cells, but not
2507 for cells spilling over following blank cells. Simply use
2508 `next-single-property-change' rather than computing the end column
2511 2016-01-19 John Wiegley <johnw@newartisans.com>
2513 Merge from origin/emacs-25
2515 3ae7934 ; * etc/NEWS: Mark entries that don't need further treatment.
2516 6165c36 * lisp/files.el (dir-locals--all-files): Respect absolute file-names
2517 2ffdf15 * lisp/help-fns.el (describe-variable): Fix a left-over parenthesis
2518 71ecd62 * lisp/dired-x.el (dired-omit-here-always): Use add-dir-local-variable
2519 f0b82b3 * lisp/files.el (dir-locals--all-files): Use completion instead of wildcards
2520 86e4513 Fix incompatbilities with MS-Windows 2000 and older
2521 4e96521 Mention in PROBLEMS an issue with MS-Windows NT4
2522 15c23aa Ensure 8-byte aligned memory allocation on MS-Windows 9X
2523 39afa42 Fix tests for active region in hideif.el
2524 05df666 Fix interactive specs in some hideif.el commands
2526 2016-01-19 John Wiegley <johnw@newartisans.com>
2530 2016-01-19 Paul Eggert <eggert@cs.ucla.edu>
2532 Avoid stdio in SIGINT handler
2534 * admin/merge-gnulib (GNULIB_MODULES): Add ignore-value.
2535 * lib/gnulib.mk, m4/gnulib-comp.m4: Regenerate.
2536 * lib/ignore-value.h: New file, from gnulib.
2537 * src/keyboard.c: Include it.
2538 (write_stdout, read_stdin): New functions.
2539 (handle_interrupt): Use them instead of printf and getchar,
2540 and avoid fflush when handling signals.
2542 2016-01-19 Jens Lechtenboerger <jens.lechtenboerger@fsfe.org>
2544 Refactor mml-smime.el, mml1991.el, mml2015.el
2546 (Maybe this is the last merge from Gnus git to Emacs git)
2548 Cf. discussion on ding mailing list, messages in
2549 <http://thread.gmane.org/gmane.emacs.gnus.general/86228>.
2550 Common code from the three files mml-smime.el, mml1991.el, and
2551 mml2015.el is moved to mml-sec.el. Auxiliary functions are added
2554 The code is supported by test cases with necessary test keys.
2556 Documentation in message.texi is updated.
2558 * doc/misc/message.texi (Security, Using S/MIME):
2559 Update for refactoring mml-smime.el, mml1991.el, mml2015.el.
2560 (Using OpenPGP): Rename from "Using PGP/MIME"; update contents.
2561 (Passphrase caching, Encrypt-to-self, Bcc Warning): New sections.
2563 * lisp/gnus/gnus-util.el (gnus-test-list, gnus-subsetp, gnus-setdiff):
2566 * lisp/gnus/mml-sec.el: Require gnus-util and epg.
2567 (epa--select-keys): Autoload.
2568 (mml-signencrypt-style-alist, mml-secure-cache-passphrase): Doc fix.
2569 (mml-secure-openpgp-signers): New user option;
2570 make mml1991-signers and mml2015-signers obsolete aliases to it.
2571 (mml-secure-smime-signers): New user option;
2572 make mml-smime-signers an obsolete alias to it.
2573 (mml-secure-openpgp-encrypt-to-self): New user option;
2574 make mml1991-encrypt-to-self and mml2015-encrypt-to-self obsolete
2576 (mml-secure-smime-encrypt-to-self): New user option;
2577 make mml-smime-encrypt-to-self an obsolete alias to it.
2578 (mml-secure-openpgp-sign-with-sender): New user option;
2579 make mml2015-sign-with-sender an obsolete alias to it.
2580 (mml-secure-smime-sign-with-sender): New user option;
2581 make mml-smime-sign-with-sender an obsolete alias to it.
2582 (mml-secure-openpgp-always-trust): New user option;
2583 make mml2015-always-trust an obsolete alias to it.
2584 (mml-secure-fail-when-key-problem, mml-secure-key-preferences):
2586 (mml-secure-cust-usage-lookup, mml-secure-cust-fpr-lookup)
2587 (mml-secure-cust-record-keys, mml-secure-cust-remove-keys)
2588 (mml-secure-add-secret-key-id, mml-secure-clear-secret-key-id-list)
2589 (mml-secure-cache-passphrase-p, mml-secure-cache-expiry-interval)
2590 (mml-secure-passphrase-callback, mml-secure-check-user-id)
2591 (mml-secure-secret-key-exists-p, mml-secure-check-sub-key)
2592 (mml-secure-find-usable-keys, mml-secure-select-preferred-keys)
2593 (mml-secure-fingerprint, mml-secure-filter-keys)
2594 (mml-secure-normalize-cust-name, mml-secure-select-keys)
2595 (mml-secure-select-keys-1, mml-secure-signer-names, mml-secure-signers)
2596 (mml-secure-self-recipients, mml-secure-recipients)
2597 (mml-secure-epg-encrypt, mml-secure-epg-sign): New functions.
2599 * lisp/gnus/mml-smime.el: Require epg;
2600 refactor declaration and autoloading of epg functions.
2601 (mml-smime-use): Doc fix.
2602 (mml-smime-cache-passphrase, mml-smime-passphrase-cache-expiry):
2604 (mml-smime-get-dns-cert, mml-smime-get-ldap-cert):
2605 Use format instead of gnus-format-message.
2606 (mml-smime-epg-secret-key-id-list): Remove variable.
2607 (mml-smime-epg-passphrase-callback, mml-smime-epg-find-usable-key)
2608 (mml-smime-epg-find-usable-secret-key): Remove functions.
2609 (mml-smime-epg-sign, mml-smime-epg-encrypt): Refactor.
2611 * lisp/gnus/mml1991.el (mml1991-cache-passphrase)
2612 (mml1991-passphrase-cache-expiry): Obsolete.
2613 (mml1991-epg-secret-key-id-list): Remove variable.
2614 (mml1991-epg-passphrase-callback, mml1991-epg-find-usable-key)
2615 (mml1991-epg-find-usable-secret-key): Remove functions.
2616 (mml1991-epg-sign, mml1991-epg-encrypt): Refactor.
2618 * lisp/gnus/mml2015.el (mml2015-cache-passphrase)
2619 (mml2015-passphrase-cache-expiry): Obsolete.
2620 (mml2015-epg-secret-key-id-list): Remove variable.
2621 (mml2015-epg-passphrase-callback, mml2015-epg-check-user-id)
2622 (mml2015-epg-check-sub-key, mml2015-epg-find-usable-key)
2623 (mml2015-epg-find-usable-secret-key): Remove functions.
2624 (mml2015-epg-decrypt, mml2015-epg-clear-decrypt, mml2015-epg-sign)
2625 (mml2015-epg-encrypt): Refactor.
2627 2016-01-19 Paul Eggert <eggert@cs.ucla.edu>
2631 This mostly just updates copyright dates of gnulib files.
2632 It also updates to the latest version of texinfo.tex.
2634 2016-01-19 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
2636 Move variables to inner loop, preparing for Mac port merge
2638 * src/keyboard.c (command_loop_1): Move variables `cmd',
2639 `keybuf', and `i' to inner loop.
2641 2016-01-19 Paul Eggert <eggert@cs.ucla.edu>
2643 Minor improvements to (random t) documentation
2645 * doc/lispref/numbers.texi (Random Numbers):
2646 * src/fns.c (Frandom):
2647 Omit unnecessary details about randomness fallback.
2648 Say that it is a fallback.
2650 2016-01-19 Dmitry Gutov <dgutov@yandex.ru>
2652 Rename methods in Ruby etags example file
2654 * test/etags/ruby-src/test.rb: Rename the example methods to
2655 correspond to the common terminology used in Ruby.
2656 * test/etags/CTAGS.good:
2657 * test/etags/ETAGS.good_1:
2658 * test/etags/ETAGS.good_2:
2659 * test/etags/ETAGS.good_3:
2660 * test/etags/ETAGS.good_4:
2661 * test/etags/ETAGS.good_5:
2662 * test/etags/ETAGS.good_6: Adjust accordingly.
2664 2016-01-18 Dmitry Gutov <dgutov@yandex.ru>
2666 Propertize backtick in 'def `(abc)' as symbol constituent
2668 * lisp/progmodes/ruby-mode.el (ruby-syntax-propertize):
2669 Propertize backtick in 'def `(abc)' as symbol constituent.
2670 (ruby-syntax-propertize-function):
2671 Rename to ruby-syntax-propertize.
2673 2016-01-18 Eli Zaretskii <eliz@gnu.org>
2675 Fix scrolling under scroll-preserve-screen-position on TTY
2677 * src/window.c (window_scroll_line_based): When setting point to
2678 preserve screen coordinates, don't let cursor enter either of the
2679 two scroll margins. (Bug#22395)
2681 2016-01-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
2683 Fix shr table rendering of nested tables
2685 * shr.el (shr-table-body): Don't include all tbodies in nested
2686 tables in the levels above.
2688 2016-01-18 Dmitry Gutov <dgutov@yandex.ru>
2690 * lisp/progmodes/project.el (project--read-regexp): Quote the identifier.
2692 2016-01-18 Dmitry Gutov <dgutov@yandex.ru>
2694 Add xref-based replacements for Dired search commands
2696 * lisp/dired-aux.el (dired-do-find-regexp)
2697 (dired-do-find-regexp-and-replace): New commands.
2698 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00864.html
2700 * lisp/dired.el (dired-mode-map): Change bindings for `A' and
2701 `Q' to the new commands.
2703 * lisp/progmodes/xref.el (xref-query-replace)
2704 (xref-collect-matches): Add progress reporters.
2705 (xref--find-ignores-arguments): Return nil for zero ignores.
2706 (xref--show-xrefs): Add an optional argument.
2707 (xref-collect-matches): Drop the assert. 'find' accepts a
2708 regular file in place of directory argument, too.
2710 2016-01-18 Alan Mackenzie <acm@muc.de>
2712 * doc/lispref/frames.texi (Position Parameters): Say they don't exist on TTYs.
2714 2016-01-18 Eli Zaretskii <eliz@gnu.org>
2716 Improve user documentation of Xref
2718 * doc/emacs/maintaining.texi (Xref, Find Identifiers)
2719 (Looking Up Identifiers, Identifier Search, List Identifiers):
2720 Adjudicate comments by Dmitry Gutov <dgutov@yandex.ru>. See
2721 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00650.html
2724 2016-01-18 Eli Zaretskii <eliz@gnu.org>
2726 Fix scrolling under scroll-preserve-screen-position and margins
2728 * src/window.c (window_scroll_pixel_based): When setting point to
2729 preserve screen coordinates, don't let cursor enter either of the
2730 two scroll margins. Fix incorrect usage of
2731 WINDOW_WANTS_HEADER_LINE_P and use WINDOW_HEADER_LINE_HEIGHT
2732 instead of CURRENT_HEADER_LINE_HEIGHT. (Bug#22395)
2734 2016-01-18 Eli Zaretskii <eliz@gnu.org>
2736 Unbreak the MS-Windows build
2738 * src/sysdep.c (emacs_gnutls_global_init, gnutls_rnd): Disable for
2739 WINDOWSNT, to avoid link failure. (Bug#22202)
2741 2016-01-18 Alan Mackenzie <acm@muc.de>
2743 Desktop: protect users against inadvertant upgrading of desktop file.
2745 An upgraded (version 208) desktop file cannot be read in Emacs < 25.
2747 * etc/NEWS: Add an entry about upgrading a desktop file.
2749 * lisp/desktop.el (desktop-file-version): Amend doc string.
2750 (desktop-native-file-version, desktop-io-file-version): new variables.
2751 (desktop-clear): Set desktop-io-file-version to nil.
2752 (desktop-buffer-info): make the presence of the last item on the list
2753 conditional on (>= desktop-io-file-version 208).
2754 (desktop-save): Add extra parameter VERSION to take user's C-u or C-u C-u.
2755 Amend the doc string. Add code to determine the output file version.
2756 (desktop-create-buffer): Set desktop-io-file-version to the input file's
2759 2016-01-17 Paul Eggert <eggert@cs.ucla.edu>
2761 Initialize GnuTLS before calling gnutls_rnd
2763 * src/gnutls.c (emacs_gnutls_global_init): Now extern.
2764 Don’t set gnutls_global_initialized if gnutls_global_init fails.
2765 * src/sysdep.c: Include "gnutls.h", and <gnutls/crypto.h>
2766 if 2.12 or later, which has gnutls_rnd.
2767 (emacs_gnutls_global_init, gnutls_rnd): New fallback
2768 placeholder macros if before 2.12.
2769 (init_random): Initialize gnutls globals before trying to
2772 2016-01-17 Andreas Schwab <schwab@linux-m68k.org>
2774 Don't use GnuTLS before it is initialized
2776 * src/sysdep.c (init_random): Don't use gnutls_rnd.
2778 2016-01-17 Bill Wohler <wohler@newt.com>
2780 * mh-e.el (mh-version): Add +git to version.
2782 2016-01-17 Paul Eggert <eggert@cs.ucla.edu>
2784 Port cleanup attribute to OpenBSD
2786 The OpenBSD C compiler issues false alarms about strcpy, strcat, and
2787 sprintf, and this messes up 'configure' when it tests for the cleanup
2788 attribute. Work around the problem by using __has_attribute directly.
2789 Problem reported by Joakim Jalap (Bug#22385).
2790 * configure.ac: Don’t use AX_GCC_VAR_ATTRIBUTE.
2791 * m4/ax_gcc_var_attribute.m4: Remove.
2792 * src/conf_post.h (__has_attribute): Provide a substitute, for
2793 non-GCC or older GCC compilers. All uses changed to assume
2794 the substitute. Check for the cleanup attribute.
2795 * src/emacs-module.c (module_has_cleanup): Just use __has_attribute.
2797 2016-01-17 Paul Eggert <eggert@cs.ucla.edu>
2799 Prefer GnuTLS when acquiring random seed
2801 This attempts to improve on the fix for Bug#22202.
2802 * configure.ac (HAVE_DEV_URANDOM): Remove.
2803 Check /dev/urandom existence at run time, not at build time,
2804 since the device could exist in the former but not the latter.
2805 * src/sysdep.c [HAVE_GNUTLS]: Include gnutls/gnutls.h.
2806 (gnutls_rnd) [GNUTLS_VERSION_NUMBER < 0x020c00]: New fallback macro.
2807 (random_seed): New typedef.
2808 (set_random_seed): New static function.
2809 (seed_random): Use them.
2810 (init_random): Use random_seed instead of uintmax_t, so as to
2811 not consume more entropy than needed. Prefer gnutls_rnd if it
2812 works; this avoids a redundant open of /dev/urandom on
2813 GNU/Linux with modern GnuTLS.
2815 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2817 Improve documentation of dynamic modules
2819 * doc/lispref/loading.texi (How Programs Do Loading): Update the
2820 description of searching for files in 'load' when Emacs was built
2821 with support for dynamic modules.
2823 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2825 * INSTALL: Document --with-modules.
2827 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2829 Document 'function-put'
2831 * doc/lispref/symbols.texi (Symbol Plists): Document
2832 'function-put'. Update documentation of 'function-get'.
2834 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2836 Document 'funcall-interactively'
2838 * doc/lispref/commands.texi (Interactive Call): Document
2839 'funcall-interactively'.
2840 * doc/lispref/functions.texi (Calling Functions): Mention
2841 'funcall-interactively' and provide a cross-reference.
2843 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2845 * doc/lispref/lists.texi (Association Lists): Document 'alist-get'.
2847 * doc/lispref/strings.texi (Text Comparison): Document 'string-greaterp'.
2849 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2851 Document renaming of selection-related functions
2853 * doc/lispref/frames.texi (Window System Selections): Rename "x-*"
2854 functions into the corresponding "gui-*" functions. Make the
2855 description slightly less X-centric.
2857 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2859 * doc/lispref/macros.texi (Expansion): Document 'macroexpand-1'.
2861 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2863 Document 'define-inline'
2865 * doc/lispref/functions.texi (Defining Functions): Document
2866 'define-inline' and related macros.
2868 * lisp/emacs-lisp/inline.el (inline-letevals): Doc fix.
2870 2016-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
2872 * lisp/files.el (dir-locals--all-files): Respect absolute file-names
2874 * lisp/help-fns.el (describe-variable): Fix a left-over parenthesis
2876 2016-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
2878 * lisp/dired-x.el (dired-omit-here-always): Use add-dir-local-variable
2880 instead of manually writing a dir-locals file.
2882 2016-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
2884 * lisp/files.el (dir-locals--all-files): Use completion instead of wildcards
2887 * lisp/files-x.el (modify-dir-local-variable)
2888 * lisp/dos-fns.el (dosified-file-name)
2889 * lisp/help-fns.el (describe-variable): Change accordingly.
2891 2016-01-16 Jussi Lahdenniemi <jussi@aprikoodi.fi> (tiny change)
2893 Fix incompatbilities with MS-Windows 2000 and older
2895 * src/w32.c <multiByteToWideCharFlags>: New global variable.
2896 (filename_to_utf16, filename_from_ansi, check_windows_init_file):
2897 Use it instead of the literal MB_ERR_INVALID_CHARS.
2898 (maybe_load_unicows_dll): Initialize multiByteToWideCharFlags as
2899 appropriate for the underlying OS version. For details, see
2900 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00835.html.
2901 * src/w32.h: Declare multiByteToWideCharFlags.
2902 * src/w32fns.c (Fx_file_dialog, Fw32_shell_execute)
2903 (add_tray_notification): Use multiByteToWideCharFlags instead of
2904 the literal MB_ERR_INVALID_CHARS.
2905 (_resetstkoflw_proc): New typedef.
2906 (w32_reset_stack_overflow_guard): Call _resetstkoflw via a
2907 pointer, as this function is absent in msvcrt.dll shipped with W2K
2910 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2912 Mention in PROBLEMS an issue with MS-Windows NT4
2914 * etc/PROBLEMS (MS-Windows): Mention the problem with Shell32.dll
2915 on Windows NT4. For the details, see
2916 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00835.html.
2918 2016-01-16 Jussi Lahdenniemi <jussi@aprikoodi.fi> (tiny change)
2920 Ensure 8-byte aligned memory allocation on MS-Windows 9X
2922 * src/w32heap.c (init_heap): Redirect malloc, realloc, and free to
2923 special functions on Windows 9X. Refuse to dump Emacs on Windows 9X.
2924 (malloc_after_dump_9x, realloc_after_dump_9x)
2925 (free_after_dump_9x): New functions. (Bug#22379) See also
2926 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00852.html
2927 for more details about the original problem.
2929 * nt/inc/ms-w32.h (malloc_after_dump_9x, realloc_after_dump_9x)
2930 (free_after_dump_9x): Add prototypes.
2932 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2934 Fix tests for active region in hideif.el
2936 * lisp/progmodes/hideif.el (hif-evaluate-macro, hide-ifdef-block): Use
2937 'use-region-p' to test whether to operate on region, instead of
2938 testing 'mark-active'.
2940 2016-01-16 Eli Zaretskii <eliz@gnu.org>
2942 Fix interactive specs in some hideif.el commands
2944 * lisp/progmodes/hideif.el (hif-evaluate-macro)
2945 (hide-ifdef-undef, show-ifdef-block): Don't use '(interactive "r")'
2946 in commands that should only act on the region if it's active.
2948 2016-01-15 Phillip Lord <phillip.lord@russet.org.uk>
2950 Enable test selector from command line
2952 * test/automated/Makefile.in: Change variable manipulation to avoid
2953 over-writing selector.
2955 2016-01-15 Alan Mackenzie <acm@muc.de>
2957 Don't confuse "::" with ":" when trying to parse member initializers.
2959 * lisp/progmodes/cc-engine.el (c-back-over-member-initializers): Check
2960 more robustly for ":" token when searching backwards for it.
2962 * lisp/progmodes/cc-langs (c-:$-multichar-token-regexp): New language
2965 2016-01-15 Eli Zaretskii <eliz@gnu.org>
2967 Ensure positive number of glyphs for margins of positive width
2969 * src/dispnew.c (margin_glyphs_to_reserve): Always return a
2970 positive value when a non-zero width of the marginal area was
2971 requested. (Bug#22356)
2973 2016-01-15 Eli Zaretskii <eliz@gnu.org>
2975 Fix crashes when mini-window has non-zero margins
2977 * src/window.c (resize_frame_windows): Use 'new_size' to set
2978 minibuffer window's 'total_cols' value, as 'size' might be in
2981 2016-01-15 Alan Mackenzie <acm@muc.de>
2983 In comment-dwim with style `extra-line', respect indent-tabs-mode.
2985 This fixes bug #22369.
2987 * lisp/newcomment.el (comment-make-bol-ws): New function.
2988 (comment-make-extra-lines): Use new function instead of a crude `make-string'.
2990 2016-01-15 Eli Zaretskii <eliz@gnu.org>
2992 Make 'random' seeds cryptographically secure if possible
2994 * configure.ac: Check for "/dev/urandom".
2996 * src/sysdep.c (init_random) [HAVE_DEV_URANDOM]: Read the stream
2997 for the seed from "/dev/urandom".
2998 [WINDOWSNT]: Obtain the stream for the seed from w32 APIs.
2999 * src/fns.c (Frandom): Update the doc string to indicate that
3000 system entropy is used when available.
3001 * src/w32.c: Include wincrypt.h.
3002 (w32_init_crypt_random, w32_init_random): New functions, use the
3004 (globals_of_w32): Initialize w32_crypto_hprov handle to zero.
3005 * src/w32.h (w32_init_random): Add prototype.
3007 * doc/lispref/numbers.texi (Random Numbers): Document more details
3008 about 't' as the argument to 'random'.
3010 * etc/NEWS: Mention that '(random t)' now uses a cryptographically
3011 strong seed if possible.
3015 2016-01-15 Eli Zaretskii <eliz@gnu.org>
3017 Unhide the --no-line-directive option to 'etags'
3019 * lib-src/etags.c (print_help): Un-undocument the --no-line-directive
3022 * doc/man/etags.1: Document the --no-line-directive option.
3024 2016-01-15 Alan J Third <alan@idiocy.org> (tiny change)
3026 Fix picture-mode wrt double-width characters
3028 * lisp/textmodes/picture.el (picture-insert): Check the width of
3029 the character being replaced, not just that of the replacement.
3032 2016-01-15 Eric Abrahamsen <eric@ericabrahamsen.net>
3034 Honor docstring of gnus-group-get-new-news
3036 * lisp/gnus/gnus-start.el (gnus-get-unread-articles): If the prefix arg is t,
3037 but non-numeric, unconditionally consider all groups to need updating.
3039 2016-01-14 Simen Heggestøyl <simenheg@gmail.com>
3041 Disallow parenthesis in non-pseudo CSS selectors
3043 * lisp/textmodes/css-mode.el (css--font-lock-keywords): Disallow
3044 parenthesis in selectors except for in the function notation that
3045 might appear right after a pseudo-class.
3046 * test/indent/scss-mode.scss: Add a test for it.
3048 2016-01-14 Katsumi Yamaoka <yamaoka@jpl.org>
3050 * lisp/gnus/nntp.el (nntp-request-newgroups): Simplify
3052 2016-01-14 Michael Albinus <michael.albinus@gmx.de>
3054 check-maybe shall run only default tests
3056 * test/automated/Makefile.in (check, check-expensive): Depend on
3058 (check-maybe): Re-run only default tests.
3059 (check-doit): Use code of check-maybe.
3060 (mostlyclean): Move *.log files away.
3062 2016-01-13 Mark Oteiza <mvoteiza@udel.edu>
3064 * lisp/thingatpt.el (thing-at-point-uri-schemes): Add "magnet:"
3066 2016-01-13 Dmitry Gutov <dgutov@yandex.ru>
3068 Un-obsolete tags-loop-continue
3070 * lisp/progmodes/etags.el (tags-loop-continue): Un-obsolete.
3071 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00682.html
3073 2016-01-13 Eli Zaretskii <eliz@gnu.org>
3075 Document obsoletion of 'intangible' and 'point-entered/left'
3077 * doc/lispref/text.texi (Special Properties): Document the new
3078 properties 'cursor-intangible' and 'cursor-sensor-functions'.
3079 Document the obsolete status of 'intangible', 'pointer-left',
3080 and 'point-entered' properties, and of 'inhibit-point-motion-hooks'.
3081 * doc/lispref/display.texi (Overlay Properties): Document that
3082 'intangible' overlay property is obsolete.
3084 * lisp/emacs-lisp/cursor-sensor.el (cursor-sensor-mode): Doc fix.
3086 2016-01-13 Eli Zaretskii <eliz@gnu.org>
3088 Updater documentation of 'looking-back'
3090 * doc/lispref/searching.texi (Regexp Search): Update documentation
3091 of 'looking-back'. Fix markup.
3093 2016-01-13 Eli Zaretskii <eliz@gnu.org>
3095 Document 'pre-redisplay-functions'
3097 * doc/lispref/hooks.texi (Standard Hooks):
3098 * doc/lispref/display.texi (Forcing Redisplay): Document
3099 'pre-redisplay-functions'.
3101 2016-01-13 Eli Zaretskii <eliz@gnu.org>
3103 Document the new deafault value of 'load-read-function'
3105 * doc/lispref/loading.texi (How Programs Do Loading): Document the
3106 change in the default value of 'load-read-function'.
3108 2016-01-13 Eli Zaretskii <eliz@gnu.org>
3110 Document 'bufferpos-to-filepos' and 'filepos-to-bufferpos'
3112 * doc/lispref/nonascii.texi (Text Representations): Document
3113 'bufferpos-to-filepos' and 'filepos-to-bufferpos'.
3115 2016-01-13 Eli Zaretskii <eliz@gnu.org>
3117 Document the new prefix-command hooks
3119 * doc/lispref/hooks.texi (Standard Hooks): Document
3120 `prefix-command-echo-keystrokes-functions' and
3121 `prefix-command-preserve-state-hook'.
3123 2016-01-13 Paul Eggert <eggert@cs.ucla.edu>
3125 Fix one more misuse of time-stamp-time-zone
3127 * test/etags/html-src/softwarelibero.html: Use "UTC0" rather
3128 than the unportable "GMT" for time zone.
3130 2016-01-13 Paul Eggert <eggert@cs.ucla.edu>
3132 Fix NNTP NEWGROUPS off-by-a-few-hours bug
3134 * lisp/gnus/nntp.el (nntp-request-newgroups): Format string
3135 in Universal Time, since we’re telling the server “GMT”.
3137 2016-01-12 Paul Eggert <eggert@cs.ucla.edu>
3139 Update publicsuffix.txt from upstream
3141 * etc/publicsuffix.txt: Update from
3142 https://publicsuffix.org/list/effective_tld_names.dat
3143 dated 2016-01-12 11:52:01 UTC.
3145 2016-01-12 Glenn Morris <rgm@gnu.org>
3147 Fix some declarations.
3149 * lisp/descr-text.el (internal-char-font):
3150 * lisp/cedet/mode-local.el (xref-item-location):
3151 * lisp/gnus/mml-smime.el (epg-key-sub-key-list)
3152 (epg-sub-key-capability, epg-sub-key-validity):
3153 * lisp/international/mule-util.el (internal-char-font):
3156 2016-01-12 Glenn Morris <rgm@gnu.org>
3158 Fix some custom types.
3160 * lisp/gnus/gnus-fun.el (gnus-x-face-omit-files, gnus-face-omit-files):
3161 * lisp/gnus/gnus.el (gnus-valid-select-methods):
3162 * lisp/mail/rmail.el (rmail-get-coding-function):
3163 * lisp/net/newst-treeview.el (newsticker-groups-filename):
3164 * lisp/progmodes/hideif.el (hide-ifdef-exclude-define-regexp):
3165 * lisp/textmodes/tildify.el (tildify-space-predicates):
3166 * lisp/url/url-tramp.el (url-tramp-protocols):
3169 2016-01-12 Glenn Morris <rgm@gnu.org>
3171 Add some missing version tags.
3173 * lisp/electric.el (electric-quote-comment)
3174 (electric-quote-string, electric-quote-paragraph):
3175 * lisp/epg-config.el (epg-gpgconf-program):
3176 * lisp/rect.el (rectangle-preview):
3177 * lisp/emacs-lisp/check-declare.el (check-declare-ext-errors):
3178 * lisp/emacs-lisp/package.el (package-selected-packages)
3179 (package-hidden-regexps):
3180 * lisp/erc/erc.el (erc-network-hide-list, erc-channel-hide-list):
3181 * lisp/eshell/em-term.el (eshell-destroy-buffer-when-process-dies):
3182 * lisp/gnus/mml-sec.el (mml1991-signers, mml2015-signers)
3183 (mml-smime-signers, mml1991-encrypt-to-self, mml2015-encrypt-to-self)
3184 (mml-smime-encrypt-to-self, mml2015-sign-with-sender)
3185 (mml-smime-sign-with-sender, mml2015-always-trust)
3186 (mml-secure-fail-when-key-problem, mml-secure-key-preferences):
3187 * lisp/net/browse-url.el (browse-url-conkeror-new-window-is-buffer)
3188 (browse-url-conkeror-arguments):
3189 * lisp/net/newst-reader.el (newsticker-download-logos):
3190 * lisp/progmodes/gud.el (gud-guiler-command-name):
3191 * lisp/progmodes/prog-mode.el (prettify-symbols-unprettify-at-point):
3192 * lisp/progmodes/project.el (project-vc):
3193 * lisp/progmodes/python.el (python-indent-guess-indent-offset-verbose)
3194 (python-shell-remote-exec-path, python-shell-first-prompt-hook)
3195 (python-shell-completion-native-disabled-interpreters)
3196 (python-shell-completion-native-enable)
3197 (python-shell-completion-native-output-timeout)
3198 (python-shell-completion-native-try-output-timeout):
3199 * lisp/progmodes/xref.el (xref):
3200 * lisp/term/screen.el (xterm-screen-extra-capabilities):
3201 * lisp/term/xterm.el (xterm-max-cut-length):
3202 Add missing version tags.
3204 2016-01-12 Glenn Morris <rgm@gnu.org>
3206 * test/automated/core-elisp-tests.el
3207 (core-elisp-tests-1-defvar-in-let): Add a custom type.
3209 2016-01-12 Glenn Morris <rgm@gnu.org>
3211 * src/buffer.c (syms_of_buffer) <major-mode>: Doc fix.
3213 Remove comments that do not apply since 2005-08-09. (Bug#22349)
3215 2016-01-12 Paul Eggert <eggert@cs.ucla.edu>
3219 This mostly just changes "UTC" to "UTC0" for POSIX conformance.
3220 It also updates to the latest version of texinfo.tex.
3221 * build-aux/gitlog-to-changelog, build-aux/move-if-change:
3222 * build-aux/update-copyright, doc/misc/texinfo.tex:
3225 2016-01-12 Eli Zaretskii <eliz@gnu.org>
3227 Update documentation of 'process-running-child-p'
3229 * doc/lispref/processes.texi (Input to Processes): Document the
3230 changes in return value of 'process-running-child-p'.
3232 2016-01-12 Eli Zaretskii <eliz@gnu.org>
3234 Update documentation of 'deactivate-mark'.
3236 * doc/lispref/markers.texi (The Mark): Document that
3237 'deactivate-mark' is now buffer-local when set.
3239 2016-01-12 Eli Zaretskii <eliz@gnu.org>
3241 Update documentation of 'completion-table-dynamic'
3243 * doc/lispref/minibuf.texi (Programmed Completion): Document the
3244 new optional argument to 'completion-table-dynamic'.
3246 2016-01-12 Eli Zaretskii <eliz@gnu.org>
3248 Document changes in 'read-buffer' and 'read-buffer-function'
3250 * doc/lispref/minibuf.texi (High-Level Completion): Document the
3251 4th argument to 'read-buffer' and 'read-buffer-function'.
3253 2016-01-12 Paul Eggert <eggert@cs.ucla.edu>
3255 Fix time-stamp-time-zone bugs introduced in July
3257 This fixes a bug introduced when the July changes to
3258 format-time-string installed, as the changes were not
3259 correctly handled in this module (Bug#22302).
3260 Also, document time stamp time zones.
3261 * lisp/time-stamp.el (time-stamp-time-zone): Document values better.
3262 (time-stamp--format): New private function.
3263 (time-stamp-string, time-stamp-string-preprocess)
3264 (time-stamp-do-number): Use it.
3265 * doc/emacs/files.texi (Time Stamps): Mention time zones.
3266 * doc/misc/autotype.texi (Timestamps): Document time-stamp-time-zone.
3268 2016-01-12 Eli Zaretskii <eliz@gnu.org>
3270 Make piping to subprocesses more robust on MS-Windows
3272 * src/w32.c (sys_write): Don't write to a pipe more stuff than its
3273 buffer can hold. Don't return -1 if something has been written to
3274 the pipe. Zero out 'errno' before calling '_write', to avoid
3275 returning a stale value. (Bug#22344)
3276 * src/w32proc.c (syms_of_ntproc) <w32-pipe-buffer-size>: New variable.
3277 * src/w32.c (pipe2): Use it to request a user-defined size for the
3280 * etc/NEWS: Mention 'w32-pipe-buffer-size'.
3282 * doc/emacs/msdos.texi (Windows Processes): Document
3283 'w32-pipe-buffer-size'.
3285 2016-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
3287 * lisp/emacs-lisp/syntax.el (syntax-ppss-table): New var
3290 * lisp/font-lock.el (font-lock-fontify-syntactically-region): Use it.
3292 2016-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
3294 lisp/nxml: Use syntax-tables for comments
3296 * lisp/nxml/nxml-mode.el (nxml-set-face): Prepend.
3297 (nxml-mode): Set syntax-ppss-table.
3298 Use sgml-syntax-propertize-function for syntax-propertize-function.
3299 Let font-lock highlight strings and comments.
3300 (nxml-degrade): Don't touch "nxml-inside" property any more.
3301 (nxml-after-change, nxml-after-change1): Remove functions.
3302 (comment): Don't set fontify rule any more.
3303 (nxml-fontify-attribute): Don't highlight the value any more.
3304 (nxml-namespace-attribute-value-delimiter, nxml-namespace-attribute-value)
3305 (nxml-comment-delimiter, nxml-comment-content): Remove faces.
3307 * lisp/nxml/nxml-rap.el (nxml-scan-end): Remove.
3308 (nxml-get-inside, nxml-inside-start, nxml-inside-end): Use syntax-ppss.
3309 (nxml-clear-inside, nxml-set-inside): Remove.
3310 (nxml-scan-after-change): Remove function.
3311 (nxml-scan-prolog, nxml-tokenize-forward): Simplify.
3312 (nxml-ensure-scan-up-to-date): Use syntax-propertize.
3313 (nxml-move-outside-backwards):
3314 * lisp/nxml/nxml-outln.el (nxml-section-tag-backward): Adjust to new
3315 nxml-inside-start behavior.
3317 * lisp/nxml/nxml-util.el (nxml-debug-set-inside)
3318 (nxml-debug-clear-inside): Remove macros.
3320 * lisp/nxml/xmltok.el (xmltok-forward-special): Remove function.
3321 (xmltok-scan-after-comment-open): Simplify.
3323 2016-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
3325 * elisp-mode.el (elisp--font-lock-flush-elisp-buffers): Fix comment
3327 2016-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
3329 * lisp/nxml: Use standard completion; it also works for company-mode
3331 * lisp/nxml/nxml-mode.el (nxml-complete): Obsolete.
3332 (nxml-completion-at-point-function): Remove.
3333 (nxml-mode): Don't set completion-at-point-functions.
3334 * lisp/nxml/rng-nxml.el (rng-nxml-mode-init): Set it here instead.
3335 (rng-completion-at-point): Rename from rng-complete and mark it
3336 non-interactive. It is now to be used as completion-at-point-function.
3337 (rng-complete-tag, rng-complete-end-tag, rng-complete-attribute-name)
3338 (rng-complete-attribute-value): Don't perform completion, but return
3339 completion data instead.
3340 (rng-complete-qname-function, rng-generate-qname-list): Add a few
3341 arguments, previously passed via dynamic coping.
3342 (rng-strings-to-completion-table): Rename from
3343 rng-strings-to-completion-alist. Don't return an alist. Don't both
3344 sorting and uniquifying.
3346 * lisp/nxml/rng-util.el (rng-complete-before-point): Delete function.
3347 (rng-completion-exact-p, rng-quote-string): Delete functions.
3349 * lisp/nxml/rng-valid.el (rng-recover-start-tag-open)
3350 (rng-missing-attributes-message, rng-missing-element-message)
3351 (rng-mark-missing-end-tags): Use explicit ".." in formats rather than
3352 calling rng-quote-string everywhere.
3354 2016-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
3356 Use sgml-electric-tag-pair-mode also in nxml-mode
3358 * lisp/nxml/rng-nxml.el: Require sgml-mode.
3359 (rng-nxml-easy-menu): Add entry for sgml-electric-tag-pair-mode.
3360 (rng-complete-qname-function): Use complete-with-action.
3362 * lisp/textmodes/sgml-mode.el (sgml-electric-tag-pair-before-change-function):
3363 Let-bind forward-sexp-function, since nxml-mode binds it to
3364 something incompatible.
3366 * lisp/nxml/nxml-mode.el: Use setq-local and defvar-local.
3368 2016-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
3370 * xmltok.el: Mark the "sole --" rather than the comment opener
3372 * lisp/nxml/xmltok.el (xmltok-scan-after-comment-open): Put the error
3373 marker on the "sole --" rather than on the comment opener.
3375 2016-01-15 Sam Steingold <sds@gnu.org>
3377 replace `tramp-compat-split-string' (removed) with `split-string'
3379 (python-shell-tramp-refresh-process-environment)
3380 (python-shell-calculate-pythonpath): use `split-string'
3381 instead of defunct `tramp-compat-split-string'
3383 2016-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
3385 Update nXML to use Emacs's Unicode support, and lexical-binding
3387 * etc/nxml/*.el: Remove obsolete char-name files.
3388 * lisp/nxml/xsd-regexp.el (xsdre-range-list-difference): Remove unused
3390 * lisp/nxml/rng-nxml.el (rng-set-state-after): Don't assume point-min==1.
3391 * lisp/nxml/rng-match.el (rng-update-match-state): Simplify.
3392 * lisp/nxml/nxml-outln.el (nxml-outline-state-transform-exceptions)
3393 (nxml-target-section-pos, nxml-depth-in-target-section)
3394 (nxml-outline-state-transform-alist)
3395 (nxml-outline-display-section-tag-function): Move decl before first use.
3396 * lisp/nxml/nxml-mode.el (nxml-char-name-ignore-case)
3397 (nxml-char-name-alist, nxml-char-name-table)
3398 (nxml-autoload-char-name-set-list, nxml-named-char-history): Remove vars.
3399 (nxml-enable-char-name-set, nxml-disable-char-name-set)
3400 (nxml-char-name-set-enabled-p, nxml-autoload-char-name-set)
3401 (nxml-define-char-name-set, nxml-get-char-name): Remove functions.
3402 (nxml-insert-named-char): Use read-char-by-name instead.
3403 (nxml-char-ref-display-extra): Use get-char-code-property.
3404 * lisp/nxml/nxml-maint.el (nxml-create-unicode-char-name-sets):
3406 * lisp/nxml/nxml-glyph.el, lisp/nxml/nxml-uchnm.el: Remove files.
3408 2016-01-15 Michael Albinus <michael.albinus@gmx.de>
3410 Add "sg" method to Tramp
3412 * doc/misc/tramp.texi (Inline methods): Add "sg" method.
3413 (Customizing Completion): Add function `tramp-parse-etc-group'.
3415 * lisp/net/tramp-sh.el (tramp-methods) <sg>: Add. (Bug#22329)
3416 (tramp-completion-function-alist-sg): New defconst.
3417 (top): Completion function for "sg" is
3418 `tramp-completion-function-alist-sg'.
3420 * lisp/net/tramp.el (tramp-completion-function-alist): Adapt docstring.
3421 (tramp-parse-etc-group, tramp-parse-etc-group-group): New defuns.
3423 2016-01-14 Michael Albinus <michael.albinus@gmx.de>
3425 Remove XEmacs compatibility in Tramp
3427 * doc/misc/tramp.texi: Replace flags by their hard coded name.
3428 Remove unused flags and the enclosed alternative text for XEmacs.
3430 * doc/misc/trampver.texi: Use "Tramp" CamelCase. Rename "emacs"
3431 and "xemacs" flags to "unified" and "separate". Remove flags
3432 "emacsgw", "emacsname", "emacsdir", "ftppackagename",
3433 "emacsothername", "emacsotherdir" and "emacsotherfilename".
3435 * lisp/net/trampver.el (tramp-version): Set to "2.3.0-pre".
3437 * lisp/net/tramp.el (bkup-backup-directory-info)
3438 (directory-sep-char, ls-lisp-use-insert-directory-program)
3439 (outline-regexp, tramp-backup-directory-alist)
3440 (tramp-default-method, tramp-shell-prompt-pattern, tramp-syntax)
3441 (tramp-file-name-regexp-unified)
3442 (tramp-file-name-regexp-separate)
3443 (tramp-completion-file-name-regexp-unified)
3444 (tramp-completion-file-name-regexp-separate, tramp-chunksize)
3445 (tramp-get-method-parameter, tramp-find-method, tramp-find-user)
3446 (tramp-debug-message, tramp-progress-reporter-update)
3447 (with-tramp-progress-reporter)
3448 (tramp-rfn-eshadow-setup-minibuffer)
3449 (rfn-eshadow-setup-minibuffer-hook, tramp-unload-hook)
3450 (tramp-rfn-eshadow-update-overlay)
3451 (rfn-eshadow-update-overlay-hook, tramp-default-file-modes)
3452 (tramp-file-name-for-operation)
3453 (tramp-completion-file-name-handler)
3454 (tramp-autoload-file-name-handler, tramp-completion-mode-p)
3455 (tramp-handle-directory-files)
3456 (tramp-handle-directory-files-and-attributes)
3457 (tramp-handle-dired-uncache, tramp-handle-find-backup-file-name)
3458 (tramp-handle-insert-file-contents, tramp-handle-load)
3459 (tramp-handle-shell-command)
3460 (tramp-handle-verify-visited-file-modtime)
3461 (tramp-handle-file-notify-valid-p, tramp-accept-process-output)
3462 (tramp-check-for-regexp, tramp-wait-for-regexp)
3463 (tramp-send-string, tramp-mode-string-to-int)
3464 (tramp-get-local-gid, tramp-check-cached-permissions)
3465 (tramp-get-remote-tmpdir, tramp-make-tramp-temp-file)
3466 (auto-save-file-name-transforms)
3467 (tramp-handle-make-auto-save-file-name, tramp-read-passwd)
3468 (tramp-clear-passwd, tramp-time-diff):
3469 * lisp/net/tramp-adb.el (directory-listing-before-filename-regexp)
3470 (directory-sep-char, tramp-adb-file-name-handler-alist)
3471 (tramp-adb-parse-device-names)
3472 (tramp-adb-handle-expand-file-name)
3473 (tramp-adb-handle-file-truename, tramp-adb--gnu-switches-to-ash)
3474 (tramp-adb-handle-file-local-copy)
3475 (tramp-adb-handle-write-region, tramp-adb-handle-set-file-modes)
3476 (tramp-adb-handle-rename-file, tramp-adb-handle-process-file)
3477 (tramp-adb-handle-shell-command)
3478 (tramp-adb-handle-start-file-process, tramp-adb-get-device)
3479 (tramp-adb-maybe-open-connection):
3480 * lisp/net/tramp-cache.el (tramp-persistency-file-name)
3481 (tramp-cache-print):
3482 * lisp/net/tramp-cmds.el (tramp-cleanup-all-connections)
3483 (tramp-bug, tramp-reporter-dump-variable)
3484 (tramp-load-report-modules, tramp-append-tramp-buffers):
3485 * lisp/net/tramp-compat.el (tramp-compat-funcall)
3486 (tramp-advice-file-expand-wildcards)
3487 (tramp-compat-temporary-file-directory)
3488 (tramp-compat-make-temp-file, tramp-compat-copy-file)
3489 (tramp-compat-delete-directory, )
3490 (tramp-compat-process-running-p):
3491 * lisp/net/tramp-ftp.el (tramp-methods) <ftp>:
3492 (tramp-default-method-alist, tramp-foreign-file-name-handler-alist):
3493 * lisp/net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
3494 (tramp-gvfs-do-copy-or-rename-file, tramp-gvfs-handle-copy-file)
3495 (tramp-gvfs-handle-file-local-copy)
3496 (tramp-gvfs-handle-file-name-all-completions)
3497 (tramp-gvfs-handle-file-notify-add-watch)
3498 (tramp-gvfs-monitor-file-process-filter)
3499 (tramp-gvfs-handle-file-readable-p)
3500 (tramp-gvfs-handle-rename-file, tramp-gvfs-handle-write-region)
3501 (tramp-gvfs-file-name, tramp-gvfs-handler-askquestion)
3502 (tramp-gvfs-maybe-open-connection)
3503 (tramp-gvfs-parse-device-names):
3504 * lisp/net/tramp-gw.el (tramp-gw-aux-proc-sentinel)
3505 (tramp-gw-open-connection, tramp-gw-open-network-stream):
3506 * lisp/net/tramp-sh.el (directory-sep-char)
3507 (tramp-sh-file-name-handler-alist)
3508 (tramp-sh-handle-file-truename)
3509 (tramp-sh-handle-set-visited-file-modtime)
3510 (tramp-sh-handle-verify-visited-file-modtime)
3511 (tramp-sh-handle-set-file-modes, tramp-sh-handle-set-file-times)
3512 (tramp-sh-handle-file-acl)
3513 (tramp-sh-handle-file-name-all-completions)
3514 (tramp-sh-handle-copy-file, tramp-sh-handle-rename-file)
3515 (tramp-do-copy-or-rename-file-directly)
3516 (tramp-do-copy-or-rename-file-out-of-band)
3517 (dired-compress-file-suffixes, dired-remove-file)
3518 (tramp-sh-handle-dired-compress-file)
3519 (tramp-sh-handle-insert-directory)
3520 (tramp-sh-handle-expand-file-name)
3521 (tramp-sh-handle-start-file-process)
3522 (tramp-sh-handle-process-file, tramp-sh-handle-file-local-copy)
3523 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
3524 (tramp-sh-handle-file-notify-add-watch)
3525 (tramp-sh-gvfs-monitor-dir-process-filter)
3526 (tramp-sh-inotifywait-process-filter, tramp-maybe-send-script)
3527 (tramp-find-executable)
3528 (tramp-open-connection-setup-interactive-shell)
3529 (tramp-find-inline-encoding, tramp-compute-multi-hops)
3530 (tramp-maybe-open-connection, tramp-convert-file-attributes)
3531 (tramp-get-remote-path, tramp-get-remote-touch):
3532 * lisp/net/tramp-smb.el (tramp-smb-file-name-handler-alist)
3533 (tramp-smb-handle-copy-directory, tramp-smb-handle-copy-file)
3534 (tramp-smb-handle-delete-directory)
3535 (tramp-smb-handle-directory-files, tramp-smb-handle-file-acl)
3536 (tramp-smb-handle-make-directory-internal)
3537 (tramp-smb-handle-process-file, tramp-smb-handle-rename-file)
3538 (tramp-smb-handle-set-file-acl, tramp-smb-handle-set-file-modes)
3539 (tramp-smb-handle-write-region, tramp-smb-get-file-entries)
3540 (tramp-smb-get-cifs-capabilities)
3541 (tramp-smb-maybe-open-connection):
3542 * lisp/net/trampver.el (tramp-repository-get-version):
3543 Remove XEmacs compat code.
3545 * lisp/net/tramp-cmds.el (mml-mode, mml-insert-empty-tag)
3546 (reporter-dump-variable): Declare functions.
3548 * lisp/net/tramp.el (tramp-bkup-backup-directory-info)
3549 (tramp-advice-minibuffer-electric-separator)
3550 (tramp-advice-minibuffer-electric-tilde)
3551 (tramp-handle-unhandled-file-name-directory):
3552 * lisp/net/tramp-compat.el (tramp-compat-with-temp-message)
3553 (tramp-compat-font-lock-add-keywords)
3554 (tramp-compat-load, tramp-compat-number-sequence)
3555 (tramp-compat-split-string, tramp-compat-delete-dups):
3556 * lisp/net/tramp-sh.el (tramp-sh-handle-insert-file-contents-literally):
3559 * lisp/net/tramp-sh.el (tramp-methods) <psftp>: This does not work
3562 2016-01-14 K. Handa <handa@gnu.org>
3564 fix previous change of src/ftfont.c (ftfont_shape_by_flt)
3566 * src/ftfont.c (ftfont_shape_by_flt): Fix previous change. Access the
3567 second glyph only when there are enough glyphs.
3569 2016-01-13 Glenn Morris <rgm@gnu.org>
3571 * src/buffer.c (Fset_buffer_major_mode): Allow default major-mode,
3573 or its hook, to move point. (Bug#22348)
3575 2016-01-12 Michael Albinus <michael.albinus@gmx.de>
3577 Merge missing commit from emacs-25 branch
3579 * test/Makefile.in (SELECTOR_DEFAULT, SELECTOR_EXPENSIVE, SELECTOR):
3581 (check-expensive, check-doit): New targets.
3583 * Makefile.in (check-expensive): New target.
3585 * test/lisp/autorevert-tests.el
3586 (auto-revert-test01-auto-revert-several-files):
3587 * test/lisp/filenotify-tests.el (file-notify--deftest-remote)
3588 (file-notify-test06-many-events):
3589 * test/lisp/net/tramp-tests.el (tramp-test26-process-file)
3590 (tramp-test27-start-file-process, tramp-test28-shell-command)
3591 (tramp-test29-vc-registered)
3592 (tramp-test31-special-characters-with-stat)
3593 (tramp-test31-special-characters-with-perl)
3594 (tramp-test31-special-characters-with-ls)
3595 (tramp-test32-utf8-with-stat, tramp-test32-utf8-with-perl)
3596 (tramp-test32-utf8-with-ls, tramp-test33-asynchronous-requests)
3597 (tramp-test35-unload): Tag the tests as :expensive-test.
3599 2016-01-12 John Wiegley <johnw@newartisans.com>
3601 Merge from origin/emacs-25
3603 1f6898d test/automated/vc-hg.el: Support out-of-tree build
3604 3adb56e Minor change in tramp-tests.el
3605 2b535ba ; * etc/NEWS: Update the js.el entry.
3606 76b518c * etc/HELLO: Add Armenian and Mongolian greetings.
3607 b51f1ef Java Mode: Fontify identifiers in the presence of annotations.
3608 36b9539 Avoid an infloop when we run out of memory
3609 2006752 Avoid unnecessary failures of auto-saving after fatal error
3610 eef6784 Simplify HAVE_MODULES use in mark_maybe_pointer
3611 552694a Revert attempt to use 'noexcept' in typedef
3612 6ad0d39 Update documentation of 'indirect-function'
3613 c6a5314 ; * etc/NEWS: Move entry of 'inhibit-point-motion-hooks'.
3614 303141a Update documentation for obsoleting 'syntax-begin-function'
3615 4e6f61c ; * etc/NEWS: Mark documented and not-to-be-documented entries.
3616 e667bbb Document new features if Eshell
3617 9c4e4e0 ; * etc/NEWS: Update EUDC entries.
3618 1089dc9 Handle too long commands in Tramp
3619 684eb58 * .gitattributes: *.cur and *.pif are binary files too.
3620 d2c7fda * src/alloc.c (mark_maybe_pointer): HAVE_MODULES may be undefined
3621 bd3f53d * sh-script.el (sh-smie-sh-rules): Improve indentation inside $(...)
3622 09b2b8a * src/alloc.c (mark_maybe_pointer): Also check wide-int's emacs_value
3623 cca0f93 ; Account for spaces before the filename
3624 c71e1e8 Use short date for 'hg annotate', and output the author
3625 f50027b Spelling fix
3626 c7dff67 ; * etc/NEWS: Fix the Xref entries that got separated.
3627 cc140bc Document user-level functions in project.el
3628 f8208b6 Document the user-level features of the Xref package
3629 b131fb8 * loading.texi: Add `define-type' entry for load-history
3630 db3c2a8 Improve doc strings and prompts in xref.el
3631 f6117ef Allow the use of `font-lock-extend-region-multiline' in CC Mode.
3632 90fd798 Fix coding system for Tramp on OS X.
3633 e985a0e ; * etc/NEWS: Mark the 'check-expensive' entry not to be documented.
3634 9dfcbf0 Update 'load-history' docs
3635 207e191 Fix (error ...) error
3636 457738f Correctly analyze brace arguments in templated C++ function declarations.
3637 d57724a * lisp/cedet/mode-local.el (describe-function-orig-buffer): Declare.
3638 2a9532d * lisp/ffap.el (ffap-latex-mode): Avoid free variable.
3639 1a6b084 * lisp/play/dunnet.el (dun-fix-screen): Avoid `end-of-buffer`.
3640 8be046f Respect fontification region calculated by major mode. Fixes bug #22316.
3641 4b37cba Improve documentation of Delete Selection mode
3642 a034dd3 Fix two project-find-file issues
3643 30abf29 Clarify doc string of 'dired-current-directory'
3644 e990bb2 Use the face of preceding text for displaying the ellipsis
3645 5810ac3 Suppress Chinese file name test for OSX in tramp-tests.el
3646 eeb710a ; * lisp/startup.el: Sentences end with two spaces.
3647 428b3de * admin/admin.el (set-version): Also handle the NEWS file.
3648 648de81 ; Add NEWS entry for project.el
3649 671862f apropos-library: Skip obvious duplicates; don't error on generics
3650 51668a5 ; Grammar fix
3651 ed41d11 Add project-find-file and project-or-external-find-file
3652 056da45 ; Improve commentary in 'setup_for_ellipsis'
3653 269d008 ; Improve docstring for `inhibit-startup-echo-area-message'
3655 2016-01-12 John Wiegley <johnw@newartisans.com>
3657 Merge from origin/emacs-25
3659 ce4a052 Add defvar-local to lisp-imenu-generic-expression
3660 a0121bc Revert commit b1e3d14845517bfa9fa5d6d3840f3ab3160306fd
3661 76fe2d5 * lisp/emacs-lisp/autoload.el (autoload-find-destination): Doc fix.
3662 1ae088f * lisp/emacs-lisp/autoload.el (autoload-find-destination):
3663 b6b47af Properly encode/decode base64Binary data in SOAP
3664 c632466 Obey coding-system-for-write when writing stdout/stderr in batch
3665 2f32cb5 * doc/misc/efaq.texi (Packages that do not come with Emacs):
3666 Update the URI of MELPA and marmalade-repo.
3667 Reported by CHENG Goa <chenggao@royau.me> in
3668 https://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00390.html.
3669 d2937aa * lisp/progmodes/opascal.el (opascal-mode-syntax-table):
3670 5330c25 * lisp/progmodes/xscheme.el (xscheme-prompt-for-expression-exit):
3671 7380990 Remove function wrongly on AWK Mode value of context
3673 d400753 * src/buffer.c: Stick with ASCII in doc string.
3674 221240c Reword transient-mark-mode doc string
3675 977d3ea Update doc string of 'selective-display'
3676 229c3fa Make C++ buffers writeable when writing their initial text
3678 f5c762c Additional changes for "make check-expensive"
3679 1729cf3 ; * admin/MAINTAINERS: Remove myself.
3680 33219d3 Apply text properties for <, > in new after-change function
3683 2016-01-12 John Wiegley <johnw@newartisans.com>
3685 Merge from origin/emacs-25
3687 9fb185a shr-tag-video bug fix
3688 6300655 Minor fixes in tramp-tests.el
3689 50575b1 Ensure redisplay when 'truncate-lines' is set
3690 0d9e80d Fix a doc string of 'transient-mark-mode'
3691 0000ae5 MS-Windows followup to latest gnulib update
3692 4bc5e02 Spelling fix
3693 f1093f7 Do secure signed Bcc handling
3695 2016-01-12 John Wiegley <johnw@newartisans.com>
3697 Merge from origin/emacs-25
3699 861022f * doc/misc/texinfo.tex: Revert unwanted copyright change.
3700 46e47a5 ; * etc/refcards/ru-refcard.tex (cyear): Update via M-x set-copyright.
3701 71ea138 * lisp/align.el (align): Simplify a lambda
3702 5618a50 * lisp/align.el (align): Fix arg order in call to `align-region'
3703 1f680db Fix compilation next-error in buffers with selective-display
3704 d20a948 * nsm.el (nsm-check-protocol): Fix typo in the message.
3705 1da116f Add SHA1 warnings for high network security settings
3706 e48bacd ; * etc/NEWS: Typo fix.
3708 2016-01-12 John Wiegley <johnw@newartisans.com>
3710 Merge from origin/emacs-25
3712 43662a2 ; Clarify that xref is still experimental
3713 0a6e6ca ; * admin/release-process: Remove some obsolete records.
3714 c2e9e3d * lisp/progmodes/fortran.el (fortran-make-syntax-propertize-function):
3715 8637f3d (semantic-symref-derive-find-filepatterns): Return a list
3716 0a7ad07 ; Re-arrange xref-related entries in NEWS.
3717 fe903ef Fix xref-find-references on MS-Windows
3718 55a28d8 ; Fixed visual bell artifact problem on NextStep.
3719 d064034 Document new features of tildify-mode
3720 964bea7 Document new features of Whitespace mode
3721 cd68f47 Improve documentation of new Hide-IfDef features
3722 723b8bf Fix regression in font-locking cl-assert and cl-check-type
3724 2016-01-12 John Wiegley <johnw@newartisans.com>
3726 Merge from origin/emacs-25
3728 ef33bc7 Spelling and grammar fixes
3729 9c3dbab Fix copyright years by hand
3730 0e96320 Update copyright year to 2016
3732 2016-01-12 John Wiegley <johnw@newartisans.com>
3734 Merge from origin/emacs-25
3736 9ee6ecb lisp/emacs-lisp/chart.el (chart-new-buffer): Move to silence byte compiler.
3737 526d80c Port chart.el methods to cl-generic.
3738 410bb69 Add nt/INSTALL.W64 build instructions
3739 8f5b524 Add new input method 'programmer-dvorak'
3740 6d11f6e Allow to invoke original M-TAB binding in 'flyspell-prog-mode'
3741 bb83bb1 Fix EWW rendering of long RTL lines
3742 b1a8509 fix bug#21054
3743 ce5ad12 Clean up cairo printing code
3745 2016-01-12 John Wiegley <johnw@newartisans.com>
3747 Merge from origin/emacs-25
3749 6ee327d Add handle_user_signal_hook
3750 47580e0 Avoid writing to purespace
3751 0588be7 Remove unused variable
3752 89e7483 * configure.ac: Find libxml2 headers in Xcode SDK dir on Darwin.
3753 3b95e9c Use posix_openpt instead of openpty on Darwin
3754 86312ff Document support for ':documentation' in Lisp mode
3755 c930e75b Document new features of TeX mode
3756 7c83d84 Clarify docs of hscroll in RTL text
3757 4c8f8db Fix rendering of HTML pages that use character composition
3758 a8d37ca Avoid some compiler warnings in w32.c
3759 ce106f3de Undo ill-advised change
3760 be0bba4 Unbreak completion in python-mode buffers
3762 2016-01-11 Dmitry Gutov <dgutov@yandex.ru>
3764 test/automated/vc-hg.el: Support out-of-tree build
3766 * test/automated/vc-hg.el
3767 (vc-hg-annotate-extract-revision-at-line-with-filename)
3768 (vc-hg-annotate-extract-revision-at-line-with-both):
3769 Don't refer to source-directory.
3770 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00755.html
3772 2016-01-11 Michael Albinus <michael.albinus@gmx.de>
3774 Minor change in tramp-tests.el
3776 * test/automated/tramp-tests.el (tramp-test29-vc-registered):
3777 Use `dired-uncache' instead of a Tramp internal function.
3779 2016-01-11 Peter Feigl <peter.feigl@nexoid.at>
3781 * etc/HELLO: Add Armenian and Mongolian greetings.
3785 2016-01-11 Alan Mackenzie <acm@muc.de>
3787 Java Mode: Fontify identifiers in the presence of annotations.
3789 * lisp/progmodes/cc-engine.el (c-forward-annotation): Tidy up the coding:
3790 Don't move point when the defun fails.
3791 (c-forward-decl-or-cast-1): Correct a usage of match data.
3793 * lisp/progmodes/cc-fonts.el (c-font-lock-maybe-decl-faces): Remove.
3794 (c-font-lock-declarations): Use the new c-maybe-decl-faces in place of the
3797 * lisp/progmodes/cc-langs.el (c-maybe-decl-faces): New language variable.
3799 2016-01-11 Eli Zaretskii <eliz@gnu.org>
3801 Avoid an infloop when we run out of memory
3803 * src/alloc.c (garbage_collect_1): Don't bother saving and
3804 restoring the echo-area message if we are GC'ing after running out
3805 of memory. This avoids an infloop due to repeated attempts to
3806 allocate memory for the cons cell needed to save the message,
3807 which signals the memory-full error, which attempts to save the
3808 echo-area message, which signals memory-full again, etc.
3810 2016-01-11 Eli Zaretskii <eliz@gnu.org>
3812 Avoid unnecessary failures of auto-saving after fatal error
3814 * src/w32.c (map_w32_filename): Avoid non-trivial system calls for
3815 the benefit of FAT volumes if we are called as part of shutting
3816 down due to a fatal error, which probably means we are trying to
3817 auto-save the session.
3818 * src/lread.c (check_obarray): Don't bother making the obarray
3819 valid if we are shutting down due to a fatal error. This avoids
3820 interfering with auto-saving the crashed session.
3822 2016-01-11 Paul Eggert <eggert@cs.ucla.edu>
3824 Simplify HAVE_MODULES use in mark_maybe_pointer
3826 * src/alloc.c (HAVE_MODULES): Now a constant 0 if not defined,
3827 so that later code can use 'if' rather than '#ifdef'.
3828 (mark_maybe_pointer): Simplify based on HAVE_MODULES now
3831 2016-01-11 Paul Eggert <eggert@cs.ucla.edu>
3833 Revert attempt to use 'noexcept' in typedef
3835 This use of 'noexcept' runs afoul of the C++11 standard.
3836 Problem reported by Philipp Stephani in:
3837 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00706.html
3838 * src/emacs-module.c (emacs_finalizer_function):
3839 Move this typedef here ...
3840 * src/emacs-module.h: ... from here, and use only the C
3841 version of the typedef. The typedef is now private since it
3842 is never used in the .h file now and anyway it seemed to be
3843 causing more confusion than it cured.
3844 (make_user_ptr, get_user_finalizer, set_user_finalizer):
3845 Open-code the type instead.
3847 2016-01-10 Eli Zaretskii <eliz@gnu.org>
3849 Update documentation of 'indirect-function'
3851 * doc/lispref/eval.texi (Function Indirection): Update the
3852 documentation of 'indirect-function'.
3854 2016-01-10 Eli Zaretskii <eliz@gnu.org>
3856 Update documentation for obsoleting 'syntax-begin-function'
3858 * doc/lispref/syntax.texi (Position Parse): Undocument
3859 'syntax-begin-function' that is now obsolete.
3861 2016-01-10 Eli Zaretskii <eliz@gnu.org>
3863 Document new features if Eshell
3865 * doc/misc/eshell.texi (Input/Output): Document the new
3866 '#<bufname>' syntax.
3867 (Input/Output): Document 'eshell-destroy-buffer-when-process-dies'.
3868 Disable "Key Index" generation, as there are no @kindex entries in
3871 2016-01-10 Michael Albinus <michael.albinus@gmx.de>
3873 Handle too long commands in Tramp
3875 * lisp/net/tramp-sh.el (tramp-sh-handle-make-symbolic-link)
3876 (tramp-do-file-attributes-with-ls): Send sequence of commands, in
3877 order to not exceed shell command line limit.
3879 * test/automated/tramp-tests.el (tramp--test-darwin-p): Remove.
3880 (tramp--test-utf8): Include Arabic file name, again.
3882 2016-01-10 Paul Eggert <eggert@cs.ucla.edu>
3884 * .gitattributes: *.cur and *.pif are binary files too.
3886 2016-01-10 Stefan Monnier <monnier@iro.umontreal.ca>
3888 * src/alloc.c (mark_maybe_pointer): HAVE_MODULES may be undefined
3890 2016-01-10 Stefan Monnier <monnier@iro.umontreal.ca>
3892 * sh-script.el (sh-smie-sh-rules): Improve indentation inside $(...)
3894 * lisp/progmodes/sh-script.el (sh-smie-sh-rules): Improve indentation
3896 * test/indent/shell.sh: Add corresponding test.
3898 2016-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
3900 * src/alloc.c (mark_maybe_pointer): Also check wide-int's emacs_value
3902 (mark_memory): Simplify loop. Don't assume a pointer-sized word can be
3903 cast to Lisp_Object.
3905 2016-01-09 Dmitry Gutov <dgutov@yandex.ru>
3907 Use short date for 'hg annotate', and output the author
3909 * lisp/vc/vc-hg.el (vc-hg-annotate-command):
3910 Change '-d' to '-dq'. (Bug#21805)
3911 (vc-hg-annotate-switches): Default to "-u" "--follow".
3912 (vc-hg-annotate-re): Update to recognize the short date format
3913 and the optional username.
3914 (vc-hg-annotate-time)
3915 (vc-hg-annotate-extract-revision-at-line): Update accordingly.
3917 * test/automated/vc-hg.el: New file.
3919 2016-01-09 Paul Eggert <eggert@cs.ucla.edu>
3923 2016-01-09 Eli Zaretskii <eliz@gnu.org>
3925 Document user-level functions in project.el
3927 * lisp/progmodes/project.el (project-find-file)
3928 (project-or-external-find-file): Add doc strings.
3930 2016-01-09 Eli Zaretskii <eliz@gnu.org>
3932 Document the user-level features of the Xref package
3934 * doc/emacs/maintaining.texi (Maintaining): Add a list of
3936 (Xref): New section, made out of thoroughly rewritten "Tags"
3938 (Find Identifiers, Looking Up Identifiers, Xref Commands)
3939 (Identifier Search, List Identifiers): New subsections,
3940 incorporating the old tags commands and the new xref commands.
3941 (Tags Tables, Tag Syntax, Create Tags Table, Etags Regexps):
3942 Section and subsections demoted to a lower level.
3943 * doc/emacs/search.texi (Search):
3944 * doc/emacs/windows.texi (Pop Up Window):
3945 * doc/emacs/frames.texi (Creating Frames):
3946 * doc/emacs/programs.texi (Imenu, Symbol Completion):
3947 * doc/emacs/building.texi (Grep Searching):
3948 * doc/emacs/dired.texi (Operating on Files):
3949 * doc/emacs/glossary.texi (Glossary): All references to tags changed.
3951 2016-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
3953 * loading.texi: Add `define-type' entry for load-history
3955 * doc/lispref/loading.texi (Where Defined): Remove incorrect
3956 cl-defmethod description, and add missing define-type entry.
3958 2016-01-09 Eli Zaretskii <eliz@gnu.org>
3960 Improve doc strings and prompts in xref.el
3962 * lisp/progmodes/xref.el (xref-backend-functions)
3963 (xref-find-definitions): Doc fixes.
3964 (xref-query-replace): Doc fix. Improve prompts for arguments.
3966 2016-01-09 Alan Mackenzie <acm@muc.de>
3968 Allow the use of `font-lock-extend-region-multiline' in CC Mode.
3970 * lisp/progmodes/cc-mode.el (c-font-lock-init): Remove
3971 `font-lock-extend-regions-wholelines' from
3972 `font-lock-extend-region-functions' rather than setting the latter to
3975 2016-01-09 Michael Albinus <michael.albinus@gmx.de>
3977 Fix coding system for Tramp on OS X.
3979 * lisp/net/tramp-compat.el: Require ucs-normalize.
3981 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
3982 Set coding system to `utf-8-hfs' for Mac OS X.
3984 * test/automated/tramp-tests.el (tramp-test29-vc-registered):
3985 Flush directory properties when needed.
3986 (tramp--test-utf8): Include Chinese file name, again.
3988 2016-01-09 Eli Zaretskii <eliz@gnu.org>
3990 Update 'load-history' docs
3992 * doc/lispref/loading.texi (Where Defined): Update the list of
3993 forms in 'load-history' by adding the forms created for the
3994 'cl-generic' generics. (Bug#21422)
3996 2016-01-08 Paul Eggert <eggert@cs.ucla.edu>
3998 Fix (error ...) error
4000 Problem reported by Glenn Morris in:
4001 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00561.html
4002 * lisp/vc/add-log.el (change-log-goto-source): Fix typos
4003 introduced in my Aug 28 change, where I got confused by the
4004 two meanings of (error ...).
4006 2016-01-08 Alan Mackenzie <acm@muc.de>
4008 Correctly analyze brace arguments in templated C++ function declarations.
4010 * lisp/progmodes/cc-defs.el (c-go-list-forward, c-go-list-backward): add
4011 POS and LIMIT parameters, like the other c-go-list-* functions have.
4013 * lisp/progmodes/cc-engine.el (c-restore-<>-properties): Check backwards
4014 for a ?\( rather than a ?<. (c-looking-at-inexpr-block): Handle names
4015 followed by template specifiers.
4017 2016-01-08 Glenn Morris <rgm@gnu.org>
4019 * lisp/cedet/mode-local.el (describe-function-orig-buffer): Declare.
4021 * lisp/ffap.el (ffap-latex-mode): Avoid free variable.
4023 2016-01-08 Mark Oteiza <mvoteiza@udel.edu>
4025 * lisp/play/dunnet.el (dun-fix-screen): Avoid `end-of-buffer`.
4027 2016-01-08 Alan Mackenzie <acm@muc.de>
4029 Respect fontification region calculated by major mode. Fixes bug #22316.
4031 * lisp/font-lock.el (font-lock-extend-jit-lock-region-after-change): when a
4032 fontification region has been calculated by a function on
4033 font-lock-extend-after-change-region-function use this region rather than
4034 changing the end position to somewhere else.
4036 2016-01-08 Eli Zaretskii <eliz@gnu.org>
4038 Improve documentation of Delete Selection mode
4040 * lisp/delsel.el (delete-selection-mode)
4041 (delete-selection-helper): Update and expand the doc strings.
4044 * doc/emacs/mark.texi (Using Region): Document the behavior of
4045 delete commands in Delete Selection mode. (Bug#22296)
4047 * doc/lispref/markers.texi (The Mark): Document how to add the
4048 support for Delete Selection mode to Lisp programs. (Bug#22296)
4050 2016-01-08 Dmitry Gutov <dgutov@yandex.ru>
4052 Fix two project-find-file issues
4054 * lisp/progmodes/project.el (project--value-in-dir):
4055 Temporarily set enable-local-variables to :all.
4056 (project-find-file, project-or-external-find-file):
4058 (project--find-file-in): Require xref.
4060 2016-01-08 Eli Zaretskii <eliz@gnu.org>
4062 Clarify doc string of 'dired-current-directory'
4064 * lisp/dired.el (dired-current-directory): Doc fix: clarify that
4065 the return value might not end in a slash when called with the
4066 optional argument non-nil. (Bug#6273)
4068 2016-01-08 Eli Zaretskii <eliz@gnu.org>
4070 Use the face of preceding text for displaying the ellipsis
4072 * src/xdisp.c (setup_for_ellipsis): Use the face of the preceding
4073 text in it->saved_face_id for displaying the ellipsis, and ignore
4074 the face, if any, of the invisible text. (Bug#22320)
4076 2016-01-08 Michael Albinus <michael.albinus@gmx.de>
4078 Suppress Chinese file name test for OSX in tramp-tests.el
4080 * test/automated/tramp-tests.el (tramp--test-utf8):
4081 Remove instrumentation. Suppress Chinese file name test for OSX.
4083 2016-01-07 Glenn Morris <rgm@gnu.org>
4085 * admin/admin.el (set-version): Also handle the NEWS file.
4087 2016-01-07 Dmitry Gutov <dgutov@yandex.ru>
4089 apropos-library: Skip obvious duplicates; don't error on generics
4091 * lisp/apropos.el (apropos-library): Skip "was an autoload"
4092 entries, to avoid obvious duplicates. For each cl-defmethod
4093 entry, take just its function symbol (bug#21422).
4095 2016-01-07 Dmitry Gutov <dgutov@yandex.ru>
4097 Add project-find-file and project-or-external-find-file
4099 * lisp/minibuffer.el (completion-category-defaults):
4100 Add `project-file' category.
4102 * lisp/progmodes/project.el (project-find-file)
4103 (project-or-external-find-file): New commands.
4104 (project--find-file-in): New private function.
4106 * lisp/progmodes/xref.el (xref-collect-matches): Use
4107 `expand-file-name' on DIR, to expand the tildes.
4108 (xref--find-ignores-arguments): Extract from
4109 `xref--rgrep-command'.
4111 2016-01-06 Leo Liu <sdl.web@gmail.com>
4113 Add defvar-local to lisp-imenu-generic-expression
4115 * lisp/emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression): Add
4118 2016-01-06 Leo Liu <sdl.web@gmail.com>
4120 Revert commit b1e3d14845517bfa9fa5d6d3840f3ab3160306fd
4122 * lisp/emacs-lisp/easy-mmode.el (define-minor-mode):
4123 Don't declare (indent 1).
4125 2016-01-06 Glenn Morris <rgm@gnu.org>
4127 * lisp/emacs-lisp/autoload.el (autoload-find-destination): Doc fix.
4129 2016-01-06 Glenn Morris <rgm@gnu.org>
4131 * lisp/emacs-lisp/autoload.el (autoload-find-destination):
4133 Avoid specifying the length of a time object (it has not been "2"
4136 2016-01-06 Andreas Schwab <schwab@linux-m68k.org>
4138 Properly encode/decode base64Binary data in SOAP
4140 * lisp/net/soap-client.el (soap-encode-xs-basic-type): Encode
4141 base64Binary value as utf-8.
4142 (soap-decode-xs-basic-type): Decode base64Binary value as utf-8.
4144 2016-01-06 Eli Zaretskii <eliz@gnu.org>
4146 Obey coding-system-for-write when writing stdout/stderr in batch
4148 * src/print.c (printchar_to_stream):
4149 * src/xdisp.c (message_to_stderr): If coding-system-for-write has
4150 a non-nil value, use it to encode output in preference to
4151 locale-coding-system. See the discussions in
4152 http://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00048.html
4155 * doc/lispref/os.texi (Terminal Output): Document how to send
4156 non-ASCII text via 'send-string-to-terminal'.
4157 (Batch Mode): Document how text written to standard streams is
4158 encoded. Fix inaccuracy regarding which output streams are used
4159 by output functions in batch mode.
4161 2016-01-06 Xue Fuqiao <xfq.free@gmail.com>
4163 * doc/misc/efaq.texi (Packages that do not come with Emacs):
4164 Update the URI of MELPA and marmalade-repo. Reported by CHENG Gao
4165 <chenggao@royau.me> in
4166 https://lists.gnu.org/archive/html/emacs-devel/2016-01/msg00390.html.
4168 2016-01-05 Maksim Golubev <maksim.golubev72@gmail.com> (tiny change)
4170 * lisp/progmodes/opascal.el (opascal-mode-syntax-table):
4172 Fix backslash. (Bug#22224)
4174 2016-01-05 Federico Beffa <beffa@ieee.org> (tiny change)
4176 * lisp/progmodes/xscheme.el (xscheme-prompt-for-expression-exit):
4178 Make it actually work. (Bug#22265)
4180 2016-01-05 Alan Mackenzie <acm@muc.de>
4182 Remove function wrongly on AWK Mode value of context fontification hook.
4184 * lisp/progmodes/cc-langs.el (c-before-context-fontification-functions):
4185 swap order of entries so that awk's entry isn't superseded by the default.
4187 * lisp/progmodes/cc-mode.el (c-before-context-fl-expand-region): Correct
4188 to handle nil value of c-before-context-fontification-functions.
4190 2016-01-05 Paul Eggert <eggert@cs.ucla.edu>
4192 * src/buffer.c: Stick with ASCII in doc string.
4194 2016-01-05 Paul Eggert <eggert@cs.ucla.edu>
4196 Reword transient-mark-mode doc string
4198 * src/buffer.c (syms_of_buffer): Reword doc string to avoid confusion.
4199 The value 'lambda (literally) can be interpreted as (quote lambda),
4200 which is not intended here; we want just the lambda symbol.
4202 2016-01-05 Eli Zaretskii <eliz@gnu.org>
4204 Update doc string of 'selective-display'
4206 * src/buffer.c (syms_of_buffer) <selective-display>: Say that
4207 using it with the value of 't' is obsolete. (Bug#1092)
4209 2016-01-05 Alan Mackenzie <acm@muc.de>
4211 Make C++ buffers writeable when writing their initial text properties.
4213 This is a correction to yesterday's CC Mode patch.
4215 * lisp/progmodes/cc-engine.el (c-before-change-check-<>-operators): Put
4216 c-save-buffer-state around the function rather than a mere `let'.
4218 2016-01-05 Michael Albinus <michael.albinus@gmx.de>
4220 Additional changes for "make check-expensive"
4222 * CONTRIBUTE : Encourage use of ":tags '(:expensive-test)".
4223 Explain make target `check-expensive'.
4225 * etc/NEWS: Mention new make target `check-expensive'.
4227 * test/automated/Makefile.in (check-doit): New target.
4228 (check, check-expensive): Use it.
4230 2016-01-04 Alan Mackenzie <acm@muc.de>
4232 Apply text properties for <, > in new after-change function (C++ Java Modes).
4234 These are category/syntax-table properties to give < and > paren syntax.
4235 Also apply certain `c-type' text properties to the insides of <..> constructs
4236 to ensure that identifiers contained by them get fontified. This patch fixes
4239 * lisp/progmodes/cc-cmds.el (c-electric-lt-gt): Reformulate due to new
4240 after-change action.
4242 * lisp/progmodes/cc-engine.el (c-before-change-check-<>-operators): Expand
4243 change region to include <s and >s which might not be already marked as
4244 parens, rather than just when paren text properties are removed.
4245 (c-restore-<>-properties): New after-change function, which applies text
4246 properties marking < and > with paren syntax.
4248 * lisp/progmodes/cc-fonts.el (c-font-lock-declarations): Ensure `c-type'
4249 properties are applied to the interiors of <...> constructs, to ensure
4250 fontification of identifiers there.
4252 * lisp/progmodes/cc-langs.el (c-before-font-lock-functions): Add
4253 c-restore-<>-properties to this list for C++ and Java.
4255 * lisp/progmodes/cc-mode.el (c-common-init): When invoking
4256 c-before-font-lock-functions, exclude c-restore-<>-properties from the
4258 (c-before-change): Initialize c-new-BEG/END here (rather than c-after-change)
4259 to allow modification by before-change functions.
4260 (c-after-change): Amend c-new-END here, rather than initializing it and
4263 2016-01-04 Michael Albinus <michael.albinus@gmx.de>
4265 Merge branch 'emacs-25' of git.sv.gnu.org:/srv/git/emacs into emacs-25
4267 2016-01-04 Michael Albinus <michael.albinus@gmx.de>
4269 Introduce check-expensive tests.
4271 * Makefile.in (check-expensive):
4272 * test/automated/Makefile.in (check-expensive): New target.
4274 * test/automated/auto-revert-tests.el
4275 (auto-revert-test01-auto-revert-several-files):
4276 * test/automated/file-notify-tests.el (file-notify--deftest-remote):
4277 * test/automated/tramp-tests.el (tramp-test26-process-file)
4278 (tramp-test27-start-file-process, tramp-test28-shell-command)
4279 (tramp-test29-vc-registered)
4280 (tramp-test31-special-characters-with-stat)
4281 (tramp-test31-special-characters-with-perl)
4282 (tramp-test31-special-characters-with-ls)
4283 (tramp-test32-utf8-with-stat, tramp-test32-utf8-with-perl)
4284 (tramp-test32-utf8-with-ls, tramp-test33-asynchronous-requests)
4285 (tramp-test35-unload): Tag the tests as :expensive-test.
4287 2016-01-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
4289 shr-tag-video bug fix
4291 * shr.el (shr-tag-video): Protect against the `poster' being
4294 2016-01-04 Michael Albinus <michael.albinus@gmx.de>
4296 Minor fixes in tramp-tests.el
4298 * test/automated/tramp-tests.el (tramp-test26-process-file):
4299 Move point properly.
4300 (tramp-test29-vc-registered): Work with relative file names.
4302 2016-01-04 Eli Zaretskii <eliz@gnu.org>
4304 Ensure redisplay when 'truncate-lines' is set
4306 * lisp/frame.el (redisplay--variables): Add 'truncate-lines'.
4309 2016-01-04 Eli Zaretskii <eliz@gnu.org>
4311 Fix a doc string of 'transient-mark-mode'
4313 * src/buffer.c (syms_of_buffer) <transient-mark-mode>: Prevent
4314 "lambda" in doc string from becoming a link to lambda expressions.
4316 2016-01-04 Eli Zaretskii <eliz@gnu.org>
4318 MS-Windows followup to latest gnulib update
4320 * nt/gnulib.mk (EXTRA_DIST): Add ignore-value.h.
4322 2016-01-04 Paul Eggert <eggert@cs.ucla.edu>
4326 2016-01-03 Jens Lechtenboerger <jens.lechtenboerger@fsfe.org>
4328 Do secure signed Bcc handling
4330 * lisp/gnus/message.el (message-send): Do secure signed Bcc handling
4333 2016-01-03 Paul Eggert <eggert@cs.ucla.edu>
4335 Avoid stdio in SIGINT handler
4337 * admin/merge-gnulib (GNULIB_MODULES): Add ignore-value.
4338 * lib/gnulib.mk, m4/gnulib-comp.m4: Regenerate.
4339 * lib/ignore-value.h: New file, from gnulib.
4340 * src/keyboard.c: Include it.
4341 (write_stdout, read_stdin): New functions.
4342 (handle_interrupt): Use them instead of printf and getchar,
4343 and avoid fflush when handling signals.
4345 2016-01-03 Paul Eggert <eggert@cs.ucla.edu>
4347 * doc/misc/texinfo.tex: Revert unwanted copyright change.
4349 2016-01-03 Artur Malabarba <bruce.connor.am@gmail.com>
4351 * lisp/align.el (align): Simplify a lambda
4353 * lisp/align.el (align): Fix arg order in call to `align-region'
4355 2016-01-03 Eli Zaretskii <eliz@gnu.org>
4357 Fix compilation next-error in buffers with selective-display
4359 * lisp/progmodes/compile.el (compilation-beginning-of-line): New
4361 (compilation-internal-error-properties)
4362 (compilation-next-error-function, compilation-set-window): Use
4365 2016-01-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4367 * nsm.el (nsm-check-protocol): Fix typo in the message.
4369 2016-01-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4371 Add SHA1 warnings for high network security settings
4373 * nsm.el (nsm-check-protocol): When using high security, warn
4374 about SHA1 certificates, which are now believed to be open to
4377 2016-01-02 Jens Lechtenboerger <jens.lechtenboerger@fsfe.org>
4379 Refactor mml-smime.el, mml1991.el, mml2015.el
4381 (Maybe this is the last merge from Gnus git to Emacs git)
4383 Cf. discussion on ding mailing list, messages in
4384 <http://thread.gmane.org/gmane.emacs.gnus.general/86228>.
4385 Common code from the three files mml-smime.el, mml1991.el, and
4386 mml2015.el is moved to mml-sec.el. Auxiliary functions are added
4389 The code is supported by test cases with necessary test keys.
4391 Documentation in message.texi is updated.
4393 * doc/misc/message.texi (Security, Using S/MIME):
4394 Update for refactoring mml-smime.el, mml1991.el, mml2015.el.
4395 (Using OpenPGP): Rename from "Using PGP/MIME"; update contents.
4396 (Passphrase caching, Encrypt-to-self, Bcc Warning): New sections.
4398 * lisp/gnus/gnus-util.el (gnus-test-list, gnus-subsetp, gnus-setdiff):
4401 * lisp/gnus/mml-sec.el: Require gnus-util and epg.
4402 (epa--select-keys): Autoload.
4403 (mml-signencrypt-style-alist, mml-secure-cache-passphrase): Doc fix.
4404 (mml-secure-openpgp-signers): New user option;
4405 make mml1991-signers and mml2015-signers obsolete aliases to it.
4406 (mml-secure-smime-signers): New user option;
4407 make mml-smime-signers an obsolete alias to it.
4408 (mml-secure-openpgp-encrypt-to-self): New user option;
4409 make mml1991-encrypt-to-self and mml2015-encrypt-to-self obsolete
4411 (mml-secure-smime-encrypt-to-self): New user option;
4412 make mml-smime-encrypt-to-self an obsolete alias to it.
4413 (mml-secure-openpgp-sign-with-sender): New user option;
4414 make mml2015-sign-with-sender an obsolete alias to it.
4415 (mml-secure-smime-sign-with-sender): New user option;
4416 make mml-smime-sign-with-sender an obsolete alias to it.
4417 (mml-secure-openpgp-always-trust): New user option;
4418 make mml2015-always-trust an obsolete alias to it.
4419 (mml-secure-fail-when-key-problem, mml-secure-key-preferences):
4421 (mml-secure-cust-usage-lookup, mml-secure-cust-fpr-lookup)
4422 (mml-secure-cust-record-keys, mml-secure-cust-remove-keys)
4423 (mml-secure-add-secret-key-id, mml-secure-clear-secret-key-id-list)
4424 (mml-secure-cache-passphrase-p, mml-secure-cache-expiry-interval)
4425 (mml-secure-passphrase-callback, mml-secure-check-user-id)
4426 (mml-secure-secret-key-exists-p, mml-secure-check-sub-key)
4427 (mml-secure-find-usable-keys, mml-secure-select-preferred-keys)
4428 (mml-secure-fingerprint, mml-secure-filter-keys)
4429 (mml-secure-normalize-cust-name, mml-secure-select-keys)
4430 (mml-secure-select-keys-1, mml-secure-signer-names, mml-secure-signers)
4431 (mml-secure-self-recipients, mml-secure-recipients)
4432 (mml-secure-epg-encrypt, mml-secure-epg-sign): New functions.
4434 * lisp/gnus/mml-smime.el: Require epg;
4435 refactor declaration and autoloading of epg functions.
4436 (mml-smime-use): Doc fix.
4437 (mml-smime-cache-passphrase, mml-smime-passphrase-cache-expiry):
4439 (mml-smime-get-dns-cert, mml-smime-get-ldap-cert):
4440 Use format instead of gnus-format-message.
4441 (mml-smime-epg-secret-key-id-list): Remove variable.
4442 (mml-smime-epg-passphrase-callback, mml-smime-epg-find-usable-key)
4443 (mml-smime-epg-find-usable-secret-key): Remove functions.
4444 (mml-smime-epg-sign, mml-smime-epg-encrypt): Refactor.
4446 * lisp/gnus/mml1991.el (mml1991-cache-passphrase)
4447 (mml1991-passphrase-cache-expiry): Obsolete.
4448 (mml1991-epg-secret-key-id-list): Remove variable.
4449 (mml1991-epg-passphrase-callback, mml1991-epg-find-usable-key)
4450 (mml1991-epg-find-usable-secret-key): Remove functions.
4451 (mml1991-epg-sign, mml1991-epg-encrypt): Refactor.
4453 * lisp/gnus/mml2015.el (mml2015-cache-passphrase)
4454 (mml2015-passphrase-cache-expiry): Obsolete.
4455 (mml2015-epg-secret-key-id-list): Remove variable.
4456 (mml2015-epg-passphrase-callback, mml2015-epg-check-user-id)
4457 (mml2015-epg-check-sub-key, mml2015-epg-find-usable-key)
4458 (mml2015-epg-find-usable-secret-key): Remove functions.
4459 (mml2015-epg-decrypt, mml2015-epg-clear-decrypt, mml2015-epg-sign)
4460 (mml2015-epg-encrypt): Refactor.
4462 2016-01-02 Glenn Morris <rgm@gnu.org>
4464 * lisp/progmodes/fortran.el (fortran-make-syntax-propertize-function):
4466 Explicitly ignore case. (Bug#22262)
4468 2016-01-02 Stefan Monnier <monnier@iro.umontreal.ca>
4470 (semantic-symref-derive-find-filepatterns): Return a list
4472 * lisp/cedet/semantic/symref/grep.el
4473 (semantic-symref-derive-find-filepatterns): Return a list.
4474 (semantic-symref-perform-search): Quote the result here once and for all.
4476 2016-01-02 Eli Zaretskii <eliz@gnu.org>
4478 Fix xref-find-references on MS-Windows
4480 * lisp/cedet/semantic/symref/grep.el
4481 (semantic-symref-derive-find-filepatterns): Use
4482 'shell-quote-argument' instead of manually quoting in a way that
4483 only works with Posix shells. (Bug#22289)
4485 2016-01-02 Eli Zaretskii <eliz@gnu.org>
4487 Document new features of tildify-mode
4489 * lisp/textmodes/tildify.el (tildify-foreach-ignore-environments)
4490 (tildify-mode): Spelling fixes in doc strings.
4492 * etc/NEWS: Reformat the tildify-mode entry.
4494 2016-01-02 Eli Zaretskii <eliz@gnu.org>
4496 Document new features of Whitespace mode
4498 * doc/emacs/display.texi (Useless Whitespace): Document
4499 'whitespace-toggle-options' and the new 'big-indent' style.
4500 Document 'whitespace-big-indent-regexp'. Document the Global
4503 2016-01-02 Eli Zaretskii <eliz@gnu.org>
4505 Improve documentation of new Hide-IfDef features
4507 * etc/NEWS: Expand and reword Hide-IfDef section.
4509 2016-01-02 Leo Liu <sdl.web@gmail.com>
4511 Fix regression in font-locking cl-assert and cl-check-type
4513 * lisp/emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2): Fix
4516 2016-01-01 Paul Eggert <eggert@cs.ucla.edu>
4518 Spelling and grammar fixes
4520 2016-01-01 Paul Eggert <eggert@cs.ucla.edu>
4522 Fix copyright years by hand
4524 These are dates that admin/update-copyright did not update, or
4525 updated incorrectly.
4527 2016-01-01 Paul Eggert <eggert@cs.ucla.edu>
4529 Update copyright year to 2016
4531 Run admin/update-copyright.
4533 2016-01-01 Paul Eggert <eggert@cs.ucla.edu>
4537 This mostly just updates copyright dates of gnulib files.
4538 It also updates to the latest version of texinfo.tex.
4540 2015-12-31 Mark Oteiza <mvoteiza@udel.edu>
4542 lisp/emacs-lisp/chart.el (chart-new-buffer): Move to silence byte compiler.
4544 2015-12-31 Mark Oteiza <mvoteiza@udel.edu>
4546 Port chart.el methods to cl-generic.
4548 cl-call-next-method cannot be used inside EIEIO's defmethod.
4549 * lisp/emacs-lisp/chart.el: Require cl-generic at compile time.
4550 * lisp/emacs-lisp/chart.el (initialize-instance, chart-draw):
4551 (chart-draw-title, chart-size-in-dir, chart-draw-axis):
4552 (chart-axis-draw, chart-translate-xpos, chart-translate-ypos):
4553 (chart-translate-namezone, chart-draw-data, chart-add-sequence):
4554 (chart-trim, chart-sort): Use cl-defmethod instead of defmethod.
4556 2015-12-31 Brian Burns <bburns.km@gmail.com>
4558 Add nt/INSTALL.W64 build instructions
4560 * nt/INSTALL.W64: New file.
4561 * nt/INSTALL: Point to INSTALL.W64 for 64-bit build instructions.
4563 2015-12-31 Joakim Jalap <joakim.jalap@fastmail.com>
4565 Add new input method 'programmer-dvorak'
4567 * lisp/leim/quail/programmer-dvorak.el ("programmer-dvorak"): New
4570 * etc/NEWS: Mention it.
4572 2015-12-31 Eli Zaretskii <eliz@gnu.org>
4574 Allow to invoke original M-TAB binding in 'flyspell-prog-mode'
4576 * lisp/textmodes/flyspell.el (flyspell-prog-mode): Record the
4577 original M-TAB binding in a buffer-local variable.
4578 (flyspell-auto-correct-word): Invoke the original binding of M-TAB
4579 if that is recorded, when point is in a place where flyspell
4580 should not be active (e.g., because the user turned on
4581 'flyspell-prog-mode'). (Bug#18533)
4583 2015-12-31 Eli Zaretskii <eliz@gnu.org>
4585 Fix EWW rendering of long RTL lines
4587 * lisp/net/shr.el (shr-insert-document): Undo any previous hscroll
4588 of the selected window before filling its lines. (Bug#22250)
4590 2015-12-31 Vincent Belaïche <vincentb1@users.sourceforge.net>
4594 * ses.el (ses-check-curcell): Call `ses-set-curcell' unconditionally
4596 2015-12-31 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
4598 Clean up cairo printing code
4600 * src/gtkutil.c (xg_get_page_setup): Use listn.
4601 * src/xfns.c (Fx_export_frames, Fx_print_frames_dialog): Doc fix. Use
4602 decode_window_system_frame and FRAME_VISIBLE_P.
4603 (Fx_print_frames_dialog): Use redisplay_preserve_echo_area instead
4605 * src/xterm.c (x_cr_export_frames): Use redisplay_preserve_echo_area
4606 instead of Fdisplay. Temporarily unblock_input around QUIT.
4608 2015-12-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
4610 Add handle_user_signal_hook
4612 * src/keyboard.h (handle_user_signal_hook): New declaration.
4613 * src/keyboard.c (handle_user_signal_hook): New variable.
4614 (handle_user_signal): Call it.
4616 2015-12-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
4618 Avoid writing to purespace
4620 * src/alloc.c (Fmake_string): Don't write to empty string contents.
4621 (allocate_vector): Don't write to empty vector size.
4622 * src/character.h (CHECK_CHARACTER_CAR, CHECK_CHARACTER_CDR):
4623 Don't call unnecessary XSETCAR or XSETCDR.
4624 * src/lisp.h (STRING_SET_UNIBYTE, STRING_SET_MULTIBYTE): Don't
4625 write to empty string size_byte.
4627 2015-12-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
4629 Remove unused variable
4631 * lisp/international/mule-cmds.el: Remove unused variable
4632 `mac-system-coding-system'.
4634 2015-12-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
4636 * configure.ac: Find libxml2 headers in Xcode SDK dir on Darwin.
4638 2015-12-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
4640 Use posix_openpt instead of openpty on Darwin
4642 * configure.ac (PTY_ITERATION, FIRST_PTY_LETTER, PTY_OPEN)
4643 (PTY_NAME_SPRINTF, PTY_TTY_NAME_SPRINTF): Remove
4644 Darwin-specific definitions. Use posix_openpt instead.
4646 2015-12-30 Shakthi Kannan <shakthimaan@gmail.com>
4648 Document support for ':documentation' in Lisp mode
4650 * lisp/emacs-lisp/lisp-mode.el (lisp-string-in-doc-position-p)
4651 (lisp-string-after-doc-keyword-p)
4652 (lisp-font-lock-syntactic-face-function): Add doc strings.
4654 2015-12-30 Shakthi Kannan <shakthimaan@gmail.com>
4656 Document new features of TeX mode
4658 * doc/emacs/text.texi (TeX Print): Document
4659 'tex-print-file-extension'.
4660 * doc/emacs/programs.texi (Misc for Programs): Document support
4661 for Prettify Symbols mode in TeX mode.
4663 2015-12-30 Eli Zaretskii <eliz@gnu.org>
4665 Clarify docs of hscroll in RTL text
4667 * doc/lispref/windows.texi (Horizontal Scrolling): Clarify the
4668 meaning of a window's horizontal scroll amount for RTL paragraphs.
4670 2015-12-30 Eli Zaretskii <eliz@gnu.org>
4672 Fix rendering of HTML pages that use character composition
4674 * src/indent.c (Fvertical_motion): Fix the case when point starts
4675 in the middle of a composition, as in shr-vertical-motion.
4678 2015-12-30 Eli Zaretskii <eliz@gnu.org>
4680 Avoid some compiler warnings in w32.c
4682 * src/w32.c (codepage_for_filenames, crlf_to_lf)
4683 (ansi_encode_filename, socket_to_fd, sys_write)
4684 (check_windows_init_file): Avoid compiler warnings about
4685 differences in pointer signedness.
4687 2015-12-29 Dmitry Gutov <dgutov@yandex.ru>
4689 Undo ill-advised change
4691 * lisp/progmodes/xref.el (xref-collect-matches): Undo
4692 ill-advised change. The hits come in the order that `find'
4693 produces them in, which isn't alphabetical.
4695 2015-12-29 Dmitry Gutov <dgutov@yandex.ru>
4697 Unbreak completion in python-mode buffers
4699 * lisp/progmodes/python.el (python-shell-completion-at-point):
4700 Unbreak in python-mode buffers.
4702 2016-01-09 Andrew Hyatt <ahyatt@gmail.com>
4704 Adding example replies to bug-triage.
4706 * admin/notes/bug-triage: Added example replies. Also, as requested,
4707 making the process notes into more of a checklist.
4709 2016-01-08 Andrew Hyatt <ahyatt@gmail.com>
4711 Rename the notes/admin/triage file to bug-triage.
4713 * CONTRIBUTE: Change reference to the triage file name.
4714 * admin/notes/triage: Rename file to admin/notes/bug-triage.
4716 2016-01-07 Glenn Morris <rgm@gnu.org>
4718 Allow creation of loaddefs files without timestamps.
4720 * lisp/emacs-lisp/autoload.el (autoload-timestamps): New variable.
4721 (autoload-generate-file-autoloads, update-directory-autoloads):
4722 If autoload-timestamps is nil, write "t" instead of file timestamp.
4723 (autoload-find-destination, update-directory-autoloads):
4724 If timestamp is "t", use the modtime of the output file instead.
4726 2016-01-06 Glenn Morris <rgm@gnu.org>
4730 * lisp/calendar/cal-hebrew.el (diary-hebrew-list-entries):
4731 * lisp/calendar/cal-iso.el (calendar-iso-to-absolute)
4732 (calendar-iso-from-absolute):
4733 * lisp/calendar/cal-tex.el (cal-tex-comment):
4734 * lisp/calendar/solar.el (calendar-time-display-form): Doc tweaks.
4736 2016-01-06 Glenn Morris <rgm@gnu.org>
4738 Build tweaks related to tags files.
4740 * lib-src/Makefile.in (tagsfiles): New variable.
4741 (TAGS): Also depend on the source files. Use our own etags program.
4742 * lisp/Makefile.in (ETAGS): Add EXEEXT.
4743 (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3, lisptagsfiles4):
4745 (tagsfiles): New, replacing lisptagsfiles1 etc.
4746 Remove irrelevant source files here rather than in the TAGS rule.
4747 (${ETAGS}): New rule.
4748 (TAGS): Also depend on the etags executable.
4749 * lwlib/Makefile.in (EXEEXT): New, set by configure.
4750 (ETAGS): Add EXEEXT.
4751 (${ETAGS}): New rule.
4752 (ctagsfiles): Use "wildcard".
4753 (TAGS): Also depend on the etags executable.
4754 * nt/Makefile.in (ETAGS, tagsfiles): New variables.
4755 (${ETAGS}): New rule.
4756 (TAGS): Fix dependencies.
4757 * oldXMenu/Makefile.in (EXEEXT): New, set by configure.
4758 (ETAGS): New variable, replacing $TAGS. Use our own etags program.
4759 Remove "-t" argument.
4760 (${ETAGS}): New rule.
4761 (tagsfiles): New variable.
4762 (TAGS): New rule, with proper dependencies.
4763 * src/Makefile.in (ETAGS): Add EXEEXT. Add a build rule.
4764 (ctagsfiles1, ctagsfiles2): Use "wildcard".
4765 (ctagsfiles3): Remove.
4766 (TAGS): Depend on etags.
4767 (../lisp/TAGS, $(lwlibdir)/TAGS): Let the rules in the relevant
4768 directories decide if updates are needed.
4770 2016-01-06 Glenn Morris <rgm@gnu.org>
4772 * lisp/Makefile.in (CAL_SRC): Skip calendar.el.
4774 2016-01-06 Glenn Morris <rgm@gnu.org>
4776 * test/lisp/emacs-lisp/package-tests.el
4778 (package-test-macro-compilation): Fixup branch merge.
4780 2016-01-05 Eli Zaretskii <eliz@gnu.org>
4782 Fix fallout from merging emacs-25 branch in test/
4784 * .gitignore: Update for the new place of biditest.txt.
4785 * test/automated/: Directory removed. All files moved to their
4787 * test/etags/: Directory removed. All files moved to their proper
4789 * test/automated/url-parse-tests.el: File removed; it was an exact
4790 copy of the same file in test/lisp/url/.
4791 * test/automated/url-expand-tests.el: Moved to test/lisp/url/.
4793 2016-01-04 Paul Eggert <eggert@cs.ucla.edu>
4797 2016-01-04 Daniel Colascione <dancol@dancol.org>
4799 Let users disable unsafe signal handling code
4801 * src/keyboard.c (syms_of_keyboard): New user variables
4802 `attempt-stack-overflow-recovery' and
4803 `attempt-orderly-shutdown-on-fatal-signal'.
4804 * src/sysdep.c (stack_overflow): Check
4805 `attempt-stack-overflow-recovery'.
4806 * src/emacs.c (terminate_due_to_signal): Check
4807 `attempt-orderly-shutdown-on-fatal-signal'.
4809 2016-01-03 Michael Albinus <michael.albinus@gmx.de>
4811 * configure.ac: Add error message for gfile on Nextstep.
4813 2016-01-03 John Wiegley <johnw@newartisans.com>
4815 Merge branch 'emacs-25-merge'
4817 2016-01-02 Vincent Belaïche <vincentb1@users.sourceforge.net>
4819 Align textually on fix done for emacs-25 branch for bug#21054
4821 * lisp/ses.el (ses-check-curcell): Suppress ``temporary fix'' comment,
4822 and useless `(if t ...)' in order to align textually on fix done for
4823 emacs-25 branch for bug#21054.
4825 2016-01-02 K. Handa <handa@gnu.org>
4827 support rendering of wider range of combinging characters by ftfont backend
4829 * lisp/language/hebrew.el (hebrew-shape-gstring): If the font backend
4830 supports rendering of combining characters, call
4833 * src/font.c (Ffont_get): Handle `combining-capability' property.
4834 (syms_of_font): New symbol ":combining-capability'.
4836 * src/font.h (struct font_driver): New member combining_capability.
4838 * src/ftfont.c: Include "category.h".
4839 (ftfont_driver): Initialize combining_capability to
4840 ftfont_combining_capability.
4841 (ftfont_shape_by_flt): If OTF is null, try to find a suitable
4843 (ftfont_combining_capability): New function.
4845 2016-01-01 Andrew Hyatt <ahyatt@gmail.com>
4847 Add notes on bug triage procedure
4849 * CONTRIBUTE: In section on the issue tracker, point to new triage file.
4850 * admin/notes/triage: New file explaining triage procedure.
4852 2015-12-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
4854 Correct ses-rename-cell cursor-intangible text prop updating.
4856 There were two problems:
4858 - First ses-rename-cell has to work when called non interactively
4859 (with non-nil CELL argument), so in this case the start pos of
4860 put-text-property cannot be plainly (point), you need a
4861 ses-goto-print call before
4863 - Second, the range itself was computed erronously, only the first
4864 char was affected instead of the full cell width. This was not
4865 noticeable prior to changes (Deprecate `intangible' and
4866 `point-entered' properties) made by Stefan on 2015-04-13T19:51:15Z
4868 * lisp/ses.el (ses-rename-cell): Correct computation of position range
4869 to which the 'cursor-intangible text property has to be set to cell
4872 2015-12-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
4874 Don't fake empty cells value by "" when printing with a lambda.
4876 When using a lambda expression printer function the user should be
4877 free to format differently a really empty cell, ie. containing nil,
4878 from a cell containing an empty string "".
4880 * ses.el (ses-call-printer): Replace `(or value "")' by just `value'
4881 in the case of a lambda expression printer function.
4883 * ses.texi (Printer functions): Add example and description about
4884 lambda expression printer function handling all the possible values,
4885 including unexpected ones.
4887 2015-12-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
4889 Quick temporary hack to fix curcell refreshing.
4891 The problem was caused by change: 2015-04-13 Deprecate `intangible'
4892 and `point-entered' properties. The problem is that this change has
4893 removed the (setq ses--curcell t) setting in the ses-command-hook
4896 * ses.el (ses-check-curcell): replace `(eq ses--curcell t)' by just `t' as
4897 a condition to call function `ses-set-curcell'. Comment this as a quick
4898 temporary hack to make it work, as I don't know yet whether a definite
4899 correction would be to make the ses-set-curcell at every ses-check-curcell,
4900 or to revert to the previous approach, ie marking ses--curcell as out-of-date
4901 at every potentially cursor motion command.
4903 2015-12-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
4905 Restrictive URL checking tweaks
4907 * lisp/net/eww.el (eww): Check whether the domain is
4908 restrictive instead of the string
4909 (http://македонија.icom.museum is restrictive even if each
4910 part is from a different script).
4912 2015-12-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
4914 New function `puny-highly-restrictive-domain-p'
4916 * lisp/net/puny.el (puny-highly-restrictive-string-p): Rename.
4917 (puny-highly-restrictive-domain-p): New function.
4919 2015-12-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
4921 eww build fix (require puny)
4923 2015-12-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
4925 Transform non-restrictive domains to punycode for display
4927 * lisp/net/eww.el (eww): Check whether the domain is Highly
4928 Restrictive in the Unicode IDNA sense.
4930 2015-12-30 John Wiegley <johnw@newartisans.com>
4932 Merge emacs-25 into master (using imerge)
4934 2015-12-29 Eli Zaretskii <eliz@gnu.org>
4936 Fix typos in CC Mode manual
4938 * doc/misc/cc-mode.texi (c-offsets-alist, Style Variables): Fix
4941 2015-12-29 Eli Zaretskii <eliz@gnu.org>
4943 Avoid assertion violations in compact_font_cache_entry
4945 * src/alloc.c (compact_font_cache_entry): Don't use VECTORP to
4946 avoid assertion violation in ASIZE. (Bug#22263)
4948 2015-12-29 Eli Zaretskii <eliz@gnu.org>
4950 Fix filling text with bidirectional characters in shr.el
4952 * lisp/net/shr.el (shr-insert-document): Bind
4953 bidi-display-reordering to nil while filling lines. This is
4954 required for when a line includes characters whose bidi
4955 directionality is opposite to the base paragraph direction,
4956 because columns are counted in the logical order. (Bug#22250)
4958 2015-12-29 Lars Ingebrigtsen <larsi@gnus.org>
4960 Further Unicode restrictive fixups
4962 * puny.el (puny-highly-restrictive-p): Include the extra
4963 identifier characters from table 3.
4965 2015-12-29 Martin Rudalics <rudalics@gmx.at>
4967 * src/xfns.c (x_create_tip_frame): Process alpha parameter.
4969 2015-12-29 Michael Albinus <michael.albinus@gmx.de>
4971 Sync with Tramp 2.2.13
4973 * doc/misc/trampver.texi: Change version to "2.2.13.25.1".
4975 * lisp/net/tramp-compat.el (tramp-compat-delete-dups):
4976 Use `tramp-compat-funcall'.
4978 * lisp/net/tramp-gvfs.el (tramp-gvfs-parse-device-names):
4979 Make `split-string' call compatible with older Emacsen.
4981 * lisp/net/trampver.el: Change version to "2.2.13.25.1".
4983 2015-12-29 Lambda Coder <sjLambda@gmail.com>
4985 * doc/misc/tramp.texi: Editorial revisions to the Tramp manual
4987 2015-12-29 Lars Ingebrigtsen <larsi@gnus.org>
4989 Mention that tls.el is secure by default, and will fail
4991 2015-12-29 Lars Ingebrigtsen <larsi@gnus.org>
4993 Make tls.el use trustfiles by default
4995 * lisp/net/tls.el (tls-program): Add a certfile by default (bug#21227).
4996 (open-tls-stream): Insert the trustfile by looking at
4997 `gnutls-trustfiles'.
4999 2015-12-29 Lars Ingebrigtsen <larsi@gnus.org>
5001 Refactor out gnutls-trustfiles
5003 * lisp/net/gnutls.el (gnutls-trustfiles): Refactor out for reuse by tls.el.
5005 2015-12-29 Lars Ingebrigtsen <larsi@gnus.org>
5007 Remove --insecure from gnutls-cli invocation
5009 * tls.el (tls-program): Default to using secure TLS
5010 connections (bug#19284).
5012 2015-12-29 Lars Ingebrigtsen <larsi@gnus.org>
5014 Add a new function to say whether a string is restrictive
5016 * puny.el (puny-highly-restrictive-p): New function.
5018 2015-12-28 Paul Eggert <eggert@cs.ucla.edu>
5022 2015-12-28 Paul Eggert <eggert@cs.ucla.edu>
5024 Port report-emacs-bug to deterministic builds
5026 * lisp/mail/emacsbug.el (report-emacs-bug): Future-proof the
5027 recent "built on" change to deterministic builds where
5028 emacs-build-system will be nil. See:
5029 http://lists.gnu.org/archive/html/emacs-devel/2015-12/msg01369.html
5031 2015-12-28 Jose A. Ortega Ruiz <jao@gnu.org> (tiny change)
5033 Fix URL auth error message
5035 * lisp/url/url-http.el (url-http-handle-authentication): Make the error
5036 message more correct (bug#20069).
5038 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5040 Mention the new puny.el library
5042 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5044 IDNA-related fixes for the URL library
5046 * lisp/url/url-http.el (url-http-create-request): IDNA-encode
5049 * lisp/url/url-util.el (url-encode-url): Don't hex-encode
5050 domain names, but leave them as UTF-8, so that they can be
5051 IDNA-encoded later when contacting the host.
5053 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5055 IDNA-encode all domain names in `open-network-stream'
5057 * network-stream.el (open-network-stream)
5058 (network-stream-open-plain, network-stream-open-starttls):
5059 IDNA-encode all domain names, if needed.
5061 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5063 Fix puny-encoding all-non-ASCII domains
5065 * puny.el (puny-encode-string): Fix the all-non-ASCII encoding case.
5067 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5069 * lisp/net/shr.el (shr-next-link): Don't bug out on adjacent links.
5071 2015-12-28 Tom Tromey <tom@tromey.com>
5073 set :safe on css-indent-offset
5075 * lisp/textmodes/css-mode.el (css-indent-offset): Add :safe 'integerp.
5077 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5079 * eww.el (eww-mode): Remove superfluous bidi reset.
5081 2015-12-28 James Stout <james.wolf.stout@gmail.com> (tiny change)
5083 Make chunked encoding trailer detection more compliant
5085 * lisp/url/url-http.el
5086 (url-http-chunked-encoding-after-change-function): Make
5087 trailer detection more compliant (bug#16345).
5089 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5091 Reconnect erc even on server errors
5093 * lisp/erc/erc-backend.el (erc-server-reconnect-p): Try to
5094 reconnect even if a server error has occurred (bug#18527).
5096 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5098 Fix punycode short circuit logic
5100 * puny.el (puny-encode-domain): Fix short-circuit logic.
5102 2015-12-28 Martin Rudalics <rudalics@gmx.at>
5104 Fix Bug#10873 in `report-emacs-bug'
5106 * lisp/mail/emacsbug.el (report-emacs-bug): If
5107 `report-emacs-bug-no-explanations' is nil, make sure we can show
5108 mail and warnings buffer on this frame (Bug#10873).
5110 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5114 * puny.el (puny-encode-domain): Make the common non-IDNA case faster
5116 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5118 Add IDNA domain encode/decode functions
5120 * puny.el (puny-decode-domain): New function.
5121 (puny-encode-domain): Ditto.
5122 (puny-decode-digit): Fix digit decoding error.
5124 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5126 Rename idna.el to puny.el
5128 * puny.el: Renamed from idna.el to avoid name collisions with
5129 the external idna.el library.
5131 2015-12-28 Lars Ingebrigtsen <larsi@gnus.org>
5133 Always reset the bidi direction
5135 * eww.el (eww-display-html): Always reset the bidi direction
5136 to `left-to-right' (bug#22257).
5138 2015-12-28 Alan Mackenzie <acm@muc.de>
5140 Allow line comments ending with escaped NL to be continued to the next line.
5142 Use this in C, C++, and Objective C Modes. Fixes bug#22246
5144 * src/syntax.c (comment-end-can-be-escaped): New buffer local variable.
5145 (forw-comment, back-comment): On encountering an end of comment character,
5146 test whether it is escaped when `comment-end-can-be-escaped' is non-nil.
5148 * doc/lispref/syntax.texi (Control Parsing): Describe
5149 `comment-end-can-be-escaped'.
5151 * etc/NEWS (Lisp Changes): Describe `comment-end-can-be-escaped'.
5153 * lisp/progmodes/cc-langs.el: New c-lang-setvar `comment-end-can-be-escaped'.
5155 2015-12-28 Katsumi Yamaoka <yamaoka@jpl.org>
5157 lisp/gnus/mml-sec.el (mml-secure-bcc-is-safe): Keep old Emacsen compatibility
5159 * lisp/gnus/mml-sec.el (mml-secure-bcc-is-safe):
5160 Don't use split-string with 4th arg for old Emacsen compatibility.
5162 2015-12-27 Dmitry Gutov <dgutov@yandex.ru>
5164 Rename project-library-roots to project-external-roots
5166 * lisp/progmodes/project.el (project-library-roots): Rename to
5167 project-external-roots.
5168 (project-library-roots-function): Rename to
5169 project-vc-external-roots-function. Only use it in the VC
5170 backend, for now. Update project-external-roots accordingly.
5171 (project-vc-library-roots): Remove.
5172 (project-or-libraries-find-regexp):
5173 Rename to project-or-external-find-regexp.
5175 * lisp/progmodes/elisp-mode.el (elisp-library-roots):
5176 Rename to elisp-load-path-roots.
5178 * lisp/progmodes/etags.el (etags-library-roots): Remove. Use
5179 an anonymous function for the default value of
5180 project-vc-external-roots-function.
5182 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5184 * idna.el (idna-decode-string-internal): Implement decoding.
5186 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5190 (idna-encode-string): Make idna-encode-string safe for
5193 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5195 Clean up the code slightly
5197 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5199 Added basic idna encoding support
5201 * lisp/net/idna.el: New file.
5203 2015-12-27 Vivek Dasmohapatra <vivek@etla.org>
5205 Disconnection fixes for erc
5207 * lisp/erc/erc-backend.el (erc-server-reconnect-p): Don't
5208 reconnect if the user has disconnected explicitly (bug#4589).
5210 2015-12-27 Thomas Riccardi <riccardi.thomas@gmail.com> (tiny change)
5212 Further erc asynch fixes
5214 * lisp/erc/erc-backend.el (erc-process-sentinel-2): Make
5215 erc-server-connect to return even if the connection is not
5216 ready. Then erc-open and erc-server-reconnect do the
5219 2015-12-27 Vivek Dasmohapatra <vivek@etla.org>
5221 Make erc connect asynchronously
5223 * lisp/erc/erc-backend.el (erc-server-reconnect): Use it to
5224 reconnect asynchronously.
5226 * lisp/erc/erc-backend.el (erc-open-network-stream): New function (bug#5650).
5228 2015-12-27 Deniz Dogan <deniz@dogan.se>
5230 Clear erc user list upon disconnection
5232 * lisp/erc/erc-backend.el (erc-process-sentinel): Clear channel user
5233 lists upon disconnection. This prevents invalid channel
5234 user lists when reconnecting (bug#10947).
5236 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5238 Don't bug out in erc after waking from sleep
5240 * erc-backend.el (erc-server-send-ping): If the server has
5241 closed connection, this may already have been detected and
5242 `erc-server-last-received-time' has been set to nil (bug#13608).
5244 2015-12-27 David Edmondson <dme@dme.org>
5246 Proxy error in erc with multiple clients
5248 * lisp/erc/erc.el (erc-channel-receive-names): Fix errors
5249 generated when multiple IRC clients talk to a single IRC proxy
5252 2015-12-27 Dima Kogan <dima@secretsauce.net>
5254 Ensure that we don't have several timers in erc
5256 * lisp/erc/erc-backend.el (erc-server-setup-periodical-ping): Checks
5257 for existing timers in the alist before adding new ones. If a
5258 timer already exists, it is cancelled and
5259 overwritten. (bug#19292).
5261 2015-12-27 Jens Lechtenboerger <jens.lechtenboerger@fsfe.org>
5263 * lisp/gnus/mml-sec.el: Fix warnings by adding autoloads
5266 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5268 * lisp/erc/erc-log.el (erc-log-setup-logging): Insert the previous log
5269 at the start of the buffer, not at the end (bug#20496).
5271 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5273 * lisp/net/eww.el (eww-setup-buffer): Restore left-to-right defaults.
5275 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5277 Don't join erc channels doubly
5279 * erc-join.el (erc-autojoin-channels): Don't join channels
5280 more than once (if you have several nicks) (bug#20695).
5282 2015-12-27 Eli Zaretskii <eliz@gnu.org>
5284 Avoid leaving "ghost" of mouse pointer on MS-Windows
5286 * src/w32term.c (frame_set_mouse_pixel_position):
5287 * src/w32fns.c (Fw32_mouse_absolute_pixel_position): Momentarily
5288 disable "mouse trails" when moving the mouse pointer. (Bug#22247)
5289 * src/w32term.c (frame_set_mouse_pixel_position): Include
5292 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
5294 * lisp/gnus/mml-sec.el (mml-secure-bcc-is-safe): Fix typo in last check-in.
5296 2015-12-27 Jens Lechtenboerger <jens.lechtenboerger@fsfe.org>
5298 Identify unsafe combinations of Bcc and encryption
5300 * lisp/gnus/gnus-util.el (gnus-subsetp): New function
5301 * lisp/gnus/mml-sec.el (mml-secure-safe-bcc-list): New variable
5302 * lisp/gnus/mml-sec.el (mml-secure-bcc-is-safe): New function
5304 2015-12-27 Krzysztof Jurewicz <krzysztof.jurewicz@gmail.com> (tiny change)
5306 Fix auth source lookups from erc with port numbers
5308 * lisp/erc/erc.el (erc-open): `auth-source' wants strings, not port
5309 numbers (bug#20541).
5311 2015-12-27 Fran Litterio <flitterio@gmail.com>
5313 Run erc-kill-channel-hook always on exit
5315 * lisp/erc/erc.el (erc-kill-buffer-function): Run erc-kill-channel-hook
5316 when erc-kill-queries-on-quit is set (bug#21187).
5318 2015-12-26 Paul Eggert <eggert@cs.ucla.edu>
5322 * test/automated/url-parse-tests.el:
5323 (url-generic-parse-url/same-document-reference):
5324 Rename from url-generic-parse-url/same-decument-reference.
5326 2015-12-26 Paul Eggert <eggert@cs.ucla.edu>
5328 Reword initial *scratch* for brevity, appearance
5330 * lisp/startup.el (initial-scratch-message):
5331 Reword to avoid apostrophes, and to make it shorter.
5332 See the thread starting in:
5333 http://lists.gnu.org/archive/html/emacs-devel/2015-12/msg01241.html
5335 2015-12-26 Leo Liu <sdl.web@gmail.com>
5337 Add ert-deftest to lisp-mode.el
5339 * lisp-mode.el (lisp-imenu-generic-expression,
5340 lisp-el-font-lock-keywords-1): Add ert-deftest.
5342 2015-12-26 Lars Ingebrigtsen <larsi@gnus.org>
5344 Mark imap changes as not needing doc changes
5346 * imap.el (imap-ssl-open): Remove
5348 2015-12-26 Lars Ingebrigtsen <larsi@gnus.org>
5350 Use built-in encryption in imap.el
5352 * lisp/net/imap.el (imap-ssl-program): Remove (bug#21134).
5353 (imap-starttls-open): Use open-network-stream instead of starttls.el.
5354 (imap-tls-open): Use open-network-stream instead of tls.el.
5356 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5358 Don't try using /bin/sh in artist.el on MS-Windows
5360 * lisp/textmodes/artist.el (artist-figlet-get-font-list-windows):
5362 (artist-figlet-choose-font): Use it on MS-Windows and MS-DOS.
5365 2015-12-26 Wolfgang Jenkner <wjenkner@inode.at>
5367 Always define gmalloc etc. in src/gmalloc.c
5369 This is a work-around to prevent the compiler from using semantic
5370 knowledge about malloc for optimization purposes. E.g., gcc 5.2
5371 with -O2 replaces most of calloc's definition by a call to calloc;
5373 * src/gmalloc.c [!HYBRID_MALLOC] (malloc, realloc, calloc)
5374 (aligned_alloc, free): Do not undef. Instead, define these as
5375 functions (perhaps renamed to gmalloc etc.) in terms of gmalloc etc.
5377 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5379 Fix documentation of browse-url browser-related functions
5381 * lisp/net/browse-url.el (browse-url)
5382 (browse-url-default-browser, browse-url-default-windows-browser)
5383 (browse-url-default-macosx-browser, browse-url-chromium)
5384 (browse-url-kde, browse-url-text-xterm): Clarify the usage of ARGS
5385 and NEW-WINDOW arguments in these functions. (Bug#19421)
5387 2015-12-26 Paul Eggert <eggert@cs.ucla.edu>
5389 Propagate Bug#14412 fix to backtrace_eval_unrewind
5391 * src/eval.c (unbind_to): Redo so that the FALLTHROUGH!! comment
5392 becomes accurate again. This shouldn’t affect behavior.
5393 (backtrace_eval_unrewind): Apply the recent unbind_to fix here, too.
5395 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5397 Don't produce non-ASCII characters in *scratch*
5399 * lisp/startup.el (initial-scratch-message): Quote apostrophes to
5400 avoid producing non-ASCII characters in the *scratch* buffer's
5403 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5405 Document changes in 'compare-windows'
5407 * lisp/vc/compare-w.el (compare-windows-removed)
5408 (compare-windows-added): Doc fix.
5410 * doc/emacs/files.texi (Comparing Files): Document the changes in
5411 window selection by 'compare-windows'.
5413 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5415 Document 'vc-annotate-background-mode'
5417 * doc/emacs/maintaining.texi (Old Revisions): Document
5418 'vc-annotate-background-mode'.
5420 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5422 Document 'vc-region-history'
5424 * doc/emacs/maintaining.texi (VC Change Log): Document
5425 'vc-region-history'.
5427 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5429 Improve documentation of 'vc-push'
5431 * doc/emacs/maintaining.texi (Pulling / Pushing): Expand and
5432 improve the documentation of 'vc-push'.
5434 * lisp/vc/vc.el (vc-pull, vc-push): Doc fix.
5436 2015-12-26 Alain Schneble <a.s@realize.ch>
5438 Include the tests for the URL parsing fixes
5440 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5442 Document 'url-user-agent'.
5444 * lisp/url/url-http.el (url-user-agent): Move from here...
5445 * lisp/url/url-vars.el (url-user-agent): ...to here. This is to
5446 keep all the URL defcustoms in one place, and also have it defined
5447 whenever the URL library is loaded.
5449 * doc/misc/url.texi (Customization): Document 'url-user-agent'.
5451 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5453 Document protocols supported by URL library via Tramp
5455 * doc/misc/url.texi (Tramp): New node, describes the URL schemes
5456 supported via Tramp.
5457 (Supported URL Types, file/ftp, rlogin/telnet/tn3270): Mention
5460 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5462 Document changes in Shell-script mode
5464 * lisp/progmodes/sh-script.el (sh-mode, sh-set-shell): Document
5465 the 'sh-shell' file-local variable.
5466 (top level): Add an auto-load form to avoid byte-compiler warning
5467 about 'comint-send-string'.
5469 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5471 Fix documentation of 'ses-define-local-printer'
5473 * doc/misc/ses.texi (Printer functions): Fix whitespace between
5474 sentences and punctuation. Add an index entry for
5475 'ses-define-local-printer'.
5477 2015-12-26 Shakthi Kannan <shakthimaan@gmail.com>
5479 Document 'ert-summarize-tests-batch-and-exit'
5481 * doc/misc/ert.texi (Running Tests in Batch Mode): Document
5482 'ert-summarize-tests-batch-and-exit'.
5484 2015-12-26 Eli Zaretskii <eliz@gnu.org>
5486 Avoid assertion violation in unbind_to
5488 * src/eval.c (unbind_to) <SPECPDL_LET>: Avoid assertion violation
5489 if we get here with an object that is not a symbol. (Bug#14412)
5491 2015-12-25 Andreas Schwab <schwab@linux-m68k.org>
5493 Don't treat /foo/bar:mumble as ange-ftp address
5494 * lisp/net/browse-url.el (browse-url-filename-alist): Match colons
5495 only in the first component. (bug#5362)
5497 2015-12-25 Eli Zaretskii <eliz@gnu.org>
5499 Make sure *scratch* etc. use forward slashes in its default-directory
5501 * lisp/startup.el (normal-top-level): On MS-Windows, convert
5502 backslashes to forward slashes while decoding default-directory
5503 of the initially-created buffers.
5505 2015-12-25 Eli Zaretskii <eliz@gnu.org>
5507 Restore info about the build host in bug reports
5509 * lisp/mail/emacsbug.el (report-emacs-bug): Report the system on
5510 which Emacs was built. This is important information for
5511 investigating bug reports reported by users who don't build their
5514 2015-12-25 Eli Zaretskii <eliz@gnu.org>
5516 Fix bootstrap broken by changes related to OS X file-name encoding
5518 * lisp/international/ucs-normalize.el (eval-when-compile): Make
5519 sure char-code-property-alist includes elements that allow access
5520 to 'decomposition' and 'canonical-combining-class' Unicode
5521 properties, as compiling ucs-normalize.el requires that.
5522 * lisp/loadup.el (featurep 'ns): Load ucs-normalize and ns-win
5523 only of charprop.el was already loaded.
5525 * src/Makefile.in ($(lispsource)/international/ucs-normalize.elc):
5526 New order-only dependency.
5528 2015-12-25 Leo Liu <sdl.web@gmail.com>
5530 * lisp/ido.el (ido-add-virtual-buffers-to-list):
5531 Use bookmark-get-filename.
5533 2015-12-25 Michael Albinus <michael.albinus@gmx.de>
5535 Make tramp-test29-vc-registered more robust
5537 * test/automated/tramp-tests.el (tramp-test29-vc-registered):
5538 Move `bzr' case down. Skip test when `vc-create-repo' fails.
5539 Remove instrumentation.
5541 2015-12-24 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
5543 * lisp/term/x-win.el (x-gtk-stock-map): Fix typo.
5545 2015-12-23 Katsumi Yamaoka <yamaoka@jpl.org>
5547 Fix `gnus-union' so as to behave like `cl-union'
5549 * lisp/gnus/gnus-group.el (gnus-group-prepare-flat):
5550 Make gnus-union use `equal' to compare items in lists.
5552 * lisp/gnus/gnus-util.el (gnus-union):
5553 Make it behave like cl-union partially.
5555 2015-12-23 Paul Eggert <eggert@cs.ucla.edu>
5557 Fix dired.c typo with ptrdiff_t vs Lisp_Object
5559 * src/dired.c (file_name_completion): Don't assume Lisp_Object is
5560 an integer type, fixing a problem introduced in the recent fix for
5563 2015-12-23 Eli Zaretskii <eliz@gnu.org>
5565 Document default process sentinel more prominently
5567 * doc/lispref/processes.texi (Asynchronous Processes): Mention the
5568 defaults for process filter and sentinel. Provide cross-references.
5569 (Process Information): Provide cross-references to where filters
5570 and sentinels are described.
5571 (Filter Functions): Add an index entry for "default filter".
5572 (Sentinels): Add a few status messages not documented previously.
5573 Resolve the "killed" confusion. Document and describe the default
5574 sentinel. (Bug#22220)
5576 2015-12-23 Eli Zaretskii <eliz@gnu.org>
5578 Fix file-name completion on OS X
5580 * src/dired.c (file_name_completion): Reject false matches due to
5581 file-name-coding-systems that decompose characters when encoding
5582 file names, by comparing decoded file names as well. (Bug#22169)
5583 (syms_of_dired) <Qdecomposed_characters>: New DEFSYM.
5585 * lisp/international/ucs-normalize.el (utf-8-hfs): Give it a
5586 non-nil 'decomposed-characters' property.
5588 2015-12-23 Anders Lindgren <andlind@gmail.com>
5590 File-name completion of non-ASCII characters on OS X (bug#22169)
5592 The coding system `utf-8-nfd', locally defined in ns-win.el,
5593 didn't provide a :pre-write-conversion method, causing file name
5594 completion of non-ASCII characters to fail. Solved by using the
5595 `utf-8-hfs' coding system provided by `ucs-normalize'.
5597 * lisp/loadup.el: Load international/ucs-normalize (when building
5600 * lisp/term/ns-win.el (utf-8-nfd): Made `utf-8-nfd' as alias for
5601 `utf-8-hfs' and removed the old implementation. Set `utf-8-hfs'
5602 as the file name coding system.
5604 * src/nsfns.m (ns-convert-utf8-nfd-to-nfc): Removed.
5606 2015-12-22 Tom Tromey <tom@tromey.com>
5608 Fix bug #18588 by making bug-reference-bug-regexp more lenient
5610 * lisp/progmodes/bug-reference.el (bug-reference-bug-regexp): Accept
5611 "bug NNNN". (Bug #18588)
5613 2015-12-22 Tom Tromey <tom@tromey.com>
5615 add some cl-* aliases to lisp-mode imenu
5617 * (lisp-imenu-generic-expression): Add cl-define-compiler-macro,
5618 cl-defgeneric, and cl-defmethod.
5620 2015-12-22 Tom Tromey <tom@tromey.com>
5622 Make a variable buffer-local
5624 * lisp/generic-x.el (generic-rul-mode-setup-function): Make
5625 font-lock-syntax-table buffer-local. (Bug #21627)
5627 2015-12-22 Eli Zaretskii <eliz@gnu.org>
5629 Fix decoding of text in URLs retrieved by EWW
5631 * lisp/net/eww.el (eww-render): Pass 'charset' to
5632 'eww-display-raw'. Use the value of 'last-coding-system-used', if
5633 non-nil, to set 'buffer-file-coding-system' of the buffer where we
5635 (eww-display-html, eww-display-raw): Decode the text correctly,
5636 using the charset found in the headers, and defaulting to UTF-8.
5637 If the user told us to use a specific encoding, override the
5638 charset from the headers. (Bug#22222)
5640 2015-12-22 Alan Mackenzie <acm@muc.de>
5642 Fix a coding error in c-forward-<>-arglist-recur. Fixes bug#22156
5644 * lisp/progmodes/cc-engine.el (c-forward-<>-arglist-recur): Remove unused
5646 After a failed search for a matching ">", restore point before continuing.
5648 2015-12-22 Michael Albinus <michael.albinus@gmx.de>
5650 Instrument Tramp tests
5652 * test/automated/tramp-tests.el (tramp-test29-vc-registered)
5653 (tramp--test-utf8): Instrument tests.
5655 2015-12-22 Martin Rudalics <rudalics@gmx.at>
5657 Fix `display-buffer' call in `display-message-or-buffer' (Bug#22221)
5659 * lisp/simple.el (display-message-or-buffer): Call
5660 `display-buffer' with ACTION instead of NOT-THIS-WINDOW
5663 2015-12-21 Juri Linkov <juri@linkov.net>
5665 * lisp/saveplace.el (toggle-save-place, save-place-to-alist)
5667 (save-places-to-alist, save-place-dired-hook):
5668 Check for dired-subdir-alist. (Bug#19851)
5670 2015-12-21 Paul Eggert <eggert@cs.ucla.edu>
5672 Add FIXME comment re stack overflow and modules
5674 2015-12-20 Paul Eggert <eggert@cs.ucla.edu>
5676 Revert some recent emacs-module commentary
5678 Most of the recently-added commentary was incorrect, due to the
5679 possibility of stack overflow.
5681 2015-12-20 Paul Eggert <eggert@cs.ucla.edu>
5683 Spelling fix: prefer "cooperate" to "co-operate"
5685 2015-12-20 Paul Eggert <eggert@cs.ucla.edu>
5687 Port undo fixes to -fno-common
5689 Port recent fix for Bug#21968 to platforms like 'gcc -fno-common'.
5690 * src/keyboard.c, src/keyboard.h (point_before_last_command_or_undo)
5691 (buffer_before_last_command_or_undo):
5692 Declare in keyboard.h, and define in keyboard.c,
5693 instead of assuming the traditional Unix relaxed ref-def linkage.
5695 2015-12-20 Philipp Stephani <phst@google.com>
5697 Improve commentary for emacs-module.c
5699 * src/lisp.h: Document emacs-module.c assumptions about EQ and NILP.
5700 * src/emacs-module.c (module_non_local_exit_get): Document that we
5701 cannot use the current implementation.
5702 (module_is_not_nil, module_eq): Document assumptions about EQ and
5705 2015-12-20 Michael Albinus <michael.albinus@gmx.de>
5707 Suppress test on Mac OS X
5709 * test/automated/tramp-tests.el (tramp--test-darwin-p): New defun.
5710 (tramp--test-utf8): Use it.
5712 2015-12-20 Alan Mackenzie <acm@muc.de>
5714 Merge branch 'scratch/follow' into emacs-25
5716 This allows Isearch, etc., to work well when Follow Mode is active.
5718 2015-12-19 Michael Albinus <michael.albinus@gmx.de>
5720 * lisp/net/tramp-sh.el (tramp-get-ls-command-with-w-option):
5723 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5727 * doc/emacs/rmail.texi (Rmail Deletion): Document new behavior of 'u'
5728 with numeric argument.
5730 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5732 Document new features of Rmail
5734 * doc/emacs/rmail.texi (Rmail Summary Edit, Rmail Deletion):
5735 Document new behavior of 'd' and 'C-d' with numeric argument.
5736 (Rmail Display): Document the rendering of HTML MIME parts.
5738 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5740 Improve documentation of new cl-lib functions
5742 * doc/misc/cl.texi (Predicates on Numbers, Numerical Functions):
5745 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5747 Document the new feature of 'minibuffer-with-setup-hook'
5749 * lisp/files.el (minibuffer-with-setup-hook): Clarify how FUN is
5750 added to `minibuffer-setup-hook'.
5752 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5754 Document new features of Font Lock
5756 * doc/lispref/modes.texi (Other Font Lock Variables): Document
5757 'font-lock-flush-function' and 'font-lock-ensure-function'.
5758 (Font Lock Basics): Document the basic fontification functions
5759 referenced in "Other Font Lock Variables".
5761 * lisp/font-lock.el (font-lock-flush, font-lock-ensure): Doc fix.
5763 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5765 Document new features of Rectangle mode
5767 * doc/emacs/killing.texi (Rectangles): Document "C-x C-x" in
5768 rectangle-mark-mode.
5770 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5772 Manual followup to last change
5774 * doc/lispref/display.texi (Displaying Messages): Sync with the
5775 doc string. (Bug#22210)
5777 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5779 Clarify doc string of 'display-message-or-buffer'
5781 * lisp/simple.el (display-message-or-buffer): Doc fix. Suggested
5782 by Sebastian Wiesner <swiesner@lunaryorn.com>. (Bug#22210)
5784 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5786 * doc/emacs/emacs.texi (Top): Update top-level menus.
5788 * doc/lispref/elisp.texi (Top): Update top-level menus.
5790 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5792 Document how to avoid file-local variables that aren't
5794 * doc/emacs/custom.texi (Specifying File Variables): Describe how
5795 to prevent Emacs from interpreting unrelated text as file-local
5796 variables. (Bug#22166)
5798 2015-12-19 Dave Thomas <dave@pragprog.org> (tiny change)
5800 Fix a typo in eterm-color's termcap entry
5802 * lisp/term.el (term-termcap-format): Fix a typo in the "ue="
5805 2015-12-19 Eli Zaretskii <eliz@gnu.org>
5807 Allow 'browse-url-emacs' visit non-existent URLs
5809 * lisp/url/url-handlers.el (url-insert-file-contents): Don't
5810 signal an error if VISIT is non-nil, to more faithfully emulate
5811 the behavior of 'insert-file-contents'. (Bug#22160)
5813 2015-12-18 Paul Eggert <eggert@cs.ucla.edu>
5815 Remove SunOS 4.x cruft
5817 Support for SunOS 4.x was removed in Emacs 23 but some cruft was left behind.
5818 * lib-src/pop.c [sun]: Remove no-longer-needed include.
5819 * lwlib/xlwmenu.c (SUNSO41): Remove.
5821 2015-12-18 Paul Eggert <eggert@cs.ucla.edu>
5825 This mostly commentary fixes.
5826 * doc/misc/texinfo.tex, lib/intprops.h: Copy from gnulib.
5827 * lib/gnulib.mk: Regenerate with new gnulib-tool.
5829 2015-12-18 Michael Albinus <michael.albinus@gmx.de>
5831 Minor fixes in Tramp
5833 * lisp/net/tramp-sh.el (tramp-do-file-attributes-with-ls):
5834 Reorder ls arguments.
5836 * lisp/net/tramp.el (tramp-dissect-file-name): Fix docstring.
5838 2015-12-18 Michael Albinus <michael.albinus@gmx.de>
5840 Make tramp a built-in package
5842 * lisp/finder.el (finder-compile-keywords): Update
5843 `package--builtins' also when Version: keyword is available.
5845 * lisp/net/trampver.el: Add Version: keyword.
5846 (tramp-version): Change it to "2.2.13.25.1", in order to be
5847 compatible with `version-to-list'.
5849 2015-12-18 Lele Gaifax <lele@metapensiero.it>
5851 * etc/tutorials/TUTORIAL.it: Update and fix typos. (Bug#22187)
5853 2015-12-18 Alan Mackenzie <acm@muc.de>
5855 Rename `recenter-group' to `recenter-window-group'
5857 * doc/lispref/windows.texi (Textual Scrolling)
5858 * lisp/window.el (top level, recenter-group)
5859 * lisp/follow.el (follow-mode)
5860 * lisp/isearch.el (isearch-back-into-window): Rename `recenter-group' to
5861 `recenter-window-group' and `recenter-group-function' to
5862 `recenter-window-group-function'.
5864 2015-12-18 Eli Zaretskii <eliz@gnu.org>
5866 Fix vertical-motion in tabulated-list mode
5868 * src/indent.c (Fvertical_motion): When moving from line beginning
5869 to point under line truncation, assume overshoot by one line only
5870 if point actually lies beyond the window's right margin.
5873 2015-12-18 Martin Rudalics <rudalics@gmx.at>
5875 Don't have help functions call x-display-pixel-width/-height on ttys
5877 * lisp/help.el (temp-buffer-max-height, temp-buffer-max-width):
5878 Don't call x-display-pixel-width/-height on ttys.
5880 2015-12-17 Dmitry Gutov <dgutov@yandex.ru>
5882 Use 'hg id' in vc-hg-previous-revision
5884 * lisp/vc/vc-hg.el (vc-hg-previous-revision):
5885 Use 'hg id' to retrieve it (bug#22032).
5887 2015-12-17 Alan Mackenzie <acm@muc.de>
5889 * lisp/follow.el (follow-sit-for): Remove (it's redundant).
5891 2015-12-17 Eli Zaretskii <eliz@gnu.org>
5893 Fix a typo in the Emacs manual
5895 * doc/emacs/trouble.texi (Sending Patches): Fix a typo. Reported
5896 by Lele Gaifax <lele@metapensiero.it>. (Bug#22193)
5898 2015-12-17 Eli Zaretskii <eliz@gnu.org>
5900 Fix parsing netrc entries with ports
5902 * lisp/gnus/auth-source.el (auth-source-ensure-strings): Don't
5903 make a list out of 't'. (Bug#22188)
5905 * test/automated/auth-source-tests.el
5906 (auth-source-test-netrc-parse-entry): New test.
5908 2015-12-17 Paul Eggert <eggert@cs.ucla.edu>
5910 Fix typo in Doug Lea malloc configure log
5912 * configure.ac (emacs_cv_var_doug_lea_malloc):
5913 Fix typo that confused the log output of 'configure'.
5915 2015-12-16 Nicolas Petton <nicolas@petton.fr>
5917 * etc/NEWS: Mention the new pcase patterns `seq' and `map'.
5919 2015-12-16 Alan Mackenzie <acm@muc.de>
5921 * etc/NEWS: Move entry on pcase to correct section
5923 (Accidentally omitted from previous commit)
5925 2015-12-16 Alan Mackenzie <acm@muc.de>
5927 Add documentation for changes to Show Paren mode.
5929 * lisp/paren.el (show-paren-highlight-openparen): Enhance doc string.
5931 * doc/emacs/programs.texi (Matching): Add descriptions of some pertinent user
5932 options, including the new show-paren-when-point-inside-paren and
5933 show-paren-when-point-in-periphery.
5935 * etc/NEWS (.. Specialized Modes ...): Add an entry for Show Paren mode.
5936 Move an entry on pcase to the Lisp Changes section.
5938 2015-12-16 Eli Zaretskii <eliz@gnu.org>
5940 Document Eldoc changes
5942 * doc/emacs/programs.texi (Lisp Doc): Document Global Eldoc mode.
5944 2015-12-16 Eli Zaretskii <eliz@gnu.org>
5946 Fix invocation of Python and Guile interpreters from gdb-mi
5948 * lisp/progmodes/gdb-mi.el (gdb-control-commands-regexp): Add
5949 commands for interactive Python and Guile interpreters.
5950 (gdb-send): Recognize various ways of exiting from Python and
5951 Guile interpreters and returning to GDB. For details, see
5952 http://lists.gnu.org/archive/html/emacs-devel/2015-12/msg00693.html
5953 and http://stackoverflow.com/questions/31514741.
5955 2015-12-16 Paul Eggert <eggert@cs.ucla.edu>
5957 Remove attempt to use C11 threads
5959 C11 threads are not needed for Emacs now, and their use is causing
5960 hassles on FreeBSD 10.x. Problem reported by Ashish SHUKLA in:
5961 http://lists.gnu.org/archive/html/emacs-devel/2015-12/msg00648.html
5962 * configure.ac: Do not check for C11 threads. Remove unnecessary
5963 fiddling with CPPFLAGS when configuring pthreads.
5964 * src/emacs-module.c (main_thread, check_main_thread)
5965 (module_init): Do not worry about C11 threads.
5967 2015-12-15 Michael Albinus <michael.albinus@gmx.de>
5969 Set utf8 encoding with stty in Tramp
5971 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5972 Move up uname check. Handle Mac OS X eol encoding. Set utf8
5975 2015-12-15 Alan Mackenzie <acm@muc.de>
5977 Tidy up documentation associated with window groups.
5979 * doc/lispref/windows.texi (Basic Windows): Add an @anchor for "Window
5980 Groups". Correct example function to `window-group-start'.
5981 (Window Start and End, Textual scrolling): Point to the new anchor. State
5982 that (most of) the args in window group functions have the same meaning as for
5983 the corresponding window primitives.
5985 * doc/lispref/positions.texi (Screen Lines). Same as above.
5987 2015-12-15 Michael Albinus <michael.albinus@gmx.de>
5989 Complete last commit
5991 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5992 Move uname check up. Handle Mac OS X eol encoding.
5994 2015-12-15 Michael Albinus <michael.albinus@gmx.de>
5996 Handle Mac OS X eol encoding in Tramp
5998 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5999 Handle Mac OS X eol encoding.
6001 2015-12-15 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
6003 Fix variable name typo in compute_tip_xy
6005 * src/w32fns.c (compute_tip_xy):
6006 * src/xfns.c (compute_tip_xy): Modify *root_x instead of *root_y
6007 when `right' is integer.
6009 2015-12-14 foudfou <foudil.newbie+git@gmail.com> (tiny change)
6011 * lisp/ibuffer.el: Add ability to (un-)mark or delete buffers in the region.
6013 2015-12-14 Tassilo Horn <tsdh@gnu.org>
6015 Revert "Fix rx matcher overflow without limiting"
6017 This reverts commit fe27e037663d36be3e5741c2ce86ab4ee8017db1.
6019 2015-12-14 Alan Mackenzie <acm@muc.de>
6021 Ispell: Bind isearch-regexp-function to nil around call to isearch..-new-loop
6023 * lisp/textmodes/ispell.el (ispell-highlight-spelling-error-overlay): bind
6024 isearch-regexp-function to nil around call to isearch-lazy-highligh-new-loop.
6026 2015-12-14 Tassilo Horn <tsdh@gnu.org>
6028 Fix rx matcher overflow without limiting
6030 * lisp/textmodes/reftex-vars.el (reftex-label-regexps): Improve last
6031 change to the regexp without imposing a limit on the length of the
6034 2015-12-14 Alan Mackenzie <acm@muc.de>
6036 Enhance ispell-skip-region-alist by generating part of it at runtime.
6038 * lisp/textmodes/ispell.el (ispell--\\w-filter, ispell--make-\\w-expression)
6039 (ispell--make-filename-or-URL-re): New functions which generate a regexp.
6040 (ispell-skip-region-alist): Remove the bit that matches a filename/URL, etc.
6041 (ispell-begin-skip-region-regexp, ispell-skip-region-list, ispell-message):
6042 Include the result of ispell--make-filename-or-URL-re in regexps.
6044 2015-12-14 Glenn Morris <rgm@gnu.org>
6046 * build-aux/gitlog-to-emacslog: Ignore more pointless merge commits.
6048 2015-12-14 Alan Mackenzie <acm@muc.de>
6050 Replace GROUP argument in six window primitives by new functions.
6052 * doc/lispref/windows.texi (Window Start and End, Textual Scrolling)
6053 * doc/lispref/positions.texi (Screen Lines): Remove optional GROUP argument
6054 from description of six window functions. Add in description of new functions
6055 window-group-start, window-group-end, set-window-group-start,
6056 pos-visible-in-window-group-p, recenter-group and move-to-window-group-line,
6057 together with the six variables indirecting to the pertinent group
6061 * src/keyboard.c: Revert the commit from 2015-11-11 12:02:48, in so far as it
6062 applies to these two files, which added the GROUP argument to six window
6065 * lisp/follow.el (follow-mode): Use updated variable names for the indirected
6068 * lisp/isearch.el (isearch-update, isearch-done, isearch-string-out-of-window)
6069 (isearch-back-into-window, isearch-lazy-highlight-new-loop)
6070 (isearch-lazy-highlight-search, isearch-lazy-highlight-update): Replace calls
6071 to window primitives (e.g. window-start) with a GROUP argument by calls to
6072 new functions (e.g. window-group-start).
6074 * lisp/ispell.el (ispell-command-loop): Replace call to
6075 pos-visible-in-window-p with pos-visible-in-window-group-p.
6077 * lisp/window.el (window-group-start, window-group-end)
6078 (set-window-group-start, recenter-group, pos-visible-in-window-group-p)
6079 (selected-window-group, move-to-window-group-line): New functions.
6080 (window-group-start-function, window-group-end-function)
6081 (set-window-group-start-function, recenter-group-function)
6082 (pos-visible-in-window-group-p-function, selected-window-group-function)
6083 (move-to-window-group-line-function): New variables.
6085 2015-12-14 Vitorio Miguel <vdrbandeiras@gmail.com> (tiny change)
6087 * etc/tutorials/TUTORIAL.pt_BR: Fix a typo. (Bug#22165)
6089 2015-12-13 Eli Zaretskii <eliz@gnu.org>
6091 Merge branch 'emacs-25' of git.savannah.gnu.org:/srv/git/emacs into emacs-25
6093 2015-12-13 Tassilo Horn <tsdh@gnu.org>
6095 Improve regex to not trigger stack overflow
6097 * lisp/textmodes/reftex-vars.el (reftex-label-regexps): Improve regex in
6098 order not to trigger a stack overflow in regex matcher with unbalanced
6099 brackets (bug#22146).
6101 2015-12-13 Eli Zaretskii <eliz@gnu.org>
6103 Fix visiting files with raw-text
6105 * src/fileio.c (Finsert_file_contents): Fix setting buffer unibyte
6106 when some stuff was actually read. (Bug#22162)
6108 2015-12-13 Tassilo Horn <tsdh@gnu.org>
6110 Fix regex matching keyval labels
6112 * lisp/textmodes/reftex-vars.el (reftex-label-regexps): Fix regexp
6113 matching keyval labels.
6115 2015-12-13 Michael Albinus <michael.albinus@gmx.de>
6117 * lisp/ido.el (ido-file-name-all-completions-1): Do not raise an error
6119 ... in case of Tramp. (Bug#20821)
6121 2015-12-12 Paul Eggert <eggert@cs.ucla.edu>
6123 Fix performance regression with gcc -O0
6125 This fixes the smaller performance hit that I noted in:
6126 https://lists.gnu.org/archive/html/emacs-devel/2015-12/msg00357.html
6127 * src/alloc.c (macro_XPNTR_OR_SYMBOL_OFFSET, macro_XPNTR):
6128 * src/puresize.h (puresize_h_PURE_P)
6129 (puresize_h_CHECK_IMPURE):
6130 New macros, with the old contents of the functions.
6131 * src/alloc.c (XPNTR_OR_SYMBOL_OFFSET, XPNTR):
6132 * src/puresize.h (PURE_P, CHECK_IMPURE):
6133 Use the new macros. Also macros, if DEFINE_KEY_OPS_AS_MACROS.
6134 * src/conf_post.h (ATTRIBUTE_UNUSED):
6135 * src/lisp.h (DEFINE_KEY_OPS_AS_MACROS): New macros.
6137 2015-12-12 Artur Malabarba <bruce.connor.am@gmail.com>
6139 * lisp/emacs-lisp/package.el (package-unpack): Security check
6141 Check that we received the package we were offered.
6143 2015-12-12 Artur Malabarba <bruce.connor.am@gmail.com>
6145 * lisp/emacs-lisp/package.el (package--compile): Don't activate
6147 `package-unpack' takes care of all activations now (other than
6148 `package-initialize). `package--compile' now only compiles.
6150 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6152 Document the new bindings of <UP> and <DOWN> in the minibuffer
6154 * doc/emacs/mini.texi (Minibuffer History): Describe the new
6155 bindings of <UP> and <DOWN> in the minibuffer.
6157 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6159 Document new features of Ido
6161 * doc/misc/ido.texi (Misc): Document 'C-S-b'.
6163 2015-12-12 Martin Rudalics <rudalics@gmx.at>
6165 Fix frame height calculations with added menu bar on Windows (Bug#22105)
6167 * doc/lispref/frames.texi (Parameter Access): Mention pitfalls
6168 when simultaneously specifying multiple parameters for
6169 `modify-frame-parameters' that all may change the frame's size.
6170 * src/w32fns.c (x_set_menu_bar_lines): Don't set
6171 windows_or_buffers_changed here.
6172 (my_create_tip_window, Fx_show_tip): Call AdjustWindowRect
6173 with third argument false.
6174 * src/w32menu.c (set_frame_menubar): Set
6175 windows_or_buffers_changed here.
6176 * src/w32term.c (x_set_window_size): Determine third argument of
6177 AdjustWindowRect from whether the frame has a menu bar and not
6178 from whether it wants one.
6180 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6182 Document the change in interactive shell mode
6184 * doc/emacs/misc.texi (Interactive Shell): Document that the
6185 '*shell*' buffer by default displays in a new window.
6187 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6189 Document new features of package.el
6191 * doc/emacs/package.texi (Package Menu): Document the 'external'
6192 status and the new menu commands.
6193 (Package Installation): Document archive priorities.
6195 * lisp/emacs-lisp/package.el (package-archive-priorities): Doc fix.
6196 (package-menu-hide-low-priority): Doc fix.
6198 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6200 Update and document new features of xterm support
6202 * doc/emacs/frames.texi (Text-Only Mouse): Document that
6203 track-mouse is supported by newer xterm versions.
6205 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6207 Document new features of Prettify Mode
6209 * doc/emacs/programs.texi (Misc for Programs): Document
6210 'prettify-symbols-compose-predicate' and
6211 'prettify-symbols-unprettify-at-point'.
6213 * lisp/progmodes/prog-mode.el (prettify-symbols-alist)
6214 (prettify-symbols-default-compose-p)
6215 (prettify-symbols-compose-predicate)
6216 (prettify-symbols--compose-symbol): Doc fixes.
6218 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6220 Document multi-mode indentation facilities
6222 * doc/lispref/text.texi (Mode-Specific Indent): Document
6223 'prog-indentation-context', 'prog-first-column', and 'prog-widen'.
6225 * lisp/progmodes/prog-mode.el (prog-indentation-context)
6226 (prog-widen): Doc fixes.
6228 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6230 Document 'vc-refresh-state'
6232 * doc/emacs/maintaining.texi (Version Control): Document
6235 * lisp/vc/vc-hooks.el (vc-refresh-state): Doc fix.
6237 2015-12-12 Eli Zaretskii <eliz@gnu.org>
6241 * src/keyboard.c (command_loop_1): Undo last change. It caused
6242 duplicate echo of C-u. (Bug#22107)
6244 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6246 Avoid errors when creating files under SVN in new directory
6248 * lisp/vc/vc-svn.el (vc-svn-registered): Use
6249 file-accessible-directory-p, to avoid cd'ing to a non-existing
6250 directory, which signals an error on some systems. (Bug#21984)
6251 (vc-svn-checkin): Call log-edit-extract-headers with 2 arguments.
6252 Use declare-function to avoid byte-compiler warnings.
6254 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6256 Improve Lua support in etags
6258 * lib-src/etags.c (Lua_functions): Skip spaces before looking for
6261 * etc/NEWS: Mention improved Lua support by 'etags'.
6263 * test/etags/lua-src/test.lua (test): Add tests for indented
6264 function definitions.
6265 * test/etags/ETAGS.good_1:
6266 * test/etags/ETAGS.good_2:
6267 * test/etags/ETAGS.good_3:
6268 * test/etags/ETAGS.good_4:
6269 * test/etags/ETAGS.good_5:
6270 * test/etags/ETAGS.good_6:
6271 * test/etags/CTAGS.good: Adapt to the modified Lua tests.
6273 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6275 Fix 'this-command-keys' wrt prefix argument
6277 * src/keyboard.c (command_loop_1): Restore the feature whereby C-u
6278 was part of this-command-keys, but not of this-single-command-keys.
6281 * lisp/simple.el (internal-echo-keystrokes-prefix): Add
6282 commentary about the function's return value.
6284 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6286 * lisp/files.el (load-library): Doc fix. (Bug#22140)
6288 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6290 Improve and document Ruby support in 'etags'
6292 * lib-src/etags.c (Ruby_suffixes): Add ".ruby".
6293 (Ruby_functions): Support "module" and overloaded operators.
6294 (Ruby_help): Mention "module".
6296 * test/etags/ruby-src/test.rb:
6297 * test/etags/ruby-src/test1.ruby: New files.
6298 * test/etags/Makefile (RBSRC): New tests.
6299 (SRCS): Add ${RBSRC}.
6300 * test/etags/ETAGS.good_1:
6301 * test/etags/ETAGS.good_2:
6302 * test/etags/ETAGS.good_3:
6303 * test/etags/ETAGS.good_4:
6304 * test/etags/ETAGS.good_5:
6305 * test/etags/ETAGS.good_6:
6306 * test/etags/CTAGS.good: Adapt to the new Ruby tests.
6308 * doc/man/etags.1: Mention Ruby support.
6309 * etc/NEWS: Mention Ruby support.
6311 2015-12-11 Xi Lu <lx@shellcodes.org>
6313 Initial support for Ruby in 'etags'
6315 * lib-src/etags.c <Ruby_suffixes>: New variable.
6316 (lang_names): Add an entry for Ruby.
6317 (Ruby_functions): New function. (Bug#22116)
6319 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6321 Clarify documentation of 'modify-frame-parameters'
6323 * doc/lispref/frames.texi (Parameter Access): Clarify what "ignored
6324 PARMs" mean for 'modify-frame-parameters'.
6326 * src/frame.c (Fmodify_frame_parameters): Clarify what "ignored
6327 PARMs" mean for this function. (Bug#22104)
6329 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6331 Fix setting buffer unibyte when reading from a device
6333 * src/fileio.c (Finsert_file_contents): Call Fset_buffer_multibyte
6334 to make a (possibly non-empty) buffer unibyte. (Bug#22096)
6336 2015-12-11 Eli Zaretskii <eliz@gnu.org>
6338 Clarify documentation of 'values'
6340 * doc/lispref/eval.texi (Eval): Clarify that 'values' are not
6341 updated by any evaluation commands in 'lisp-interaction-mode'.
6344 2015-12-11 Anders Lindgren <andlind@gmail.com>
6346 Fixed subversion vc error when opening file in new directory (bug#21984).
6348 * lisp/vc/vc-svn.el (vc-svn-registered): Check if directory exists.
6350 2015-12-09 Eli Zaretskii <eliz@gnu.org>
6352 Yet another fix for when point ends up in invisible text
6354 * src/xdisp.c (redisplay_window): When someone forced
6355 window-start, and honoring that failed to show the cursor, try
6356 moving out of invisible text, before falling back to the middle of
6357 the window. (Bug#22098)
6359 2015-12-09 Michael Albinus <michael.albinus@gmx.de>
6361 Fix error in Tramp perl script for cygwin
6363 * lisp/net/tramp-sh.el (tramp-perl-file-truename): Do not raise an
6364 error if file doesn't exist.
6366 2015-12-09 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
6368 Remove font workaround for limited outdated versions
6370 * src/macfont.m (mac_font_descriptor_get_adjusted_weight): Remove
6371 workaround for HiraginoSans-W7 on OS X 10.11 and 10.11.1.
6373 2015-12-09 Anders Lindgren <andlind@gmail.com>
6375 Don't add "." to load path (bug#21104)
6377 When configured with --enable-locallisppath=no, which is the
6378 default for OS X, the load-path incorrectly was populated with ".".
6380 * src/lread.c (init_lread): Don't call `decode_env_path' when
6381 PATH_SITELOADSEARCH is empty.
6383 2015-12-08 Artur Malabarba <bruce.connor.am@gmail.com>
6385 * lisp/emacs-lisp/package.el (package--with-response-buffer):
6387 Search for the blank-line in the right buffer.
6389 2015-12-08 Glenn Morris <rgm@gnu.org>
6391 * test/automated/simple-test.el (undo-auto-boundary-timer): Update
6394 2015-12-08 Glenn Morris <rgm@gnu.org>
6396 Fix some display-warning usage.
6398 * lisp/files.el (hack-local-variables, hack-dir-local-variables):
6399 * lisp/calendar/diary-lib.el (diary-include-files, diary-sexp-entry):
6400 * lisp/calendar/holidays.el (calendar-holiday-list):
6401 * lisp/mail/rmailout.el (rmail-output-read-file-name):
6402 Fix display-warning usage.
6404 2015-12-07 Glenn Morris <rgm@gnu.org>
6406 * lisp/calendar/cal-html.el: Require diary-lib.
6408 (cal-html-list-diary-entries): Handle no diary. (Bug#21994)
6410 2015-12-07 Thomas Fitzsimmons <fitzsim@fitzsim.org>
6412 Add Obsolete-since header to eudcb-ph.el
6414 * eudcb-ph.el: Add Obsolete-since header.
6416 2015-12-07 Paul Eggert <eggert@cs.ucla.edu>
6420 * doc/misc/calc.texi (Predefined Units): Use the bland modern
6421 scientific style for spelling the units “ampere” and
6422 “angstrom” rather than the older style “Ampere” and
6423 “Ångstrom”. The latter spelling was wrong anyway (it should
6424 have been “Ångström”).
6425 * lisp/emacs-lisp/ert.el (ert--explain-equal-rec):
6426 Fix misspelling of ‘atom’ in code.
6428 2015-12-07 Eli Zaretskii <eliz@gnu.org>
6430 Improve documentation of kill commands
6432 * lisp/simple.el (region-extract-function, delete-backward-char)
6433 (delete-forward-char, kill-region, copy-region-as-kill)
6434 (kill-ring-save): Better document the optional argument REGION in
6435 the doc strings. Mention in the doc strings that text put in the
6436 kill-ring can be filtered by 'filter-buffer-substring'.
6438 * doc/lispref/text.texi (Kill Functions): Mention that functions
6439 described in this subsection can filter text they put in the
6440 kill-ring. Add a cross-reference to "Buffer Contents" and an
6441 index entry. Document the optional argument 'region' and its
6445 2015-12-07 Alan Mackenzie <acm@muc.de>
6447 Further progress making Isearch, Ispell, Replace work with Follow Mode.
6449 * lisp/follow.el: (follow-mode): Remove references to sit*-for-function, which
6450 no longer exists. Add follow-post-command-hook to three special purpose
6451 hooks at setup, and remove them at tear down.
6453 * lisp/isearch.el: (isearch-update): invoke isearch-update-post-hook before
6454 isearch-lazy-highlight-new-loop.
6455 (isearch-lazy-highlight-new-loop): Restore this function to what it previously
6456 was, merging the functionality of isearch-lazy-highlight-maybe-new-loop into
6458 (isearch-lazy-highlight-maybe-new-loop): function removed.
6460 * lisp/replace.el: (replace-update-post-hook): New hook variable.
6461 (perform-replace): Add second (nil) argument to looking-back. Invoke
6462 replace-update-post-hook before calling replace-highlight.
6464 * lisp/textmodes/ispell.el: (ispell-update-post-hook): New hook variable.
6465 (ispell-command-loop): invoke ispell-update-post-hook. Add GROUP argument to
6466 call of pos-visible-in-window-p.
6467 (ispell-display-buffer): Place *Choices* window at the top of the last window
6470 2015-12-07 Alan Mackenzie <acm@muc.de>
6472 Amend doc of `mapconcat': it can take sequences, not merely strings.
6474 * doc/lispref/functions.texi (Mapping Functions): Amend the doc of `mapconcat'
6475 to say that SEPARATOR and the results from FUNCTION may be any character
6476 sequences, not just strings. Add an @xref to "Sequences Arrays Vectors".
6478 2015-12-07 Michael Albinus <michael.albinus@gmx.de>
6480 Fix an utf8 problem for Tramp on BSD
6482 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
6483 Make lax check for utf8.
6484 (tramp-get-remote-locale): Add "en_US.UTF-8" as candidate.
6486 2015-12-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
6488 Make eudcb-ph.el obsolete
6490 * doc/misc/eudc.texi: Bump version to 1.40.0.
6491 Remove PH/QI sections and mentions.
6492 * lisp/obsolete/eudcb-ph.el: Make obsolete.
6493 * lisp/net/eudc-vars.el (eudc-known-protocols): Remove ph.
6494 (eudc-ph-bbdb-conversion-alist): Make obsolete.
6495 * etc/NEWS: Mention this. (Bug#21191)
6497 2015-12-06 Paul Eggert <eggert@cs.ucla.edu>
6499 Remove overenthusiastic eassert
6501 * src/lisp.h (XSYMBOL): Remove eassert incorrectly added in
6502 previous change. It breaks on MS-Windows --with-wide-int.
6503 Problem reported by Eli Zaretskii in:
6504 http://lists.gnu.org/archive/html/emacs-devel/2015-12/msg00275.html
6506 2015-12-06 Paul Eggert <eggert@cs.ucla.edu>
6508 Pacify gcc -Wparentheses
6510 * src/xdisp.c (row_containing_pos): Reparenthesize.
6512 2015-12-06 Paul Eggert <eggert@cs.ucla.edu>
6514 Port mod-test to 32-bit Emacs --without-wide-int
6516 * modules/mod-test/test.el (mod-test-sum-test):
6517 Bring back the 2**29 tests, but port them to 32-bit Emacs
6520 2015-12-06 Michael Albinus <michael.albinus@gmx.de>
6522 Fix minor Tramp problems found on BSD
6524 * lisp/net/tramp-sh.el (tramp-perl-file-truename): Do not append
6525 trailing slash. Quote apostrophes.
6526 (tramp-sh-handle-file-truename): Do not append trailing slash in
6528 (tramp-get-ls-command-with-w-option): New defun.
6529 (tramp-do-file-attributes-with-ls)
6530 (tramp-do-directory-files-and-attributes-with-stat): Use it.
6532 * test/automated/tramp-tests.el
6533 (tramp-test31-special-characters-with-perl)
6534 (tramp-test31-special-characters-with-ls)
6535 (tramp-test32-utf8-with-perl, tramp-test32-utf8-with-ls):
6536 Suppress also readlink.
6538 2015-12-06 Eli Zaretskii <eliz@gnu.org>
6540 Fix cursor display when invisible text is at line beginning
6542 * src/xdisp.c (redisplay_window): When scrolling fails to show
6543 point, prefer using the desired matrix if possible for finding the
6544 fallback glyph row for displaying the cursor. (Bug#22098)
6545 (row_containing_pos): Exit the loop as soon as we hit the first
6546 disabled glyph row. Otherwise we risk accessing garbled data and
6547 departing to the no-no land.
6549 2015-12-06 Paul Eggert <eggert@cs.ucla.edu>
6551 Improve module interface when WIDE_EMACS_INT
6553 * src/emacs-module.c (plain_values): New constant.
6554 (module_nil): Now a constant.
6555 (Finternal_module_call, value_to_lisp_bits, lisp_to_value_bits)
6556 (syms_of_module): Use if, not #ifdef, so that both sides are
6557 checked at compile-time, and so that GCC doesn’t complain
6558 about an unused var in the typical case. Also, depend on
6559 plain_values, not on WIDE_EMACS_INT; the code shouldn’t assume
6560 that WIDE_EMACS_INT implies !USE_LSB_TAG.
6561 (value_to_lisp_bits, lisp_to_value_bits): New functions.
6562 Sign-extend integers rather than zero-extending them, as small
6563 negative integers are more likely.
6564 (value_to_lisp, lisp_to_value): Rewrite in terms of the new *_bits
6566 (HAVE_STRUCT_ATTRIBUTE_ALIGNED): Define to 0 if not already defined.
6567 (mark_modules): Remove. All uses removed.
6568 (lisp_to_value): Don’t assume Fcons returns a pointer aligned
6570 (syms_of_module): Check that module_nil converts to Qnil.
6571 * src/lisp.h (lisp_h_XSYMBOL, XSYMBOL): Use signed conversion, since
6572 we prefer signed to unsigned when either will do.
6573 (TAG_PTR): Sign-extend pointers when USE_LSB_TAG, as this is
6574 a bit better for emacs-module.c.
6576 2015-12-06 Paul Eggert <eggert@cs.ucla.edu>
6578 Port mod-test to x86-64 GNU/Linux running 32-bit
6580 * modules/mod-test/test.el (mod-test-sum-test):
6581 Don’t attempt to match descriptions to operating systems.
6582 It didn’t work on Fedora x86-64 running a 32-bit executable,
6583 and it’s not worth the trouble anyway.
6584 Port to 32-bit platforms by removing an assumption about
6587 2015-12-06 Michael Albinus <michael.albinus@gmx.de>
6589 Fix auto-revert-tests.el when filenotify isn't used
6591 * test/automated/auto-revert-tests.el (auto-revert--wait-for-revert):
6592 Make it working also when filenotify isn't used.
6594 2015-12-05 Juri Linkov <juri@linkov.net>
6596 * lisp/textmodes/ispell.el (ispell-highlight-spelling-error-overlay):
6598 Let-bind isearch-regexp-function to nil. (Bug#22097)
6600 2015-12-05 Artur Malabarba <bruce.connor.am@gmail.com>
6602 * lisp/emacs-lisp/package.el: Don't install bad signatures (bug#22089)
6604 (package--with-response-buffer): NOERROR and ERROR-FORM only
6605 handle connection errors.
6606 (bad-signature): New error type.
6607 (package--check-signature-content): Use it.
6608 (package--check-signature): Properly distinguish connection errors
6609 from bad-signature errors. Do the check for
6610 `package-check-signature' `allow-unsigned' here instead of forcing
6611 the callbacks to do it. Add a new argument, UNWIND.
6612 (package--download-one-archive, package-install-from-archive):
6613 Update usage of `package--check-signature'.
6615 2015-12-05 Ulf Jasper <ulf.jasper@web.de>
6619 * lisp/calendar/icalendar.el (icalendar--get-unfolded-buffer):
6620 Clean up inconsistent line endings. (Bug#22092)
6621 (icalendar--clean-up-line-endings): New.
6622 * test/automated/icalendar-tests.el (icalendar-real-world): Add test
6625 2015-12-05 Eli Zaretskii <eliz@gnu.org>
6627 Document 'bookmark-set-no-overwrite'
6629 * doc/emacs/regs.texi (Bookmarks): Document the new command
6630 'bookmark-set-no-overwrite' and its keybinding.
6632 2015-12-05 Eli Zaretskii <eliz@gnu.org>
6634 Document new binding of 'mouse-buffer-menu'
6636 * doc/emacs/buffers.texi (Buffer Menus): 'mouse-buffer-menu' is
6639 2015-12-05 Eli Zaretskii <eliz@gnu.org>
6641 Initial documentation of dynamic modules
6643 * doc/lispref/loading.texi (Dynamic Modules): New section with
6644 initial documentation for dynamic modules.
6645 * doc/lispref/elisp.texi (Top): Add "Dynamic Modules" to the
6648 * etc/NEWS: Fix typos in dynamic modules' entry.
6650 2015-12-05 Artur Malabarba <bruce.connor.am@gmail.com>
6652 Remove copyright statements from trivial test files
6654 2015-12-05 Eli Zaretskii <eliz@gnu.org>
6656 Add "Preliminaries" section to etc/DEBUG
6658 * etc/DEBUG: Add the "Preliminaries" section for GDB beginners.
6659 Most of the content was suggested by Phillip Lord
6660 <phillip.lord@russet.org.uk>. Remove the section about debugging
6661 with the Visual Studio, as building Emacs with the Microsoft
6662 compilers is no longer supported. Minor fixes in some other
6665 2015-12-05 Alex Dunn <dunn.alex@gmail.com> (tiny change)
6667 Improve parsing of version strings
6669 * lisp/subr.el (version-regexp-alist): Allow "." as priority separator
6670 (version-to-list): More helpful error messages.
6671 (version-to-list): ".5" is valid (update docstring). Make
6672 "22.8X3" invalid, as the doc string says.
6674 * test/automated/subr-tests.el (ert-test-version-parsing): New
6675 tests for version string processing.
6677 2015-12-05 Eli Zaretskii <eliz@gnu.org>
6679 Fix documentation of 'undo' changes
6681 * doc/lispref/text.texi (Undo): Minor wording changes. Use US
6682 English conventions for spelling and whitespace between sentences.
6684 * etc/NEWS: Fix wording and spelling of undo-related entries.
6685 Mark them as documented.
6687 2015-12-04 Glenn Morris <rgm@gnu.org>
6689 * lisp/net/net-utils.el: Small improvements.
6691 (net-utils--executable-find-sbin): New function.
6692 (ifconfig-program): Check sbin directories.
6693 Fallback to "ip". (Bug#22091)
6694 (ifconfig-program-options): Check the actual program in use.
6695 (arp-program): Check sbin directories.
6697 2015-12-04 Arash Esbati <esbati@gmx.de> (tiny change)
6699 Fix wrong-type-argument integer-or-marker-p nil error
6701 * lisp/textmodes/reftex-auc.el (reftex-what-index-tag):
6702 Fix (wrong-type-argument integer-or-marker-p nil) error (bug#22077).
6704 2015-12-04 Alan Mackenzie <acm@muc.de>
6706 Merge branch 'scratch/follow' of /home/acm/emacs/emacs.git/emacs-25 into scratch/follow
6708 Merge necessitated by a rebase operation.
6710 2015-12-04 Alan Mackenzie <acm@muc.de>
6712 lisp/isearch.el: Eliminate macro isearch-call-message, replacing with funcall.
6714 2015-12-04 Alan Mackenzie <acm@muc.de>
6716 First commit to scratch/follow. Make Isearch work with Follow Mode, etc.
6718 doc/lispref/window.texi (Basic Windows): Add paragraph defining "Group of
6719 Windows" and new @defun selected-window-group.
6720 (Window Start and End): Describe new &optional parameter GROUP and
6721 ...-group-function for window-start, window-end, set-window-start, and
6722 pos-visible-in-window-p.
6723 (Textual Scrolling) Describe the same for recenter.
6724 doc/lispref/positions.texi (Screen Lines): Describe the same for
6725 move-to-window-line.
6727 src/window.c (Fwindow_start, Fwindow_end, Fset_window_start)
6728 (Fpos_visible_in_window_p, Frecenter, Fmove_to_window_line): To each, add ar
6729 new optional parameter "group". At the beginning of each, check whether the
6730 corresponding ...-group-function is set to a function, and if so execute this
6731 function in place of the normal processing.
6732 (syms_of_window): Define symbols for the six new variables below.
6733 (window-start-group-function, window-end-group-function)
6734 (set-window-start-group-function, recenter-group-function)
6735 (pos-visible-in-window-p-group-function, move-to-window-line-group-function):
6736 New permanent local buffer local variables.
6737 src/keyboard.c (Fposn_at_point): Add extra parameter in call to
6738 Fpos_visible_in_window_p.
6740 lisp/window.el (selected-window-group-function): New permanent local buffer
6742 (selected-window-group): New function.
6744 lisp/follow.el (follow-mode): Set the ...-group-function variables at mode
6745 enable, kill them at mode disable. Add/remove follow-after-change to/from
6746 after-change-functions.
6747 (follow-start-end-invalid): New variable.
6748 (follow-redisplay): Manipulate follow-start-end-invalid.
6749 (follow-after-change, follow-window-start, follow-window-end)
6750 (follow-set-window-start, follow-pos-visible-in-window-p)
6751 (follow-move-to-window-line, follow-sit-for): New functions.
6753 lisp/isearch.el (isearch-call-message): New macro.
6754 (isearch-update, with-isearch-suspended, isearch-del-char)
6755 (isearch-search-and-update, isearch-ring-adjust): Invoke above new macro.
6756 (with-isearch-suspended): Rearrange code such that isearch-call-message is
6757 invoked before point is moved.
6758 (isearch-message): Add comment about where point must be at function call.
6759 (isearch-search): Remove call to isearch-message.
6760 (isearch-lazy-highlight-window-group): New variable.
6761 (isearch-lazy-highlight-new-loop): Unconditionally start idle timer. Move
6762 the battery of tests to ...
6763 (isearch-lazy-highlight-maybe-new-loop): New function, started by idle timer.
6764 Note: (sit-for 0) is still called.
6765 (isearch-lazy-highlight-update): Check membership of
6766 isearch-lazy-highlight-window-group. Don't set the `window' overlay
6768 (isearch-update, isearch-done, isearch-string-out-of-window)
6769 (isearch-back-into-window, isearch-lazy-highlight-maybe-new-loop)
6770 (isearch-lazy-highlight-search, isearch-lazy-highlight-update)
6771 (isearch-lazy-highlight-update): Call the six amended primitives (see
6772 src/window.c above) with the new `group' argument set to t, to cooperate
6775 2015-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
6777 * lisp/emacs-lisp/ert.el: Prefer pcase over cl-typecase
6779 * lisp/emacs-lisp/ert.el (ert--should-error-handle-error)
6780 (ert--explain-format-atom, ert--explain-equal-rec)
6781 (ert--print-backtrace, ert-test-result-type-p, ert-select-tests)
6782 (ert--insert-human-readable-selector): Prefer pcase over cl-typecase.
6784 2015-12-04 Artur Malabarba <bruce.connor.am@gmail.com>
6786 * lisp/character-fold.el: Remove special case-folding support
6788 (character-fold-to-regexp): Remove special code for
6789 case-folding. Char-fold search still respects the
6790 `case-fold-search' variable (i.e., f matches F). This only
6791 removes the code that was added to ensure that f also matched
6792 all chars that F matched. For instance, after this commit, f
6793 no longer matches 𝔽.
6795 This was necessary because the logic created a regexp with
6796 2^(length of the string) redundant paths. So, when a very
6797 long string "almost" matched, Emacs took a very long time to
6798 figure out that it didn't. This became particularly relevant
6799 because isearch's lazy-highlight does a search bounded by (1-
6800 match-end) (which, in most circumstances, is a search that
6801 almost matches). A recipe for this can be found in bug#22090.
6803 2015-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
6805 * lisp/emacs-lisp/cl-macs.el (character): Can't be negative
6808 2015-12-04 Daiki Ueno <ueno@gnu.org>
6810 lisp/gnus/qp.el: Don't replace "from " at bol
6812 * lisp/gnus/qp.el (quoted-printable-encode-region): Bind `case-fold-search'
6813 to nil when looking for "^From ". Problem reported by Simon Josefsson.
6815 2015-12-03 Phillip Lord <phillip.lord@russet.org.uk>
6817 Externalize some symbols in undo-auto
6819 * doc/lispref/text.texi: Update symbols.
6820 * lisp/simple.el (undo-auto--amalgamate,
6821 undo-auto--current-boundary-timer): Make symbols public.
6822 * src/cmds.c (Fself_insert_command,Fdelete_char): Call
6825 2015-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
6827 * lisp/emacs-lisp/smie.el (smie-next-sexp): Fix BOB "token"
6829 2015-12-03 Michael Albinus <michael.albinus@gmx.de>
6831 Some error message improvements in tramp-sh.el
6833 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
6834 Suppress error messages for "mesg" and "biff" calls.
6835 (tramp-get-remote-path): Ignore errors when expanding
6836 `tramp-own-remote-path'. Raise a warning instead.
6838 2015-12-03 Eli Zaretskii <eliz@gnu.org>
6840 Document 'nacl' value for 'system-type'
6842 * doc/lispref/os.texi (System Environment): Document the 'nacl'
6843 value of 'system-type'.
6845 2015-12-03 Eli Zaretskii <eliz@gnu.org>
6847 Document 'window-max-chars-per-line'
6849 * doc/lispref/windows.texi (Window Sizes): Document
6850 'window-max-chars-per-line'.
6852 2015-12-03 Artur Malabarba <bruce.connor.am@gmail.com>
6854 Fix some file headers for the purpose of `package--builtins'
6856 * lisp/emacs-lisp/cl-preloaded.el
6857 * lisp/emacs-lisp/eieio-compat.el
6858 * lisp/net/sasl-scram-rfc.el: Add a "Package:" header
6860 * lisp/ielm.el: Fix summary line.
6862 2015-12-03 Artur Malabarba <bruce.connor.am@gmail.com>
6864 * lisp/emacs-lisp/package.el (package-unpack): Load before compiling
6866 Reload any previously loaded package files before compiling
6867 the package (also reload the same files after compiling).
6868 This ensures that we have the most recent definitions during
6869 compilation, and avoids generating bad elc files when a macro
6870 changes and it is used in a different file from the one it's
6873 2015-12-03 Artur Malabarba <bruce.connor.am@gmail.com>
6875 * lisp/emacs-lisp/package.el: Refactor package activation code
6877 (package-activate): Move code that activates dependencies into
6879 (package--load-files-for-activation): New function.
6880 (package-activate-1): Add code for (optionally) activating
6881 dependencies, and move file-loading code into
6882 `package--load-files-for-activation'.
6884 2015-12-03 Eli Zaretskii <eliz@gnu.org>
6886 Document new font-related functionality
6888 * doc/lispref/display.texi (Low-Level Font): Document
6889 'default-font-width', 'default-font-height', 'window-font-width',
6890 and 'window-font-height'.
6892 * etc/NEWS: Move entries for 'default-font-width',
6893 'default-font-height', 'window-font-width', and 'window-font-height'
6894 to their place and mark them documented.
6896 2015-12-03 Eli Zaretskii <eliz@gnu.org>
6898 Fix documentation and implementation of 'directory-name-p'
6900 * lisp/files.el (directory-name-p): Modify to recognize
6901 backslashes on MS-Windows and MS-DOS. Adjust the doc string
6902 accordingly. Use '=', not char-equal, for comparison, as
6903 letter-case cannot possibly be an issue here.
6905 * doc/lispref/files.texi (Directory Names): Move the documentation
6906 of directory-name-p here from "Relative File Names". Update the
6907 description per the changes in implementation.
6909 * etc/NEWS: Move the entry for 'directory-name-p' to its proper
6910 place and mark it documented.
6912 2015-12-02 Eli Zaretskii <eliz@gnu.org>
6914 Minor copyedit in Emacs manual
6916 * doc/emacs/search.texi (Lax Search): Make wording about character
6917 folding by default less definitive. (Bug#22043)
6919 2015-12-02 Eli Zaretskii <eliz@gnu.org>
6921 More emacs-module.c fixes for wide ints
6923 * src/emacs-module.c (value_to_lisp) [WIDE_EMACS_INT]: Use
6924 unsigned data types to manipulate pointers, to avoid sign
6925 extension coming after us with a vengeance.
6927 * modules/mod-test/test.el (mod-test-sum-test): Add tests for
6928 Emacs with wide ints that verify integer values near the critical
6929 value that requires us to switch to a cons cell.
6931 2015-12-02 Stephen Leake <stephen_leake@stephe-leake.org>
6933 Fix bug#22069 in cl-generic.el
6935 * lisp/emacs-lisp/cl-generic.el (cl-no-method): Remove %S; this string is
6936 not run thru `format'.
6938 2015-12-01 Dmitry Gutov <dgutov@yandex.ru>
6940 APPEND etags--xref-backend to xref-backend-functions
6942 * lisp/progmodes/xref.el (xref-backend-functions):
6943 Use APPEND when adding the default element
6944 (http://lists.gnu.org/archive/html/emacs-devel/2015-12/msg00061.html).
6946 2015-12-01 Eli Zaretskii <eliz@gnu.org>
6948 More accurate documentation of lax whitespace matching
6950 * lisp/isearch.el (isearch-forward-word, isearch-forward-symbol)
6951 (word-search-backward, word-search-forward)
6952 (word-search-backward-lax, word-search-forward-lax): Mention in
6953 doc strings that toggling lax whitespace matching has no effect on
6956 * doc/emacs/search.texi (Word Search, Symbol Search): Clarify that
6957 lax whitespace matching has no effect on these commands.
6959 2015-12-01 Eli Zaretskii <eliz@gnu.org>
6961 Fix emacs-module.c for wide ints
6963 * src/emacs-module.c (lisp_to_value): Compare the produced value
6964 with the original Lisp object, not with the one potentially
6965 converted into a Lisp_Cons. Fixes assertion violations when
6966 working with integers larger than fit into a 32-bit value.
6968 * modules/mod-test/test.el (mod-test-sum-test): Add tests for
6969 large integers, to test --with-wide-int.
6971 2015-12-01 Eli Zaretskii <eliz@gnu.org>
6973 Document 'directory-files-recursively'
6975 * lisp/files.el (directory-files-recursively): Doc fix. Rename
6976 the argument MATCH to REGEXP, to be more explicit about its form.
6978 * doc/lispref/files.texi (Contents of Directories): Improve the
6979 documentation of 'directory-files-recursively'. Add
6982 * etc/NEWS: Move the entry for 'directory-files-recursively' to
6983 its place and mark it documented.
6985 2015-12-01 Eli Zaretskii <eliz@gnu.org>
6987 Document 'inhibit-read-only' property
6989 * doc/lispref/text.texi (Special Properties): Describe the new
6990 'inhibit-read-only' text property. Add cross-reference to where
6991 read-only buffers are described.
6992 * doc/lispref/buffers.texi (Read Only Buffers): Mention that
6993 'inhibit-read-only' property exempts text from being read-only.
6994 Add cross-reference to "Special Properties".
6996 * etc/NEWS: Move the entry about 'inhibit-read-only' property to
6997 its place and mark it documented.
6999 2015-12-01 Artur Malabarba <bruce.connor.am@gmail.com>
7001 * lisp/emacs-lisp/package.el: Update header comments
7003 2015-12-01 Artur Malabarba <bruce.connor.am@gmail.com>
7005 * lisp/character-fold.el: Add back multi-char matching
7007 (character-fold-to-regexp): Uncomment recently commented code
7008 and make the algorithm "dummer" by not checking every possible
7009 combination. This will miss some possible matches, but it
7010 greatly reduces regexp size.
7012 * test/automated/character-fold-tests.el
7013 (character-fold--test-fold-to-regexp): Comment out test of
7014 functionality no longer supported.
7016 2015-12-01 Xue Fuqiao <xfq.free@gmail.com>
7018 * doc/emacs/ack.texi (Acknowledgments): Update.
7020 2015-12-01 Michael Albinus <michael.albinus@gmx.de>
7022 Check `file-remote-p' over absolute files names in files.el
7024 * lisp/files.el (directory-files-recursively)
7025 (get-free-disk-space): Check `file-remote-p' over absolute files names.
7027 2015-12-01 Andreas Schwab <schwab@linux-m68k.org>
7029 * src/lread.c (syms_of_lread): Doc fix.
7031 2015-11-30 Dmitry Gutov <dgutov@yandex.ru>
7033 Don't mistake certain JS method calls for keywords
7035 * lisp/progmodes/js.el (js--ctrl-statement-indentation):
7036 Braceless keyword can't come after a period (bug#22063).
7038 2015-11-30 David Reitter <david.reitter@gmail.com>
7040 Read frame_title_format from buffer-local variable for NS port
7042 * src/nsfns.m (x_implicitly_set_name): Read frame-title-format and
7043 icon-title-format variables from buffer in appropriate window.
7046 2015-11-30 Juri Linkov <juri@linkov.net>
7048 * lisp/replace.el (occur-engine): Count matches in empty lines.
7052 2015-11-30 Aurélien Aptel <aurelien.aptel@gmail.com>
7054 * src/emacs-module.h: Fix finalizer typedef for C++11
7056 C++11 standard doesn't allow exception-specification in typedef.
7057 The workaround is to declare a dummy function prototype and use
7060 2015-11-30 Eli Zaretskii <eliz@gnu.org>
7064 * src/emacs-module.c (lisp_to_value, value_to_lisp)
7065 [WIDE_EMACS_INT]: Avoid compiler warnings.
7067 2015-11-30 Stefan Monnier <monnier@iro.umontreal.ca>
7069 Rely on conservative stack scanning to find "emacs_value"s
7071 * src/emacs-module.c (struct emacs_value_tag)
7072 (struct emacs_value_frame, struct emacs_value_storage): Remove.
7073 (value_frame_size): Remove constant.
7074 (struct emacs_env_private): Use Lisp_Object for non_local_exit info.
7075 (lisp_to_value): Remove first arg.
7076 (module_nil): New constant.
7077 Use it instead of NULL when returning an emacs_value.
7078 (module_make_function): Adjust to new calling convention of
7079 Qinternal_module_call.
7080 (DEFUN): Receive args in an array rather than a list.
7081 Use SAFE_ALLOCA rather than xnmalloc. Skip the lisp_to_value loop when
7082 we don't have WIDE_EMACS_INT. Adjust to new type of non_local_exit info.
7083 (module_non_local_exit_signal_1, module_non_local_exit_throw_1):
7084 Adjust to new type of non_local_exit info.
7085 (ltv_mark) [WIDE_EMACS_INT]: New constant.
7086 (value_to_lisp, lisp_to_value): Rewrite.
7087 (initialize_frame, initialize_storage, finalize_storage): Remove functions.
7088 (allocate_emacs_value): Remove function.
7089 (mark_modules): Gut it.
7090 (initialize_environment): Don't initialize storage any more.
7091 Keep the actual env object on Vmodule_environments.
7092 (finalize_environment): Don't finalize storage any more.
7093 (syms_of_module): Initialize ltv_mark and module_nil.
7095 * src/emacs-module.h (emacs_value): Make it more clear that this type
7096 is really opaque, including the fact that NULL may not be valid.
7098 * modules/mod-test/mod-test.c (Fmod_test_signal, Fmod_test_throw):
7099 Don't assume that NULL is a valid emacs_value.
7101 2015-11-30 Eli Zaretskii <eliz@gnu.org>
7103 Yet another doc improvement for search commands
7105 * doc/emacs/search.texi (Word Search, Symbol Search)
7106 (Regexp Search): Document commands that don't support lax
7107 whitespace matching or character folding.
7108 (Nonincremental Search): Mention the search commands that can be
7109 invoked from the menu bar.
7111 * lisp/isearch.el (isearch-define-mode-toggle-word)
7112 (isearch-define-mode-toggle-symbol)
7113 (isearch-define-mode-toggle-character-fold): Note in the doc
7114 string that turning these on exits the regexp mode.
7115 (isearch-forward-regexp, isearch-forward-word)
7116 (isearch-forward-symbol, isearch-backward-regexp)
7117 (word-search-backward, word-search-forward)
7118 (word-search-backward-lax, word-search-forward-lax): State in the
7119 doc string which commands don't support character folding and/or
7120 lax-whitespace matching.
7122 2015-11-30 Martin Rudalics <rudalics@gmx.at>
7124 Run `window-size-change-functions' also when reading from minibuffer
7126 * src/xdisp.c (redisplay_internal): Run `window-size-change-functions'
7127 also when reading from minibuffer.
7129 2015-11-30 Ulf Jasper <ulf.jasper@web.de>
7131 Fix scrambling of html-rendered item buffers
7133 * lisp/net/newst-treeview.el (newsticker--treeview-render-text): Fix
7134 scrambling of contents by wrapping call to html-renderer in
7135 save-selected-window.
7137 2015-11-30 Paul Eggert <eggert@cs.ucla.edu>
7139 Fix font typo in previous doc fix.
7141 2015-11-30 Paul Eggert <eggert@cs.ucla.edu>
7143 A bit more security doc, esp. file local vars
7145 * doc/emacs/emacs.texi (Top):
7146 * doc/emacs/misc.texi (Miscellaneous Commands):
7147 Refer to new Host Security section.
7148 (Host Security): New section.
7149 * doc/lispref/os.texi (Security Considerations):
7150 Mention file local variables.
7152 2015-11-30 Artur Malabarba <bruce.connor.am@gmail.com>
7154 * lisp/character-fold.el: Comment out branching code
7156 (character-fold-to-regexp): Comment out code that uses multi-char
7157 table. The branching caused by this induces absurdly long regexps,
7158 up to 10k chars for as little as 25 input characters.
7160 2015-11-30 Paul Eggert <eggert@cs.ucla.edu>
7162 Spelling and grammar fixes
7164 2015-11-29 Dmitry Gutov <dgutov@yandex.ru>
7166 Make lisp-completion-at-point a wrapper instead of an alias
7168 * lisp/progmodes/elisp-mode.el (lisp-completion-at-point):
7169 Turn into an obsolete wrapper around elisp-completion-at-point
7172 2015-11-29 Artur Malabarba <bruce.connor.am@gmail.com>
7174 * lisp/isearch.el (isearch-search-fun-default): Nicer error
7176 message when the search fails.
7178 2015-11-29 Dmitry Gutov <dgutov@yandex.ru>
7180 Update menu-bar-goto-uses-etags-p for the current xref API
7182 * lisp/menu-bar.el (menu-bar-goto-uses-etags-p): Consult
7183 xref-backend-functions, instead of now-nonexistent
7186 2015-11-29 Artur Malabarba <bruce.connor.am@gmail.com>
7188 * lisp/isearch.el (isearch-define-mode-toggle): Advertise binding
7190 2015-11-29 Artur Malabarba <bruce.connor.am@gmail.com>
7192 * lisp/menu-bar.el: Use folding in searches
7194 (nonincremental-search-forward): Use `isearch-search-fun-default'
7195 to determine the search function.
7196 (nonincremental-search-backward)
7197 (nonincremental-repeat-search-forward)
7198 (nonincremental-repeat-search-backward): Use it.
7200 2015-11-29 Artur Malabarba <bruce.connor.am@gmail.com>
7202 * lisp/menu-bar.el (menu-bar-goto-uses-etags-p): Fix a warning
7204 2015-11-29 Artur Malabarba <bruce.connor.am@gmail.com>
7206 * lisp/character-fold.el (character-fold-to-regexp): Be careful
7208 not to return huge regexps.
7210 2015-11-29 Eli Zaretskii <eliz@gnu.org>
7212 Improve documentation of string-collate-* functions
7214 * doc/lispref/strings.texi (Text Comparison): Improve wording and
7215 indexing of 'string-collate-equalp' and 'string-collate-lessp'.
7217 * etc/NEWS: Move the entry of 'string-collate-equalp' and
7218 'string-collate-lessp' to "Lisp Changes" section and mark it as
7221 2015-11-29 Eli Zaretskii <eliz@gnu.org>
7223 Document truncate-string-ellipsis
7225 * doc/lispref/display.texi (Size of Displayed Text): Document
7226 'truncate-string-ellipsis'.
7228 * lisp/international/mule-util.el (truncate-string-ellipsis): Doc fix.
7229 (truncate-string-to-width): Mention in the doc string that the
7230 default for ELLIPSIS comes from 'truncate-string-ellipsis'.
7232 * etc/NEWS: Move the 'truncate-string-ellipsis' entry to the "Lisp
7235 2015-11-29 Eli Zaretskii <eliz@gnu.org>
7237 Fix confusion wrt character folding in the Emacs manual
7239 * doc/emacs/search.texi (Nonincremental Search, Regexp Search):
7240 Document that invoking search-forward/backward and
7241 re-search-forward/backward supports only case folding, but not the
7242 rest of the lax-search features. Reported by Mike Kupfer
7245 2015-11-29 Ken Brown <kbrown@cornell.edu>
7247 Update mod-test-sum-test
7249 * modules/mod-test/test.el (mod-test-sum-test): Update to
7250 accommodate the lack of dladdr on Cygwin.
7252 2015-11-29 Alan Mackenzie <acm@muc.de>
7254 Byte compiler: Catch missing argument to `funcall'. Fixes bug#22051.
7256 * lisp/emacs-lisp/bytecomp.el (byte-compile-funcall): When there's no argument
7257 to `funcall', (i) Output an error message; (ii) Generate code to signal a
7258 `wrong-number-of-arguments' error.
7260 2015-11-29 Martin Rudalics <rudalics@gmx.at>
7262 * lisp/window.el (split-window): Don't sanitize sizes when SIZE is non-nil.
7264 2015-11-28 Artur Malabarba <bruce.connor.am@gmail.com>
7266 * lisp/character-fold.el (character-fold-to-regexp)
7268 Warn about using long strings.
7270 * test/automated/character-fold-tests.el
7271 (character-fold--test-lax-whitespace)
7272 (character-fold--test-consistency): Reduce string size for tests.
7274 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7276 Document renaming of x-select-enable-* variables
7278 * doc/emacs/killing.texi (Clipboard): Rename
7279 x-select-enable-clipboard to select-enable-clipboard and
7280 x-select-enable-primary to select-enable-primary. Update index
7283 * etc/NEWS: Mark entry as documented.
7285 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7287 Document the shorthand hints displayed by M-x
7289 * doc/emacs/m-x.texi (M-x): Document the numeric meaning of
7290 suggest-key-bindings. Document the shorthand hints for commands
7291 that have no key bindings. Document that M-x completion ignores
7294 * etc/NEWS: Move the M-x entry to "Editing Changes" and mark it as
7297 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7299 Update docs of character folding
7301 * doc/emacs/search.texi (Lax Search): Update the description of
7302 character folding for the latest changes.
7304 2015-11-28 Artur Malabarba <bruce.connor.am@gmail.com>
7306 * lisp/character-fold.el: Also play nice with case-folding
7308 (character-fold-to-regexp): Take `case-fold-search' into account.
7310 2015-11-28 Artur Malabarba <bruce.connor.am@gmail.com>
7312 * lisp/character-fold.el: Add support for multi-char matches
7314 (character-fold-table): Now has an extra-slot. This is a second
7315 char-table that holds multi-character matches. See docstring for
7317 (character-fold-to-regexp): Can build branching regexps when a
7318 character's entry the extra slot of `character-fold-table' matches the
7319 characters that succeed it.
7321 2015-11-28 Artur Malabarba <bruce.connor.am@gmail.com>
7323 * lisp/character-fold.el: Code simplifications
7325 (character-fold-table): Reduce the scope of a variable.
7326 (character-fold-to-regexp): Change logic to work directly on the
7327 input string. It's a little easier to understand, probably
7328 faster, and sets us up for implementing multi-char matches.
7330 * test/automated/character-fold-tests.el
7331 (character-fold--test-fold-to-regexp): New test.
7333 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7335 Document changes in "C-h l"
7337 * doc/emacs/help.texi (Misc Help): Document the changes in "C-h l".
7339 * etc/NEWS: mark "C-h l" changes as documented.
7341 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7343 Finalize documentation of 'custom-prompt-customize-unsaved-options'
7345 * doc/emacs/custom.texi (Saving Customizations): Index the new
7346 function 'custom-prompt-customize-unsaved-options'.
7348 * etc/NEWS: Mention when 'custom-prompt-customize-unsaved-options'
7351 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7353 Document 'comment-line'
7355 * doc/emacs/programs.texi (Comment Commands): Document
7358 * etc/NEWS: Move the entry for 'comment-line' into "Editing Changes".
7360 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7362 Document new checkdoc features
7364 * doc/lispref/tips.texi (Tips, Library Headers): Document the
7365 keyword-checking features of checkdoc and the commands
7366 'checkdoc-file' and 'checkdoc-current-buffer'.
7368 * etc/NEWS: Move the checkdoc-related entries to their own
7371 2015-11-28 Philipp Stephani <p.stephani2@gmail.com>
7373 Simplify the prologue of emacs-module.c functions
7375 * src/emacs-module.c (MODULE_FUNCTION_BEGIN): New macro.
7376 (module_make_global_ref)
7377 (module_free_global_ref, module_make_function, module_funcall)
7378 (module_intern, module_type_of, module_extract_integer)
7379 (module_make_integer, module_extract_float, module_make_float)
7380 (module_copy_string_contents, module_make_string)
7381 (module_make_user_ptr, module_get_user_ptr, module_set_user_ptr)
7382 (module_get_user_finalizer, module_set_user_finalizer)
7383 (module_vec_set, module_vec_get, module_vec_size): Use new helper
7384 macro MODULE_FUNCTION_BEGIN.
7386 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7388 Don't reject module calls with no arguments
7390 * src/emacs-module.c (Finternal_module_call): Allow ARGLIST be nil.
7392 2015-11-28 Philipp Stephani <p.stephani2@gmail.com>
7394 Make module-call be visible from Lisp
7396 * src/emacs-module.c (module_make_function): Use internal--module-call.
7397 (Finternal_module_call): Renamed from Fmodule_call. Add safety
7399 (syms_of_module): DEFSYM save-value-p and save-pointer-p. Do
7400 defsubr internal--module-call.
7402 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7404 Add etags tests for the recent Lua-related bugfix
7406 * test/etags/lua-src/test.lua: New file, tests the issues raised
7408 * test/etags/Makefile (LUASRC): Add test.lua.
7409 * test/etags/ETAGS.good_1:
7410 * test/etags/ETAGS.good_2:
7411 * test/etags/ETAGS.good_3:
7412 * test/etags/ETAGS.good_4:
7413 * test/etags/ETAGS.good_5:
7414 * test/etags/ETAGS.good_6:
7415 * test/etags/CTAGS.good: Adapt to the new Lua test. Also, an old
7416 regression fix, resolved around 25 May 2015, required changes to
7417 the "good" ETAGS files.
7419 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7421 Fix Lua tags when a function name includes '.' or ':'
7423 * lib-src/etags.c (Lua_functions): Add a tag for the last element
7424 of a function name after a dot or a colon. (Bug#21934)
7426 2015-11-28 Eli Zaretskii <eliz@gnu.org>
7428 Improve documentation of search and replace commands
7430 * doc/emacs/search.texi (Replacement and Lax Matches): Document
7431 which commands are affected by 'replace-character-fold'.
7432 (Lax Search): Add a cross reference to "Replacement and Lax
7433 Matches". Improve wording. Fix lost extra whitespace.
7434 (Search Customizations): Improve wording. (Bug#22036)
7435 See also comments in
7436 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg02376.html.
7438 * lisp/replace.el (query-replace, query-replace-regexp)
7439 (query-replace-regexp-eval, replace-string, replace-regexp):
7440 Mention 'replace-character-fold' in the doc strings.
7442 2015-11-28 Paul Eggert <eggert@cs.ucla.edu>
7444 Fix minor problems found by static checking
7446 * src/undo.c (prepare_record): Add proper prototype for C.
7448 2015-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
7450 * src/emacs-module.c (struct env_storage): Delete
7452 (struct emacs_runtime_private): Keep an emacs_env instead.
7453 (Fmodule_load, Fmodule_call): Declare emacs_env_private separately.
7454 (initialize_environment): Split the arg in two. Adjust all callers.
7455 Only store the private part in Vmodule_environments.
7456 (finalize_environment): Change the arg to only be the private env.
7459 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7461 Improve documentation of 'replace-character-fold'
7463 * lisp/replace.el (replace-character-fold): Clarify which commands
7464 are affected by this variable.
7466 2015-11-27 Dmitry Gutov <dgutov@yandex.ru>
7468 Autoload etags when using its xref backend
7470 * lisp/progmodes/xref.el (xref--etags-backend):
7471 Rename to etags--xref-backend. Move to etags.el. Autoload.
7474 2015-11-27 Artur Malabarba <bruce.connor.am@gmail.com>
7476 * lisp/character-fold.el: Allow complex chars to match their decomposition
7478 (character-fold-table): When a character's decomposition does not
7479 involve a formatting tag (i.e., if it has an "exact" description via
7480 other characters), then this character is allowed to match the
7483 2015-11-27 Artur Malabarba <bruce.connor.am@gmail.com>
7485 * lisp/character-fold.el: More descriptive variable names
7487 (character-fold-table): Rename a lot of the lexical variables to
7488 make the code easier to read.
7490 2015-11-27 Artur Malabarba <bruce.connor.am@gmail.com>
7492 * lisp/isearch.el: Ensure we still support `isearch-new-word'
7494 (isearch-new-regexp-function): Define variable.
7495 (isearch-new-word): Define as an obsolete alias. (Bug#22018)
7497 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7499 Merge branch 'emacs-25' of git.savannah.gnu.org:/srv/git/emacs into emacs-25
7501 2015-11-27 Lee Bochicchio <lboc.home@gmail.com>
7503 * test/lisp/abbrev-tests.el: Define more tests
7505 (abbrev-table-name-test, kill-all-abbrevs-test)
7506 (clear-abbrev-table-test): New tests.
7508 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7510 Add module tests for wrong-type-argument
7512 * modules/mod-test/test.el (mod-test-sum-test): Add tests for
7513 wrong-type-argument.
7515 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7517 Improve handling of signals and 'throw' in modules
7519 * src/emacs-module.c: Add commentary explaining how to write
7520 functions in this file.
7521 (module_make_global_ref, module_free_global_ref)
7522 (module_non_local_exit_signal, module_non_local_exit_throw)
7523 (module_make_function, module_funcall, module_intern)
7524 (module_type_of, module_is_not_nil, module_eq)
7525 (module_extract_integer, module_make_integer)
7526 (module_extract_float, module_make_float)
7527 (module_copy_string_contents, module_make_string)
7528 (module_make_user_ptr, module_get_user_ptr, module_set_user_ptr)
7529 (module_get_user_finalizer, module_set_user_finalizer)
7530 (module_vec_set, module_vec_get, module_vec_size)
7531 (module_non_local_exit_signal_1, module_non_local_exit_throw_1):
7532 Do nothing and return with failure indication immediately, if some
7533 previous module call signaled an error or wants to throw. See
7534 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg02133.html
7535 for the relevant discussions.
7537 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7539 Add ':version' tag to 'checkdoc-package-keywords-flag'
7541 * lisp/emacs-lisp/checkdoc.el (checkdoc-package-keywords-flag):
7542 Add a ':version' tag.
7544 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7546 Improve documentation of 'eval-buffer' and 'eval-region'
7548 * src/lread.c (Feval_buffer, Feval_region): Doc fixes. (Bug#22023)
7550 * doc/lispref/eval.texi (Eval): Mention narrowing to clarify
7551 "accessible portion of buffer".
7553 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7555 Unbreak the Cygwin w32 build
7557 * src/emacs.c (main): Call w32_init_main_thread in the Cygwin w32
7558 build as well. Reported by Andy Moreton <andrewjmoreton@gmail.com>.
7560 2015-11-27 Eli Zaretskii <eliz@gnu.org>
7562 Improve commentary in character-fold.el
7564 * lisp/character-fold.el (character-fold-to-regexp): Move detailed
7565 description from commit log message to comments. (Bug#22019)
7567 2015-11-26 Alan Mackenzie <acm@muc.de>
7569 Byte Compiler: generate code to adjust stack count after call to `signal'.
7571 Corrects change from earlier today.
7573 * lisp/emacs-lisp/bytecomp.el (byte-compile-setq): supply the current value of
7574 `byte-compile--for-effect' as argument to `byte-compile-form'.
7576 2015-11-26 Eli Zaretskii <eliz@gnu.org>
7578 Improve commentary of prepare_to_modify_buffer_1
7580 * src/insdel.c (prepare_to_modify_buffer_1): Mention in commentary
7581 that this function runs Lisp. Suggested by Richard Stallman
7584 2015-11-26 Phillip Lord <phillip.lord@russet.org.uk>
7586 Merge branch 'emacs-25' of git.sv.gnu.org:/srv/git/emacs into emacs-25
7588 2015-11-26 Phillip Lord <phillip.lord@russet.org.uk>
7590 Fix regression after merge.
7592 * src/undo.c (prepare_record): Remove call to run_undoable_change.
7594 2015-11-26 Phillip Lord <phillip.lord@russet.org.uk>
7596 After delete, record point location in undo.
7598 Addresses Bug #21968.
7600 * lisp/simple.el (undo-auto--add-boundary): Clean up code to
7601 better support intercalating calls.
7602 * src/keyboard.c, src/keyboard.h (command_loop_1): Store value of
7603 point and current buffer before each command.
7604 * src/undo.c (record_point): Now only record the point.
7605 * src/undo.c (prepare_record): Functionality removed form
7607 * src/undo.c (record_delete): Check if point needs recording.
7608 * src/undo.c (undo-boundary): Record value of point before each
7610 * test/automated/simple-test.el: New tests.
7615 2015-11-26 Eli Zaretskii <eliz@gnu.org>
7617 Fix compiler warnings in w32.c
7619 * src/w32.c (sys_socket): In case of error, use -1 as return
7620 value, not INVALID_SOCKET, which causes compiler warnings.
7621 (maybe_load_unicows_dll): Cast the return value of GetProcAddress
7622 to the appropriate function signature, to avoid compiler errors.
7623 Reported by Andy Moreton <andrewjmoreton@gmail.com>. (Bug#21953)
7625 2015-11-26 Dmitry Gutov <dgutov@yandex.ru>
7627 Check if the file exists on disk before producing the revert diff
7629 * lisp/vc/vc-dispatcher.el (vc-buffer-sync): Check if the file
7630 exists on disk (bug#20558).
7632 2015-11-26 Alan Mackenzie <acm@muc.de>
7634 Byte compiler: on setq with an odd number of arguments, generate a `signal'
7636 * lisp/emacs-lisp/cconv.el (cconv-convert): Don't transform `setq' form when
7637 it has an odd number of arguments, to allow bytecomp to handle the error.
7639 * lisp/emacs-lisp/bytecomp.el (byte-compile-setq): In a `setq' form with an
7640 odd number of arguments, generate a `signal' instead of the normal code.
7642 2015-11-25 Dmitry Gutov <dgutov@yandex.ru>
7644 Use find-tag-default for xref-backend-identifier-at-point
7646 * lisp/progmodes/etags.el (find-tag-tag)
7647 (tags-completion-at-point-function): Extract common code as
7649 (xref-backend-identifier-at-point): Define in terms of the new
7652 2015-11-25 Paul Eggert <eggert@cs.ucla.edu>
7654 * src/undo.c (record_property_change): Remove now-unused local.
7656 2015-11-25 Phillip Lord <phillip.lord@russet.org.uk>
7658 run_undoable_changes now called from insdel.
7660 The original calls from inside undo.c are not always at a safe position
7661 to call lisp, as they originate in varied positions within insdel.c.
7662 Calling them directly from prepare_to_modify_buffer_1 ensures that they
7663 are always run at the same point.
7665 * src/undo.c (run_undoable_changes,syms_of_undo): Remove function
7667 * src/insdel.c (run_undoable_changes): Add function and symbol.
7669 2015-11-25 Eli Zaretskii <eliz@gnu.org>
7671 Improve and update documentation of search commands
7673 * doc/emacs/search.texi (Lax Search): Renamed from "Search Case";
7674 all references changed. Move the description of lax-whitespace
7675 here. Add description of the new character folding features and
7676 additional customizable options.
7677 (Isearch Yank): Move before "Error in Search".
7678 (Basic Isearch): Improve wording. Add index entries. Add short
7679 description of how to abandon search, making this subsection a
7680 complete introduction to search basics.
7681 (Repeat Isearch): Add index entries. Describe additional
7682 customizable options. Describe mouse clicks.
7683 (Isearch Yank): Add index entries. Describe mouse-2 click in echo
7684 area. Describe more customizable options.
7685 (Error in Isearch): Add index entries.
7686 (Special Isearch): Move actual description of some isearch
7687 commands to other sections, leaving here just the summary of the
7688 commands. Add command that toggles character folding. Describe
7689 commands, like "C-h C-h", that were previously omitted for some
7691 (Not Exiting Isearch): Describe search-exit-option. Add index
7693 (Word Search): Describe eww-search-word and eww-search-prefix.
7694 (Symbol Search): Add index entries.
7695 (Regexp Search): Describe regexp-search-ring-max.
7696 (Replacement and Lax Matches): Renamed from "Replacement and
7697 Case"; all references changed. Describe lax-whitespace matching
7698 in replace commands and related options. Describe character
7699 folding in replace commands and related options.
7700 (Query Replace): Describe query-replace-from-to-separator and the
7701 new history features. Add index entries for highlighted text.
7702 Describe query-replace-skip-read-only. Describe more keys
7703 accepted by query-replace.
7704 (Other Repeating Search): More index entries for Occur. Describe
7705 list-matching-lines-default-context-lines.
7706 (Search Customizations): New section, documents customizable
7707 options that were not documented until now.
7708 * doc/emacs/glossary.texi (Glossary): Add "Case Folding" and
7709 "Character Folding".
7711 * etc/NEWS: Move search- and replace-related entries to a single
7714 * lisp/replace.el (query-replace-show-replacement): Doc fix.
7715 * lisp/isearch.el (search-nonincremental-instead)
7716 (isearch-hide-immediately): Doc fixes.
7718 2015-11-25 Katsumi Yamaoka <yamaoka@jpl.org>
7720 Remove nnml-retrieve-groups that is unnecessary and somewhat problematic
7722 * lisp/gnus/nnml.el (nnml-retrieve-groups): Remove. See:
7723 <http://thread.gmane.org/gmane.emacs.gnus.general/86308> and
7724 <http://thread.gmane.org/gmane.emacs.gnus.general/86321>
7726 2015-11-25 Paul Eggert <eggert@cs.ucla.edu>
7728 Fix module_format_fun_env when dynlib_addr fails
7730 * src/emacs-module.c (module_format_fun_env):
7731 exprintf doesn’t support %p, so use %x. Reported by Eli Zaretskii in:
7732 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg02122.html
7734 2015-11-25 Paul Eggert <eggert@cs.ucla.edu>
7736 Disambiguate variable help a bit better
7738 * lisp/help-fns.el (describe-variable): Quote the
7739 variable’s value if it is a symbol other than t or nil.
7741 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg02147.html
7743 2015-11-24 Dmitry Gutov <dgutov@yandex.ru>
7745 Pass SVN commit message through log-edit-extract-headers
7747 * lisp/vc/vc-svn.el (vc-svn-checkin): Pass COMMENT through
7748 log-edit-extract-headers (bug#18954).
7750 2015-11-24 Alan Mackenzie <acm@muc.de>
7752 CC Mode: Eliminate compiler warning messages.
7754 * lisp/progmodes/cc-mode.el (top level): remove compile time declaration of
7755 `font-lock-syntactic-keywords' (which CC Mode doesn't use).
7756 * lisp/progmodes/cc-awk.el (awk-mode-syntax-table)
7757 (c-awk-set-syntax-table-properties): Clarify comments about
7758 `font-lock-syntactic-keywords'.
7760 * lisp/progmodes/cc-bytecomp.el (cc-bytecomp-load): Create a dummy declaration
7761 of this before the real (interpreted) one, to satisfy the byte compiler.
7763 2015-11-24 Simen Heggestøyl <simenheg@gmail.com>
7765 Extend the test suite for json.el
7767 * lisp/json.el (json-plist-p): Clarify docstring.
7769 * test/automated/json-tests.el (json-tests--with-temp-buffer): New
7771 (test-json-join, test-json-alist-p)
7772 (test-json-plist-p, test-json-advance, test-json-peek)
7773 (test-json-pop, test-json-skip-whitespace)
7774 (test-json-read-keyword, test-json-encode-keyword)
7775 (test-json-read-number, test-json-encode-number)
7776 (test-json-read-escaped-char, test-json-read-string)
7777 (test-json-encode-string, test-json-encode-key)
7778 (test-json-new-object, test-json-add-to-object)
7779 (test-json-read-object, test-json-encode-list)
7780 (test-json-read-array, test-json-encode-array)
7781 (test-json-read, test-json-read-from-string)
7782 (test-json-encode): New tests.
7783 (json-read-simple-alist): Merged into `test-json-read-object'.
7784 (json-encode-string-with-special-chars): Merged into
7785 `test-json-encode-string'.
7786 (json-read-string-with-special-chars): Split into
7787 `test-json-encode-string' and `test-json-read-from-string'.
7789 2015-11-24 Anders Lindgren <andlind@gmail.com>
7791 Fixed bug#18283: Enable applescript in NextStep.
7793 * nextstep/templates/Info.plist.in: Set NSAppleScriptEnabled to YES.
7795 2015-11-24 Eli Zaretskii <eliz@gnu.org>
7797 Allow completion on dynamic module files in load-library
7799 * lisp/files.el (load-library): Bind completion-ignored-extensions
7800 to nil, to allow completion on dynamic modules typed as file
7801 names. Reported by Andy Moreton <andrewjmoreton@gmail.com>.
7803 2015-11-24 Alan Mackenzie <acm@muc.de>
7805 CC Mode: eliminate almost all byte compilation warnings
7807 * lisp/progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): Remove.
7808 (cc-require): Remove the crude hack that saved and restored
7809 byte-compile-noruntime-functions.
7810 (cc-conditional-require, cc-conditional-require-after-load): New macros.
7812 * lisp/progmodes/cc-defs.el (top level): Reformulate code which loaded
7813 cc-fix.el using the new macros in cc-bytecomp.el.
7815 * lisp/progmodes/cc-langs.el (c++-template-syntax-table)
7816 (c-no-parens-syntax-table): Add extra "(eval ..)"s around "'(lambda ..)"
7817 forms to remove the superflous quotes.
7819 2015-11-24 Eli Zaretskii <eliz@gnu.org>
7821 Add one more mod-test test
7823 * modules/mod-test/test.el (mod-test-sum-test): Test the error
7824 signaled when the function is invoked with a wrong number of
7827 2015-11-24 Philipp Stephani <phst@google.com>
7829 * modules/mod-test/mod-test.c (Fmod_test_sum): Verify there are 2 args.
7831 2015-11-24 Eli Zaretskii <eliz@gnu.org>
7833 Implement dynlib_addr for MS-Windows
7835 * src/dynlib.c [WINDOWSNT]: Include w32common.h.
7836 <g_b_init_get_module_handle_ex> [WINDOWSNT]: New static variable.
7837 (GET_MODULE_HANDLE_EX_FLAG_FROM_ADDRESS)
7838 (GET_MODULE_HANDLE_EX_FLAG_UNCHANGED_REFCOUNT) [WINDOWSNT]: Define
7840 (dynlib_reset_last_error): Reset g_b_init_get_module_handle_ex to
7842 (dynlib_addr) [WINDOWSNT]: Non-trivial implementation to report
7843 the full file name of the module for a given address.
7845 2015-11-24 Alan Mackenzie <acm@muc.de>
7847 Merge branch 'emacs-25' of git.sv.gnu.org:/srv/git/emacs into emacs-25
7849 2015-11-24 Alan Mackenzie <acm@muc.de>
7851 Squashed commit of the following:
7853 commit e1ecf76585bef2eb87995f7a7f92cc12003a6f70
7854 Author: Alan Mackenzie <acm@muc.de>
7855 Date: Tue Nov 24 16:50:09 2015 +0000
7857 Byte compile: minor amendments.
7859 * lisp/emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
7860 add a comment to explain the binding of variables around a subsidiary
7862 (byte-compile-new-defuns): Amend the doc string.
7864 commit c537bfed1dda1593d218956ff00c6105a3ff0316
7865 Author: Alan Mackenzie <acm@muc.de>
7866 Date: Sat Nov 21 18:43:57 2015 +0000
7868 Byte compiler: fix spurious warnings "might not be defined at runtime".
7870 Also initialize byte-compile-noruntime-functions between runs.
7872 * lisp/emacs-lisp/bytecomp.el (byte-compile-new-defuns): New variable.
7873 (byte-compile-initial-macro-environment): For eval-when-compile: bind
7874 byte-compile-unresolved-functions and byte-compile-new-defuns around
7875 byte-compile-top-level, to prevent spurious entries being made.
7876 (byte-compile-warn-about-unresolved-functions): Check whether function is
7877 in byte-compile-new-defuns before emitting a warning about it.
7878 (byte-compile-from-buffer): Initialize new variable and
7879 byte-compile-noruntime-functions to nil.
7880 (byte-compile-file-form-require): record all new functions defined by a
7881 `require' in byte-compile-new-defuns.
7882 (byte-compile-file-form-defmumble): record the new alias in
7883 byte-compile-new-defuns.
7885 2015-11-24 Eli Zaretskii <eliz@gnu.org>
7887 Fix crash at startup related to GC of font entities
7889 * src/font.h (GC_FONT_SPEC_P, GC_FONT_ENTITY_P)
7890 (GC_FONT_OBJECT_P, GC_XFONT_SPEC, GC_XFONT_ENTITY)
7891 (GC_XFONT_OBJECT): New macros, for use in garbage collector.
7892 * src/alloc.c (compact_font_cache_entry, compact_font_caches):
7893 Don't ifdef away font cache compaction on NT_GUI, as the problems
7894 which led to that seem to have been solved.
7895 (compact_font_cache_entry): Use GC_FONT_SPEC_P, GC_XFONT_SPEC,
7896 GC_XFONT_ENTITY, and GC_XFONT_OBJECT, instead of their non-GC_
7897 cousins. (Bug#21999)
7899 2015-11-24 Alan Mackenzie <acm@muc.de>
7901 Byte compile: Output an error, not a warning, for odd number of args to setq
7903 * lisp/emacs-lisp/bytecomp.el (byte-compile-setq): Amend.
7905 2015-11-24 Ken Raeburn <raeburn@raeburn.org>
7907 Fix kbd_buffer iteration loop in readable_events
7909 * src/keyboard.c (readable_events): Wrap the event pointer back to the
7910 start of the kbd_buffer array inside the top of the loop instead of
7911 right before checking the loop condition, since kbd_fetch_ptr and
7912 kbd_store_ptr point past the end of the array to mean that element 0
7913 is next. (bug#21935)
7915 2015-11-24 Paul Eggert <eggert@cs.ucla.edu>
7917 Improve text-quoting-style doc again
7919 * doc/lispref/help.texi (Keys in Documentation):
7920 Omit overkill discussion of ‘setq’. Mention Emacs versions
7921 where ‘grave’ style was standard.
7923 2015-11-23 Paul Eggert <eggert@cs.ucla.edu>
7925 Improve text-quoting-style doc
7927 2015-11-23 Paul Eggert <eggert@cs.ucla.edu>
7929 Simplify module_make_function
7931 * src/emacs-module.c (module_make_function):
7932 Simplify by calling build_unibyte_string.
7934 2015-11-23 Paul Eggert <eggert@cs.ucla.edu>
7936 Port better to FreeBSD’s dlfunc vs dlsym
7938 This avoids warnings when converting between void * and
7939 function pointers, which strict C11 does not allow.
7940 * configure.ac (dlfunc): Check for existence.
7941 * src/dynlib.c (dlfunc) [!HAVE_DLFUNC]: New macro.
7942 (dynlib_func): New function.
7943 * src/dynlib.h (dynlib_function_ptr, dynlib_func): New decls.
7944 * src/emacs-module.c (Fmodule_load): Use dynlib_func, not
7945 dynlib_sym, for function pointers.
7947 2015-11-23 Paul Eggert <eggert@cs.ucla.edu>
7949 Simplify use of emacs_finalizer_function type
7951 * src/emacs-module.h (emacs_finalizer_function):
7952 Now EMACS_NOEXCEPT. All users simplified to omit EMACS_NOEXCEPT.
7953 (struct emacs_env_25): Use emacs_finalizer_function where applicable.
7955 2015-11-23 Paul Eggert <eggert@cs.ucla.edu>
7957 module_format_fun_env fixes
7959 * src/doprnt.c (exprintf) [HAVE_MODULES]: Also define in this case.
7960 * src/emacs-module.c (module_format_fun_env):
7961 Convert path and sym to UTF-8.
7962 Don’t use VLAs, as the C11 standard says they’re optional,
7963 and anyway they can cause core dumps with large allocations.
7964 Use exprintf rather than snprintf, as exprintf handles arbitrarily
7965 long strings. Simplify the code a bit.
7967 2015-11-23 Dmitry Gutov <dgutov@yandex.ru>
7969 Don't use package-user-dir in elisp-library-roots if it's not bound
7971 * lisp/progmodes/elisp-mode.el (elisp-library-roots): Don't
7972 use package-user-dir if it's not bound (bug#19759).
7974 2015-11-23 Anders Lindgren <andlind@gmail.com>
7976 New visible-bell for NextStep (OS X El Capitan compatible).
7978 Instead of inverting a rectangle in the middle of the frame, use
7979 the standard NextStep image "caution", represented using an
7980 warning sign with an exclamation mark. (Bug#21662)
7982 Implemented based on a suggestion drafted by Mustafa Kocaturk.
7984 * src/nsterm.m (EmacsBell): New class for managing the caution
7985 image. Support multiple active bells, the image is removed once
7986 all bells have timed out.
7987 (ns_timeout): Removed, no longer used.
7988 (ns_ring_bell): Reimplemented to use EmacsBell.
7990 2015-11-23 Johan Bockgård <bojohan@gnu.org>
7992 * lisp/emacs-lisp/nadvice.el (add-function): Fix debug spec.
7994 (remove-function): Ditto. (Bug#20376)
7996 2015-11-23 Mark Oteiza <mvoteiza@udel.edu>
7998 * lisp/leim/quail/tamil-dvorak.el: Add necessary escapes.
8000 2015-11-23 Eli Zaretskii <eliz@gnu.org>
8002 Improve how non-ASCII strings are accepted from modules
8004 * src/emacs-module.c (module_make_function, module_make_string):
8005 Build a unibyte Lisp string and then decode it by UTF-8, instead
8006 of building a multibyte string without decoding. This is more
8007 tolerant to deviations from UTF-8.
8009 2015-11-23 Paul Eggert <eggert@cs.ucla.edu>
8011 Port recent module changes to pickier compilers
8013 * src/emacs-module.c (module_make_function)
8014 (module_make_string): Add casts to fix pointer signedness issues.
8016 2015-11-23 Philipp Stephani <phst@google.com>
8018 Fix how strings are accepted from modules
8020 * emacs-module.c (module_make_function, module_make_string): Use
8021 make_multibyte_string.
8022 (module_copy_string_contents): Encode before reading the byte
8023 size. Return false if and only if an error occurred.
8025 2015-11-23 Eli Zaretskii <eliz@gnu.org>
8027 Merge branch 'emacs-25' of git.savannah.gnu.org:/srv/git/emacs into emacs-25
8029 2015-11-23 Shakthi Kannan <shakthimaan@gmail.com>
8031 Add the tamil-dvorak input method
8033 * lisp/leim/quail/tamil-dvorak.el: New file. (Bug#21768)
8035 * etc/NEWS: Mention the new input method.
8037 2015-11-23 Martin Rudalics <rudalics@gmx.at>
8039 Move setting FRAME_WINDOW_SIZES_CHANGED to resize_frame_windows.
8041 * src/frame.c (adjust_frame_size): Don't set
8042 FRAME_WINDOW_SIZES_CHANGED here ...
8043 * src/window.c (resize_frame_windows): ... but here, as suggested
8044 by Stefan Monnier. Also remove some dead code along the way.
8046 2015-11-23 Alan Mackenzie <acm@muc.de>
8048 * /etc/NEWS (Incompatible Lisp Changes): Also `setf' needs an even # of args.
8050 2015-11-23 Alan Mackenzie <acm@muc.de>
8052 Signal an error when `setf' gets an odd number of arguments.
8054 * lisp/emacs-lisp/gv.el (setf): Amend.
8056 2015-11-23 Stefan Monnier <monnier@iro.umontreal.ca>
8058 * lisp/emacs-lisp/smie.el (smie-backward-sexp): Handle BOB better.
8060 2015-11-23 Alan Mackenzie <acm@muc.de>
8062 * etc/NEWS (Incompatible Lisp Changes): Document new restriction on `setq'.
8064 2015-11-23 Alan Mackenzie <acm@muc.de>
8066 Expunge occurrences of `setq' with an odd number of arguments.
8068 * lisp/apropos.el (apropos-documentation):
8069 * lisp/obsolete/complete.el (PC-include-file-all-completions):
8070 * lisp/progmodes/compile.el (compilation-goto-locus):
8071 * lisp/vc/vc-cvs.el (vc-cvs-parse-root): (twice)
8072 Insert missing nil at end of `setq' forms.
8074 * lisp/emacs-lisp/bytecomp.el (byte-compile-file-form-autoload): Remove an
8075 erroneous trailing variable name from a setq, thus allowing a compilation
8076 properly to track functions not defined at runtime.
8078 2015-11-23 John Wiegley <johnw@newartisans.com>
8080 Add a note about a questionable use of bool in xdisp.c
8082 2015-11-23 Alan Mackenzie <acm@muc.de>
8084 Issue a warning from the byte compiler on a malformed `setq' form.
8086 Partly fixes bug#20241.
8087 * lisp/emacs-lisp/bytecomp.el (byte-compile-setq): Issue a warning when a
8088 `setq' form with an odd number of arguments is compiled.
8090 2015-11-23 Alan Mackenzie <acm@muc.de>
8092 Don't let cconv_convert insert a nil argument into a `setq' form.
8095 * lisp/emacs-lisp/cconv.el (cconv-convert): Don't silently insert a nil last
8096 argument into a `setq' when there're an odd number of args. This enables the
8097 byte compiler to issue a message in this case.
8099 2015-11-23 Alan Mackenzie <acm@muc.de>
8101 Signal an error when `setq' has an odd number of arguments. Fixes bug#20241.
8103 * src/eval.c (Fsetq): Signal an error on an odd number of arguments.
8104 (syms_of_eval): Add a DEFSYM for Qsetq.
8106 2015-11-23 Martin Rudalics <rudalics@gmx.at>
8108 * doc/lispref/windows.texi (Window Sizes): Fix indices and references.
8110 * src/frame.c (adjust_frame_size): Set FRAME_WINDOW_SIZES_CHANGED (Bug#21975).
8112 2015-11-22 Thomas Fitzsimmons <fitzsim@fitzsim.org>
8114 Add EUDC BBDB 3 entry in NEWS
8116 * NEWS: Mention EUDC BBDB backend support for BBDB 3.
8118 2015-11-22 Thomas Fitzsimmons <fitzsim@fitzsim.org>
8120 Improve EUDC to BBDB 3 export
8122 * eudc-vars.el (eudc-ldap-bbdb-conversion-alist): Change phone
8123 entry to single item. Add company conversion.
8124 * eudc-export.el (eudc-bbdbify-company): New function.
8125 (bbdb-parse-phone): Declare function.
8126 (eudc-bbdbify-phone): Add BBDB 3 support.
8129 2015-11-22 Thomas Fitzsimmons <fitzsim@fitzsim.org>
8131 Add BBDB 3 support for EUDC export
8133 * eudc.el: Add bbdb-version defvar.
8134 (eudc--using-bbdb-3-or-newer-p): New function.
8135 * eudc-export.el (eudc-create-bbdb-record): Add support for
8136 bbdb-create-internal argument list changes introduced in BBDB 3.
8137 * eudcb-bbdb.el: Remove bbdb-version defvar.
8138 (eudc-bbdb-field): Call eudc--using-bbdb-3-or-newer-p.
8141 2015-11-22 Eli Zaretskii <eliz@gnu.org>
8143 Allow loading modules by 'load-file'
8145 * src/lread.c (Fload): Call 'unbind_to' with 'Fmodule_load' as the
8146 2nd arg, to avoid the "binding stack not balanced" error.
8147 (syms_of_lread) <module-file-suffix>: New Lisp variable.
8149 * lisp/files.el (module-file-suffix): Declare.
8150 (load-file): Remove 'module-file-suffix' from
8151 'completion-ignored-extensions', to allow completion on modules.
8153 * etc/NEWS: Mention 'module-file-suffix'.
8155 2015-11-22 Eli Zaretskii <eliz@gnu.org>
8157 Fix unoptimized builds
8159 * src/lisp.h (XTYPE): Move before XSYMBOL, to fix unoptimized
8162 2015-11-22 Dmitry Gutov <dgutov@yandex.ru>
8164 Work around the asynchronous-empty-diff problem
8166 * lisp/vc/vc-rcs.el (vc-rcs-diff):
8167 * lisp/vc/vc-mtn.el (vc-mtn-diff):
8168 * lisp/vc/vc-hg.el (vc-hg-diff):
8169 * lisp/vc/vc-git.el (vc-git-diff): Ignore the ASYNC argument,
8170 do a synchronous process call (bug#21969).
8172 2015-11-21 Karl Fogel <kfogel@red-bean.com>
8174 Finish excising electric indent from `open-line'
8176 * lisp/simple.el (open-line): Remove INTERACTIVE argument.
8178 * test/automated/simple-test.el (open-line-indent, open-line-hook):
8181 This change finishes what my commit of Thu Nov 19 17:32:37 2015 -0600
8182 (git commit c59353896) started. It turns out that having INTERACTIVE
8183 cause `post-self-insert-hook' to run (via `newline') meant `open-line'
8184 still had the electric indent behavior, as `post-self-insert-hook'
8185 normally contains `electric-indent-post-self-insert-function' ever
8186 since `electric-indent-mode' has been on by default. Tracing the code
8187 change in `open-line' is mildly twisty, because Artur Malabarba's
8188 earliest two commits of 24 Oct 2015 first removed the `interactive'
8189 form entirely (git commit 6939896e2) and then restored it with the new
8190 extra "p" already added (git commit bd4f04f86), such that there is no
8191 single-commit diff in which one sees the second "p" appear. Thus this
8192 change is effectively a reversion of parts of each of those commits.
8194 This could close bug#21884, at least until further discussion.
8196 2015-11-21 Dmitry Gutov <dgutov@yandex.ru>
8198 Adhere closer to the "implicit tag name" definition
8200 * lisp/progmodes/etags.el (etags-tags-completion-table):
8201 Adhere closer to the "implicit tag name" definition. Simplify
8202 the regexp. Search for the explicit tag name first, and when
8203 not found, search locally for the implicit one. (Bug#21934)
8205 2015-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
8207 Unrevert most of regexp reentrancy abort patch
8210 * src/syntax.c (update_syntax_table_forward): Propertize even when truncated
8211 which is hence not unreverted.
8213 * src/syntax.h (UPDATE_SYNTAX_TABLE_FORWARD_FAST):
8214 (UPDATE_SYNTAX_TABLE_FAST): Re-introduce.
8215 All callers in regex.c changed back to the _FAST versions.
8217 * test/automated/message-mode-tests.el: Tweak the test to rely on auto
8218 propertization in backward-sexp.
8220 2015-11-21 Paul Eggert <eggert@cs.ucla.edu>
8222 Revert regexp reentrancy abort patch
8224 Although the patch does fix Bug#21688 and prevents a core dump,
8225 it also makes the message-mode-propertize test fail; see:
8226 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg01667.html
8227 Perhaps someone else can come up with a better fix some day.
8228 * src/syntax.c (update_syntax_table_forward):
8229 Propertize even when truncated.
8230 * src/syntax.h (UPDATE_SYNTAX_TABLE_FORWARD_FAST):
8231 (UPDATE_SYNTAX_TABLE_FAST): Remove.
8232 All callers changed back to the non-_FAST versions.
8234 2015-11-21 Paul Eggert <eggert@cs.ucla.edu>
8236 Add a few safety checks when ENABLE_CHECKING
8238 This was motivated by the recent addition of module code,
8239 which added some ENABLE_CHECKING-enabled checks that are
8240 useful elsewhere too.
8241 * src/alloc.c (compact_font_cache_entry):
8242 * src/fns.c (sweep_weak_table):
8243 * src/lread.c (oblookup):
8244 Use gc_asize rather than doing it by hand.
8245 * src/emacs-module.c (module_make_global_ref)
8246 (module_free_global_ref, module_vec_size):
8247 Omit assertions that lisp.h now checks.
8248 * src/lisp.h (XFASTINT, ASIZE): In functional implementations,
8249 check that the result is nonnegative. Use eassume, as this
8250 info can help a bit when optimizing production code.
8251 (XSYMBOL) [!USE_LSB_TAG]: Assert that argument is a symbol,
8252 to be consistent with the USE_LSB_TAG case.
8253 (gc_asize): New function, when ASIZE is needed in the gc.
8255 (HASH_TABLE_P): Move definition up, so that it can be used ...
8256 (XHASH_TABLE): ... here, to assert that the arg is a hash table.
8258 2015-11-21 Eli Zaretskii <eliz@gnu.org>
8260 Simplify recording of main thread's ID on MS-Windows
8262 * src/w32term.c (w32_initialize):
8263 * src/w32console.c (initialize_w32_display):
8264 * src/w32fns.c (globals_of_w32fns): Don't record the main thread
8265 ID independently for each type of session (GUI, TTY, batch).
8266 * src/w32term.c (w32_init_main_thread): New function, records the
8267 main thread's thread ID.
8268 * src/w32term.h: Add prototype for w32_init_main_thread.
8269 * src/emacs.c (main) [WINDOWSNT]: Call w32_init_main_thread.
8271 * src/emacs-module.c [WINDOWSNT]: Rename main_thread_id to
8272 main_thread, for consistency with other threading libraries. All
8273 users changed. Include w32term.h.
8274 (check_main_thread) [WINDOWSNT]: Simplify the test: no need to
8275 make sure the main thread is alive, as we hold a handle on it
8276 opened by w32_init_main_thread.
8277 (module_init) [WINDOWSNT]: Reuse the thread ID recorded by
8278 w32_init_main_thread, instead of calling the requisite APIs once
8281 2015-11-21 Eli Zaretskii <eliz@gnu.org>
8283 Call 'window-size-change-functions' for mini-windows
8285 * src/window.c (grow_mini_window, shrink_mini_window): Set the
8286 frame's 'window_sizes_changed' flag.
8287 * src/xdisp.c (redisplay_internal): Call the hooks on
8288 'window-size-change-functions' if the call to 'echo_area_display'
8289 sets the frame's 'window_sizes_changed' flag.
8290 (syms_of_xdisp) <window-size-change-functions>:
8291 Update doc string to indicate the mini-window resizes trigger a
8292 call to the hooks, and don't promise that will happen "before
8293 redisplay". (Bug#19576, Bug#21333)
8295 * doc/lispref/windows.texi (Window Hooks): Update the description
8296 of 'window-size-change-functions'.
8298 2015-11-21 Eli Zaretskii <eliz@gnu.org>
8300 Improve documentation of dynamic modules
8302 * src/fns.c (Frequire): Doc fix to include the dynamic module
8304 * src/lread.c (Fload, Vload_suffixes): Doc fixes to include the
8305 dynamic module support.
8306 (Fload): Treat the module suffix the same as '*.el' and '*.elc'
8307 wrt the MUST-SUFFIX argument.
8309 * etc/NEWS: Expand documentation of dynamically loaded modules.
8311 2015-11-21 Philipp Stephani <phst@google.com> (tiny change)
8313 Initial documentation for dynamic modules
8315 * etc/NEWS: Mention the new support for dynamically loaded modules.
8317 2015-11-20 Dmitry Gutov <dgutov@yandex.ru>
8319 Add xref--etags-backend to xref-backing-functions using add-hook
8321 * lisp/progmodes/xref.el (xref-backend-functions): Move the
8322 default value into a separate `add-hook' call (bug#21964).
8324 * lisp/progmodes/elisp-mode.el (emacs-lisp-mode):
8325 Don't declare the xref-backend-functions variable.
8326 It doesn't make any difference.
8328 2015-11-20 Paul Eggert <eggert@cs.ucla.edu>
8330 Fix double-decrement bug when freeing global refs
8332 * src/emacs-module.c (module_free_global_ref): Add a FIXME
8333 comment about error reporting. Fix a recently-introduced typo
8334 that double-decremented the refcount.
8336 2015-11-20 Paul Eggert <eggert@cs.ucla.edu>
8338 Declare emacs_module_init in the module API
8340 * src/emacs-module.h (emacs_module_init): New decl.
8341 Without it, GCC might complain about a module that defines
8342 emacs_module_init without using it. This also checks the
8345 2015-11-20 Paul Eggert <eggert@cs.ucla.edu>
8347 Fix module test to use ptrdiff_t nargs too
8349 * modules/mod-test/mod-test.c (Fmod_test_return_t)
8350 (Fmod_test_sum, Fmod_test_signal, Fmod_test_throw)
8351 (Fmod_test_non_local_exit_funcall, Fmod_test_globref_make)
8352 (Fmod_test_string_a_to_b, Fmod_test_userptr_make)
8353 (Fmod_test_userptr_get, Fmod_test_vector_fill)
8354 (Fmod_test_vector_eq): Arg counts are ptrdiff_t, not int.
8355 (finalizer): Remove; no longer used.
8357 2015-11-20 Paul Eggert <eggert@cs.ucla.edu>
8359 Fix reindent-introduced typo in module code
8361 * src/emacs-module.c (MODULE_SETJMP_1): Fix typo that I
8362 introduced while reindenting the code earlier, and add a
8363 comment explaining the unusual use of do-while here.
8365 2015-11-20 Anders Lindgren <andlind@gmail.com>
8367 Fixed bug#19576: `write-file' saves wrong buffer.
8369 If a function on the hook `window-size-change-functions' doesn't
8370 restore the current buffer, functions that save and restore the
8371 current window configuration (like `y-or-no-p') could silently
8372 change the current buffer. When `write-file' asked the user
8373 confirmation to overwrite a file, `y-or-no-p' changed the current
8374 buffer, and the wrong buffer was saved to the file.
8376 * lisp/follow.el (follow-windows-start-end): Call `select-frame'
8377 using the `norecord' parameter.
8378 (follow-window-size-change): Restore current buffer. Call
8379 `select-frame' using the `norecord' parameter. Cleanup.
8381 2015-11-20 John Wiegley <johnw@newartisans.com>
8383 Correct a documentation error in frames.texi
8385 2015-11-20 Stephen Leake <stephen_leake@stephe-leake.org>
8387 * lisp/cedet/mode-local.el: Delete obsolete comment
8389 2015-11-20 Paul Eggert <eggert@cs.ucla.edu>
8391 Module function arg counts are ptrdiff_t, not int
8393 * src/emacs-module.c (struct module_fun_env)
8394 (module_make_function, module_funcall, Fmodule_call):
8395 * src/emacs-module.h (struct emacs_runtime, struct emacs_env_25):
8396 Use ptrdiff_t, not int, for arg counts.
8397 * src/emacs-module.c (module_make_function): Don’t bother
8398 checking arity against MOST_POSITIVE_FIXNUM, as that’s
8399 unnecessary here. Make the checking clearer by negating it.
8400 (module_make_function, Fmodule_call): No need to use xzalloc
8401 since the storage doesn’t need to be cleared.
8402 (module_funcall): Don’t use VLA, since C11 doesn’t guarantee support
8403 for it, and many implementations are buggy with large VLAs anyway.
8404 Use SAFE_ALLOCA_LISP instead.
8405 (module_vec_set): Don’t crash if i < 0.
8406 (module_vec_get): Don’t crash if i < MOST_NEGATIVE_FIXNUM.
8407 (module_vec_set, module_vec_get): Do fixnum checks only when
8408 i is out of array bounds, for efficiency in the usual case.
8409 (Fmodule_load): Simplify fixnum range check.
8410 (Fmodule_call): Simplify arity check. Use xnmalloc to detect
8411 integer overflow in array allocation size.
8413 2015-11-20 Eli Zaretskii <eliz@gnu.org>
8415 Minor improvements in module test
8417 * modules/mod-test/mod-test.c: Include stdlib.h, to avoid warnings
8418 about missing prototype of malloc.
8419 * modules/mod-test/Makefile (CFLAGS): Add -std=gnu99, to avoid
8422 2015-11-20 Eli Zaretskii <eliz@gnu.org>
8424 Improve MS-Windows implementation in dynlib.c
8426 * src/dynlib.c [WINDOWSNT]: Include errno.h, lisp.h, and w32.h.
8427 No need to include windows.h, as w32.h already does that.
8428 <dynlib_last_err>: New static variable.
8429 (dynlib_reset_last_error): New function.
8430 (dynlib_open): Convert forward slashes to backslashes. Convert
8431 file names from UTF-8 to either UTF-16 or the current ANSI
8432 codepage, and call either LoadLibraryW or LoadLibraryA. If the
8433 argument is NULL, return a handle to the main module, like
8434 'dlopen' does. Record the error, if any, for use by dynlib_error.
8435 (dynlib_sym): Check the handle for validity. Record the error, if
8436 any, for use by dynlib_error.
8437 (dynlib_error): Call w32_strerror to produce the error string, and
8438 zero out the last error code, like dlerror does.
8439 (dynlib_close): Check the handle for validity. Record the error,
8440 if any, for use by dynlib_error. Don't call FreeLibrary with a
8441 handle for the main module.
8442 * src/w32.c (globals_of_w32): Call dynlib_reset_last_error.
8444 2015-11-20 Paul Eggert <eggert@cs.ucla.edu>
8446 Include-file tweaks for modules
8448 * src/dynlib.c, src/emacs-module.c: Include <config.h> first.
8449 * src/dynlib.h: Do not include config.h.
8450 It’s every .c file’s responsibility to include config.h first.
8451 * src/emacs-module.c: Include emacs-module.h immediately after
8452 config.h, to test that emacs-module.h doesn’t depend on
8453 include files other than config.h.
8455 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8457 Simplify push_handler and profile its malloc
8459 * src/lisp.h (PUSH_HANDLER): Remove.
8460 All callers changed to use push_handler directly.
8461 * src/eval.c (internal_condition_case)
8462 (internal_condition_case_1, internal_condition_case_2)
8463 (internal_condition_case_n):
8464 Use same pattern as for other invokers of push_handler.
8465 (push_handler, push_handler_nosignal): Use call-by-value
8466 instead of call-by-reference. All uses changed.
8467 (push_handler): Simplify by rewriting in terms of
8468 push_handler_nosignal.
8469 (push_handler_nosignal): Profile any newly allocated memory.
8471 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8473 * src/emacs-module.h: Include stddef.h, not stdlib.h.
8475 2015-11-19 Juanma Barranquero <lekktu@gmail.com>
8477 Discover repository version in linked worktrees (bug#21930)
8479 * lisp/version.el (emacs-repository--version-git-1): Do not assume
8480 HEAD is at .git/HEAD, it can also be at .git/worktrees/<branch>/HEAD.
8481 (emacs-repository-get-version): Grok linked worktrees when EXTERNAL
8484 2015-11-19 Juri Linkov <juri@linkov.net>
8486 * lisp/replace.el (occur-regexp-descr): New function.
8487 (occur-1, occur-engine): Use it.
8489 * lisp/isearch.el (isearch-occur): Propertize regexp with
8490 isearch-string and isearch-regexp-function-descr for
8491 occur-regexp-descr to display the correct description
8492 message in the header (bug#21176, bug#21180).
8494 2015-11-19 Karl Fogel <kfogel@red-bean.com>
8496 Revert `open-line' electric-indent sensitivity
8498 * lisp/simple.el (open-line): Remove electric indent code.
8499 (electric-indent-just-newline): Don't declare.
8501 * test/automated/simple-test.el (open-line-indent): Adjust test.
8503 This partly reverts Artur Malabarba's change that added electric
8504 indent sensitivity to `open-line' (Oct 24 22:26:27 2015 +0100, git
8505 commit bd4f04f86), and adjusts a new test he added right afterwards
8506 (Sat Oct 24 23:43:06 2015 +0100, git commit 207f235e3) accordingly.
8507 However, the new INTERACTIVE argument to `open-line', which he also
8508 added in the first commit, is not reverted here.
8510 See the thread "Questioning the new behavior of `open-line'." on the
8511 Emacs Devel mailing list, and in particular this message:
8513 From: Artur Malabarba
8514 Subject: Re: Questioning the new behavior of `open-line'.
8516 Cc: David Kastrup, Pierpaolo Bernardi, emacs-devel
8517 Date: Wed, 18 Nov 2015 21:03:58 +0000
8519 <CAAdUY-KN06pvCMy5bt3+Buk3yeKjf6n9iB2FaSTTOPpCqPwyhA@mail.gmail.com>
8521 https://lists.gnu.org/archive/html/emacs-devel/2015-11/msg01707.html
8523 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8525 Omit unnecessary clear in Fmodule_load
8527 * src/emacs-module.c (Fmodule_load):
8528 Simplify and avoid unnecessary initialization of priv member to 0.
8530 * src/emacs-module.c: (module_vec_set, module_vec_get, module_vec_size)
8532 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8534 Prefer signed integer types in module code
8536 Generally speaking, at the C level the Emacs source code prefers
8537 signed types like ‘ptrdiff_t’ to unsigned types like ‘size_t’,
8538 partly to avoid the usual signedness confusion when comparing values.
8539 Change the module API to follow this convention.
8540 Use ‘int’ for small values that can’t exceed INT_MAX.
8541 * modules/mod-test/mod-test.c (Fmod_test_globref_make)
8542 (Fmod_test_string_a_to_b, Fmod_test_vector_fill)
8543 (Fmod_test_vector_eq):
8544 * src/emacs-module.c (struct emacs_value_frame)
8545 (module_make_global_ref, module_free_global_ref)
8546 (module_copy_string_contents, module_make_string)
8547 (module_vec_set, module_vec_get, module_vec_size):
8548 * src/emacs-module.h (struct emacs_runtime, struct emacs_env_25):
8549 * src/lread.c (suffix_p):
8550 Prefer signed to unsigned integer types.
8552 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8554 Omit ‘const’ on locals
8556 Remove ‘const’ qualifier from locals that were newly added.
8557 We don’t normally bother declaring locals with ‘const’ even
8558 though they are not modified, for the same reason we don’t
8559 bother declaring them with ‘register’ even though their
8560 addresses are not taken; the advantage in compile-time
8561 checking isn’t worth the loss of readability.
8562 * modules/mod-test/mod-test.c (Fmod_test_non_local_exit_funcall)
8563 (Fmod_test_vector_fill, Fmod_test_vector_eq):
8564 * src/emacs-module.c (MODULE_SETJMP_1)
8565 (module_make_global_ref, module_free_global_ref)
8566 (module_non_local_exit_get, module_make_function)
8567 (module_extract_integer, module_extract_float)
8568 (module_get_user_ptr, module_set_user_ptr)
8569 (module_get_user_finalizer, module_set_user_finalizer)
8570 (module_vec_get, Fmodule_call)
8571 (module_non_local_exit_signal_1)
8572 (module_non_local_exit_throw_1, lisp_to_value)
8573 (finalize_storage, allocate_emacs_value, mark_modules)
8574 (module_handle_signal, module_handle_throw)
8575 (module_format_fun_env):
8576 * src/eval.c (push_handler, push_handler_nosignal)
8578 * src/lread.c (suffix_p):
8579 Omit unnecessary ‘const’.
8581 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8583 Prefer intmax_t to int64_t in module code
8585 * modules/mod-test/mod-test.c (sum, Fmod_test_sum):
8586 * src/emacs-module.c (module_extract_integer)
8587 (module_make_integer):
8588 * src/emacs-module.h (struct emacs_env_25):
8589 Prefer intmax_t to int64_t. This doesn’t change the generated
8590 code on any of the machines Emacs currently ports to, but it’s
8591 at least in theory more future-proof as C99 doesn’t guarantee
8592 that int64_t exists.
8594 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8596 Rename module.c to emacs-module.c, etc.
8598 * src/emacs-module.c: Rename from src/module.c.
8599 * src/emacs-module.h: Rename from src/module.h.
8602 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8604 Fix minor module problems found by static checking
8606 * src/dynlib.c (dynlib_close): #ifdef out for now, as it’s not used.
8607 * src/eval.c, src/lisp.h (lisp_eval_depth): Now static.
8608 * src/module.c (Fmodule_load): Fix pointer signedness bug.
8609 (Fmodule_call): Tell GCC that the default case is unreachable.
8611 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8613 Style fixes for indenting etc. in module code
8615 This is mostly indenting and spacing changes. Also, remove
8616 some unnecessary static decls instead of bothering to reindent them.
8617 * src/module.h (EMACS_EXTERN_C_BEGIN): Remove, and do this inline,
8618 as most other Emacs files do for this sort of thing.
8620 2015-11-19 Eli Zaretskii <eliz@gnu.org>
8622 Minor improvements in modules testing Makefile
8624 * modules/mod-test/Makefile (EMACS, SO): New variables.
8625 (CFLAGS): When SO = dll, don't use -fPIC.
8626 (check): New target, runs the test.
8628 2015-11-19 Eli Zaretskii <eliz@gnu.org>
8630 * .gitignore: Add "*.dll".
8632 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8634 Migrate modules/.gitignore into .gitignore
8636 * .gitignore: Add former contents of modules/.gitignore.
8637 * modules/.gitignore: Remove.
8639 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8641 Add copyright notices to module code
8643 Put them in the usual format for GNU Emacs copyright notices.
8645 2015-11-19 Paul Eggert <eggert@cs.ucla.edu>
8647 Rename emacs_module.h to module.h
8649 * src/module.h: Rename from src/emacs_module.h.
8652 2015-11-19 Juanma Barranquero <lekktu@gmail.com>
8654 * src/module.c (Fmodule_load): Remove unused vars `doc_name', `args'
8656 * src/lread.c (Fload): Remove unused variable `size'
8658 2015-11-19 Alan Mackenzie <acm@muc.de>
8660 src/keyboard.c (pre-command-hook): Fix typo in doc string: "pre" -> "post".
8662 2015-11-18 Dmitry Gutov <dgutov@yandex.ru>
8664 Prioritize looking inside vc-parent-buffer over log-view-mode fallback
8666 * lisp/vc/vc.el (vc-deduce-fileset): Prioritize looking inside
8667 vc-parent-buffer over log-view-mode fallback (bug#21955).
8669 2015-11-18 Alan Mackenzie <acm@muc.de>
8671 lisp/isearch.el: Eliminate macro isearch-call-message, replacing with funcall.
8673 2015-11-18 Ken Brown <kbrown@cornell.edu>
8675 * configure.ac (LIBMODULES): Don’t define on Cygwin
8677 2015-11-18 Eli Zaretskii <eliz@gnu.org>
8679 Fix MS-Windows build --with-modules
8681 * src/module.c: Reformat copyright commentary.
8682 (module_vec_get): Use explicit cast to size_t to avoid compiler
8683 warning in 32-bit builds.
8684 (check_main_thread) [WINDOWSNT]: Fix letter-case in Windows APIs.
8685 Compare thread IDs directly, as GetThreadId is not available
8686 before Windows Vista.
8687 (check_main_thread) [WINDOWSNT]: Duplicate the thread handle
8688 without using APIs and constants not available on XP and older
8689 systems. Obtain and store the thread ID as well.
8691 2015-11-18 Aurélien Aptel <aurelien.aptel@gmail.com>
8692 Philipp Stephani <phst@google.com>
8694 Add dynamic module test and helper script
8696 Add 'modhelp.py' script (python2) to automate module testing and
8699 To build and test all modules in the modules/ dir
8702 To generate a module from template code (good starting point)
8703 $ ./modhelp init mynewtestmodule
8705 See the script -h option for more documentation.
8707 * modules/modhelp.py: New module helper script.
8708 * modules/mod-test/Makefile: New file. Makefile for the test module.
8709 * modules/mod-test/mod-test.c: New file. Test module source file.
8710 * modules/mod-test/test.el: New file. ert test suite for the test module.
8711 * modules/.gitignore: New file. Local .gitignore file.
8713 2015-11-18 Aurélien Aptel <aurelien.aptel@gmail.com>
8715 Make 'Fload' look for modules
8717 'Fload' can now load dynamic modules. This also makes 'require' work.
8720 (suffix_p): New function.
8721 (Fload): Use 'suffix_p'. Call 'Fmodule_load' when we try to load a file
8722 with a module suffix.
8723 (syms_of_lread): Append module suffix to 'Vload_suffixes'.
8725 2015-11-18 Aurélien Aptel <aurelien.aptel@gmail.com>
8726 Philipp Stephani <phst@google.com>
8728 Add dynamic module module support
8730 * configure.ac: Add '--with-modules' option. Conditionally add
8731 dynlib.o and module.o to the list of objects. Add any system
8732 specific flags to the linker flags to support dynamic libraries.
8733 * m4/ax_gcc_var_attribute.m4: Add autoconf extension to test gcc
8735 * src/Makefile.in: Conditionally add module objects and linker flags.
8736 * src/alloc.c (garbage_collect_1): protect module local values from
8738 * src/lisp.h: Add 'module_init' and 'syms_of_module' prototypes.
8739 * src/emacs_module.h: New header file included by modules. Public
8741 * src/module.c: New module implementation file.
8743 2015-11-18 Aurélien Aptel <aurelien.aptel@gmail.com>
8745 Add new User Pointer (User_Ptr) type
8747 * src/lisp.h: Add new Lisp_Misc_User_Ptr type.
8748 (XUSER_PTR): New User_Ptr accessor.
8749 * src/alloc.c (make_user_ptr): New function.
8750 (mark_object, sweep_misc): Handle Lisp_Misc_User_Ptr.
8751 * src/data.c (Ftype_of): Return 'user-ptr' for user pointer.
8752 (Fuser-ptrp): New user pointer type predicate function.
8753 (syms_of_data): New 'user-ptrp', 'user-ptr' symbol. New 'user-ptrp'
8755 * src/print.c (print_object): Add printer for User_Ptr type.
8757 2015-11-18 Aurélien Aptel <aurelien.aptel@gmail.com>
8758 Philipp Stephani <phst@google.com>
8760 Add portable layer for dynamic loading
8762 * src/dynlib.h: New file.
8763 * src/dynlib.c: New file.
8765 2015-11-18 Philipp Stephani <phst@google.com>
8767 Add catch-all & no-signal version of PUSH_HANDLER
8769 Ground work for modules. Add a non-signaling version of PUSH_HANDLER and
8770 a new "catch-all" handler type.
8772 * src/eval.c (init_handler, push_handler, push_handler_nosignal): New
8774 * src/fns.c (hash_remove_from_table): Expose function public.
8775 * src/lisp.h: New handler type, define macro to push_handler call.
8777 2015-11-18 Ken Brown <kbrown@cornell.edu>
8779 Silence byte-compiler warning
8781 * lisp/server.el (server-process-filter): Silence byte-compiler
8784 2015-11-18 Paul Eggert <eggert@cs.ucla.edu>
8786 Quote symbols in docstrings using `'
8788 Be more systematic about quoting symbols `like-this' rather than
8789 `like-this or 'like-this' in docstrings. This follows up Artur
8790 Malabarba's email in:
8791 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg01647.html
8793 2015-11-18 Peder O. Klingenberg <peder@klingenberg.no>
8795 Fix savegames in dunnet
8797 * lisp/play/dunnet.el (dun-rot13): Use the standard rot13-region instead
8798 of separate implementation.
8800 2015-11-18 Artur Malabarba <bruce.connor.am@gmail.com>
8802 * lisp/emacs-lisp/package.el (package--with-response-buffer):
8804 Ensure we're at the start of the buffer before searching for
8807 2015-11-17 Xue Fuqiao <xfq.free@gmail.com>
8809 * admin/release-process: Improve wording.
8811 2015-11-17 Paul Eggert <eggert@cs.ucla.edu>
8813 Fix docstring quoting problems with ‘ '’
8815 Problem reported by Artur Malabarba in:
8816 http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg01513.html
8817 Most of these fixes are to documentation; many involve fixing
8818 longstanding quoting glitches that are independent of the
8819 recent substitute-command-keys changes. The changes to code are:
8820 * lisp/cedet/mode-local.el (mode-local-augment-function-help)
8821 (describe-mode-local-overload):
8822 Substitute docstrings before displaying them.
8823 * lisp/emacs-lisp/cl-macs.el (cl--transform-lambda):
8824 Quote the generated docstring for later substitution.
8826 2015-11-17 Eli Zaretskii <eliz@gnu.org>
8828 Improve configure --help text for wide ints
8830 * configure.ac (wide-int): Clarify user-level advantages and
8833 2015-11-17 Stephen Leake <stephen_leake@stephe-leake.org>
8837 * lisp/progmodes/xref.el (xref-backend-references): Improve doc string.
8839 2015-11-17 Paul Eggert <eggert@cs.ucla.edu>
8841 eval_sub followed dangling pointer when debugging
8843 Problem reported by Pip Cet (Bug#21245).
8844 This bug could occur in eval_sub if the C compiler reused
8845 storage associated with the ‘argvals’ local after ‘argvals’
8846 went out of scope, and if the Elisp debugger stopped on Elisp
8847 function exit and accessed ‘argvals’. It could also occur if
8848 a variadic function was called with so many arguments (over
8849 2048 args on x86-64) that SAFE_ALLOCA_LISP called malloc, then
8850 SAFE_FREE freed the arguments, then the memory manager used
8851 the storage for other purposes, then the debugger accessed the
8853 * src/eval.c (eval_sub): Declare ‘argvals’ at top level of
8854 function body. Simplify local decls.
8855 When allocating args via SAFE_ALLOCA, call
8856 debugger before invoking SAFE_FREE, as the debugger needs
8858 (eval_sub, apply_lambda): Rework to avoid need for
8859 set_backtrace_debug_on_exit hack. This is cleaner,
8860 and should work better with buggy custom debuggers.
8862 2015-11-16 Daiki Ueno <ueno@gnu.org>
8864 * lisp/image-mode.el: Support encrypted file
8866 (image-toggle-display-image): Read content from the buffer instead
8867 of the file, if the buffer holds a decrypted data. (Bug#21870)
8869 2015-11-16 Paul Eggert <eggert@cs.ucla.edu>
8871 ELF unexec: align section header
8873 This ports the recent unexelf.c changes to Fedora x86-64
8874 when configured with GCC’s -fsanitize=undefined option.
8875 * src/unexelf.c (unexec): Align new_data2_size to a multiple
8876 of ElfW (Shdr)’s alignment, so that NEW_SECTION_H returns a
8877 pointer aligned appropriately for its type.
8879 2015-11-16 Andreas Schwab <schwab@linux-m68k.org>
8881 Do more checks on bytecode objects (Bug#21929)
8883 * src/eval.c (funcall_lambda): Check size of compiled function
8885 (Ffetch_bytecode): Likewise.
8887 2015-11-16 Johan Bockgård <bojohan@gnu.org>
8889 pcase.el: Fix edebugging of backquoted cons patterns
8891 * lisp/emacs-lisp/pcase.el (pcase-QPAT): Fix edebugging of backquoted
8892 cons patterns. (Bug#21920)
8894 2015-11-16 Paul Eggert <eggert@cs.ucla.edu>
8896 Improve fix for regex reentrancy abort
8898 Suggested by Stefan Monnier (Bug#21688).
8899 * src/syntax.c (update_syntax_table_forward):
8900 Remove recently-added PROPERTIZE arg, and assume it is true.
8901 All callers changed.
8902 * src/syntax.h (UPDATE_SYNTAX_TABLE_FORWARD_FAST):
8903 Invoke update_syntax_table directly.
8905 2015-11-16 Artur Malabarba <bruce.connor.am@gmail.com>
8907 * lisp/faces.el (faces--attribute-at-point): Use `face-list-p'
8909 * lisp/emacs-lisp/package.el (package--with-response-buffer): Missing require
8911 * lisp/emacs-lisp/nadvice.el (add-function): Escape quote
8913 2015-11-15 Vasily Korytov <vasily.korytov@yahoo.com>
8915 Recognize .rbw and .pyw files (bug#18753)
8917 * lisp/progmodes/python.el (auto-mode-alist):
8918 Recognize .pyw files.
8920 * lisp/progmodes/ruby-mode.el (auto-mode-alist):
8921 Recognize .rbw files.
8923 2015-11-15 Dmitry Gutov <dgutov@yandex.ru>
8925 Fix ruby-mode auto-mode-alist entry
8927 * lisp/progmodes/ruby-mode.el (auto-mode-alist): Add grouping
8928 around the extensions (bug#21257).
8930 2015-11-15 Dmitry Gutov <dgutov@yandex.ru>
8932 Fix etags completion near eob
8934 * lisp/progmodes/etags.el (tags-completion-at-point-function):
8935 Use `goto-char', to avoid the end-of-buffer error (bug#20061).
8937 2015-11-15 Alan Mackenzie <acm@muc.de>
8939 De-pessimize detection of C++ member initialization lists.
8941 list/progmodes/cc-engine.el (c-back-over-list-of-member-inits): New macro.
8942 (c-back-over-member-initializers): Reformulate such that c-at-toplevel-p
8943 is only called when a construct "looks right" rather than continually.
8944 (c-guess-basic-syntax, CASE 5R): Add a check for the mode being C++ Mode.
8946 2015-11-15 Stephen Leake <stephen_leake@stephe-leake.org>
8948 Improve a few doc strings, comments
8950 * lisp/cedet/cedet-global.el (cedet-gnu-global-expand-filename):
8951 * lisp/cedet/ede/locate.el (ede-locate-base):
8952 * lisp/cedet/semantic/symref.el (semantic-symref-calculate-rootdir):
8953 * src/fns.c (Fdelq): Improve doc string.
8955 * lisp/progmodes/elisp-mode.el (elisp--xref-find-definitions): Add FIXME.
8957 2015-11-15 Anders Lindgren <andlind@gmail.com>
8959 Enhance NSTRACE (trace output for NextStep).
8961 Trace can be disabled for groups of functions. By default, event
8962 functions and functions that generate lots of output are disabled.
8964 Trace output of Objective-C functions now use the "[ClassName
8967 * src/nsterm.h (NSTRACE_ALL_GROUPS, NSTRACE_GROUP_EVENTS)
8968 (NSTRACE_GROUP_UPDATES, NSTRACE_GROUP_FRINGE, NSTRACE_GROUP_COLOR)
8969 (NSTRACE_GROUP_GLYPHS, NSTRACE_GROUP_FOCUS): New macros,
8970 controlling in which function groups trace should be active.
8971 (NSTRACE_WHEN): Support for silencing a function, this also
8972 silencing all called functions.
8973 (NSTRACE_UNSILENCE): New macro, used to re-enable trace.
8974 (NSTRACE_FMT_FSTYPE, NSTRACE_ARG_FSTYPE): New macros, used to
8975 print the full screen state in NSTRACE functions.
8977 * src/nsterm.m (nstrace_depth, nstrace_num): Made volatile as they
8978 can be accessed from multiple threads.
8979 (nstrace_enabled_global): New variable, when FALSE, trace is
8981 (nstrace_restore_global_trace_state): New function, used to
8982 restore `nstrace_enabled_global' at end of block.
8983 ([EmacsView setFrame:], [EmacsWindow setFrame:display:])
8984 ([EmacsWindow setFrame:display:animation:])
8985 ([EmacsWindow setFrameTopLeftPoint:]): New functions, print trace
8986 and call corresponding super function.
8987 (Many functions): Add or enhance trace output.
8989 * src/nsimage.m (ns_image_from_file): Enhanced trace output.
8991 * src/nsfns.m (x_set_tool_bar_lines): Add trace output.
8993 * src/nsmenu.m ([EmacsToolbar setVisible:]): New function, print trace
8994 and call corresponding super function.
8996 2015-11-15 Anders Lindgren <andlind@gmail.com>
8998 Fixed a toolbar related issue on OS X.
9000 Earlier, when toggling the tool-bar in a maximized frame, the
9001 frame size didn't match the number of text lines, leaving an
9002 unused area at the bottom of the frame.
9004 * nsfns.m (x_set_tool_bar_lines): Exit maximized and full height
9005 fullscreen modes when tool bar is disabled.
9007 2015-11-15 Anders Lindgren <andlind@gmail.com>
9009 Fixed OS X 10.6.8 build issue (bug#21862).
9011 * src/nsterm.h (EmacsView): Add missing declarations.
9012 * src/nsterm.m ([EmacsView windowDidBecomeKey]): New method, like
9013 the standard method but without the notification parameter.
9014 Intended to be used for direct calls.
9015 ([EmacsView windowDidEnterFullScreen]): Call the non-notification
9016 version of `windowDidBecomeKey'. Made the notification method call
9017 the non-notification method instead of the vice versa.
9018 (NSWindowDidEnterFullScreenNotification): Deleted, no longer
9021 2015-11-15 Artur Malabarba <bruce.connor.am@gmail.com>
9023 * lisp/faces.el (faces--attribute-at-point): Fix an issue
9025 Previous code would signal an error when the face at point was
9026 a manually built list of attributes such as '(:foregroud "white").
9028 * test/automated/faces-tests.el (faces--test-color-at-point): Add a test
9030 2015-11-15 Paul Eggert <eggert@cs.ucla.edu>
9032 Fix regex abort when it tries to reenter itself
9034 Problem reported by Ken Raeburn.
9035 Solution suggested by Stefan Monnier (Bug#21688).
9036 * src/regex.c (re_match_2_internal):
9037 Use new _FAST functions to avoid regex code reentering itself.
9038 * src/syntax.c (update_syntax_table_forward): New arg PROPERTIZE.
9039 All callers changed.
9040 * src/syntax.h (UPDATE_SYNTAX_TABLE_FORWARD_FAST)
9041 (UPDATE_SYNTAX_TABLE_FAST): New inline functions.
9043 2015-11-15 Dmitry Gutov <dgutov@yandex.ru>
9045 Improve Ruby 1.9-style keyword keys highlighting
9047 * lisp/progmodes/ruby-mode.el (ruby-font-lock-keywords):
9048 Handle required keyword arguments (bug#21367).
9049 And highlight the colon together with the name.
9051 2015-11-15 Dmitry Gutov <dgutov@yandex.ru>
9053 Unify the absolutely equal xref-backend-references implementations
9055 * lisp/progmodes/elisp-mode.el (xref-backend-references):
9058 * lisp/progmodes/etags.el (xref-backend-references):
9061 * lisp/progmodes/xref.el (xref-backend-references):
9062 Define the default implementation.
9064 2015-11-14 Dmitry Gutov <dgutov@yandex.ru>
9066 Update project-find-regexp for the new xref API
9068 * lisp/progmodes/project.el (project--read-regexp):
9069 Update to use the new xref API methods.
9071 * lisp/progmodes/xref.el (xref-find-backend): Autoload.
9073 2015-11-14 Dmitry Gutov <dgutov@yandex.ru>
9075 Fix replacing a match with a shorter string
9077 In effect, partially reverting fe973fc.
9079 * lisp/progmodes/xref.el (xref-query-replace): Store the end
9080 of each match as a marker again, instead of length.
9081 (xref--query-replace-1): Update accordingly.
9083 2015-11-14 Artur Malabarba <bruce.connor.am@gmail.com>
9085 * lisp/progmodes/xref.el (xref-pop-marker-stack): Downgrade errors
9087 Signal user-errors instead.
9089 2015-11-14 Eli Zaretskii <eliz@gnu.org>
9091 Document 'describe-symbol'
9093 * doc/emacs/help.texi (Help Summary): Mention "C-h o".
9094 (Name Help): Document "C-h o" and describe-symbol.
9096 * lisp/help-fns.el (describe-symbol): Doc fix.
9098 2015-11-14 Paul Eggert <eggert@cs.ucla.edu>
9100 Change test name to avoid spellcheck issue.
9102 2015-11-14 Eli Zaretskii <eliz@gnu.org>
9104 Avoid signaling an error in 'describe-symbol'
9106 * lisp/help-fns.el (describe-symbol): Avoid errors when the symbol
9107 exists as a function/variable/face/etc., but is undocumented.
9109 * test/automated/help-fns.el (help-fns-test-describe-symbol): New
9112 2015-11-14 Eli Zaretskii <eliz@gnu.org>
9114 * INSTALL (--with-cairo): Document this new configure option.
9116 2015-11-14 Eli Zaretskii <eliz@gnu.org>
9118 Document that GNU Make >= 3.81 is required to build Emacs
9120 * doc/lispref/internals.texi (Building Emacs): Document that GNU
9121 Make 3.81 or later is now required.
9123 2015-11-14 Eli Zaretskii <eliz@gnu.org>
9125 * CONTRIBUTE (Branches): Improve wording for back-ported commits.
9127 2015-11-13 l3thal <kwhite@gnu.org>
9129 Merge branch 'erc-async-reconnect' into emacs-25
9131 Reconnect asynchronously.
9133 2015-11-11 Alan Mackenzie <acm@muc.de>
9135 First commit to scratch/follow. Make Isearch work with Follow Mode, etc.
9137 doc/lispref/window.texi (Basic Windows): Add paragraph defining "Group of
9138 Windows" and new @defun selected-window-group.
9139 (Window Start and End): Describe new &optional parameter GROUP and
9140 ...-group-function for window-start, window-end, set-window-start, and
9141 pos-visible-in-window-p.
9142 (Textual Scrolling) Describe the same for recenter.
9143 doc/lispref/positions.texi (Screen Lines): Describe the same for
9144 move-to-window-line.
9146 src/window.c (Fwindow_start, Fwindow_end, Fset_window_start)
9147 (Fpos_visible_in_window_p, Frecenter, Fmove_to_window_line): To each, add ar
9148 new optional parameter "group". At the beginning of each, check whether the
9149 corresponding ...-group-function is set to a function, and if so execute this
9150 function in place of the normal processing.
9151 (syms_of_window): Define symbols for the six new variables below.
9152 (window-start-group-function, window-end-group-function)
9153 (set-window-start-group-function, recenter-group-function)
9154 (pos-visible-in-window-p-group-function, move-to-window-line-group-function):
9155 New permanent local buffer local variables.
9156 src/keyboard.c (Fposn_at_point): Add extra parameter in call to
9157 Fpos_visible_in_window_p.
9159 lisp/window.el (selected-window-group-function): New permanent local buffer
9161 (selected-window-group): New function.
9163 lisp/follow.el (follow-mode): Set the ...-group-function variables at mode
9164 enable, kill them at mode disable. Add/remove follow-after-change to/from
9165 after-change-functions.
9166 (follow-start-end-invalid): New variable.
9167 (follow-redisplay): Manipulate follow-start-end-invalid.
9168 (follow-after-change, follow-window-start, follow-window-end)
9169 (follow-set-window-start, follow-pos-visible-in-window-p)
9170 (follow-move-to-window-line, follow-sit-for): New functions.
9172 lisp/isearch.el (isearch-call-message): New macro.
9173 (isearch-update, with-isearch-suspended, isearch-del-char)
9174 (isearch-search-and-update, isearch-ring-adjust): Invoke above new macro.
9175 (with-isearch-suspended): Rearrange code such that isearch-call-message is
9176 invoked before point is moved.
9177 (isearch-message): Add comment about where point must be at function call.
9178 (isearch-search): Remove call to isearch-message.
9179 (isearch-lazy-highlight-window-group): New variable.
9180 (isearch-lazy-highlight-new-loop): Unconditionally start idle timer. Move
9181 the battery of tests to ...
9182 (isearch-lazy-highlight-maybe-new-loop): New function, started by idle timer.
9183 Note: (sit-for 0) is still called.
9184 (isearch-lazy-highlight-update): Check membership of
9185 isearch-lazy-highlight-window-group. Don't set the `window' overlay
9187 (isearch-update, isearch-done, isearch-string-out-of-window)
9188 (isearch-back-into-window, isearch-lazy-highlight-maybe-new-loop)
9189 (isearch-lazy-highlight-search, isearch-lazy-highlight-update)
9190 (isearch-lazy-highlight-update): Call the six amended primitives (see
9191 src/window.c above) with the new `group' argument set to t, to cooperate
9194 2015-12-27 Lars Ingebrigtsen <larsi@gnus.org>
9196 * shr.el (shr-descend): Allow using lambdas in external functions.
9198 2015-12-25 Stefan Monnier <monnier@iro.umontreal.ca>
9200 * admin/gitmerge.el: Tweaks that seemed necessary
9202 * admin/gitmerge.el (gitmerge-skip-regexp): Remove "sync".
9203 (gitmerge-maybe-resume): Provide explicit empty commit message.
9205 2015-12-25 Łukasz Stelmach <stlman@poczta.fm> (tiny change)
9207 Use a different port for TLS erc
9209 * lisp/erc/erc.el (erc-default-port-tls): New variable
9211 * lisp/erc/erc.el (erc-tls): Use it.
9213 2015-12-25 Alain Schneble <a.s@realize.ch>
9215 Make relative URL parsing and resolution consistent with RFC 3986 (bug#22044)
9217 * test/lisp/url/url-parse-tests.el: Add tests covering url-generic-parse-url.
9218 * test/lisp/url/url-expand-tests.el: Add tests covering url-expand-file-name.
9219 * lisp/url/url-parse.el (url-generic-parse-url): Keep empty fragment
9220 information in URL-struct.
9221 * lisp/url/url-parse.el (url-path-and-query): Do not artificially turn empty
9222 path and query into nil path and query, respectively.
9223 * lisp/url/url-expand.el (url-expander-remove-relative-links): Do not turn
9224 empty path into an absolute ("/") path.
9225 * lisp/url/url-expand.el (url-expand-file-name): Properly resolve
9226 fragment-only URIs. Do not just return them unchanged.
9227 * lisp/url/url-expand.el (url-default-expander): An empty path in the relative
9228 reference URI should not drop the last segment.
9230 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9232 Let url use default file modes when copying files
9234 * lisp/url/url-handlers.el (url-copy-file): Use default file
9235 modes when copying files (bug#11400).
9237 2015-12-25 Devon Sean McCullough <Emacs-Hacker2012@jovi.net>
9239 Doc fix for url-http
9241 * lisp/url/url-http.el (url-http): Document better return values
9242 (bug#13187) (tiny change)
9244 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9246 * eww.el (eww-display-html): Support <button> tags (bug#20485).
9248 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9250 Made ffap-url-p a defun instead of a defsubst
9252 * lisp/ffap.el (ffap-url-p): Change from defsusbt to defun,
9253 since there doesn't seem to be much of a reason for it to be a
9254 defsubst (bug#18203).
9256 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9258 Add a command to view files in the browser to dired
9260 * lisp/dired.el (dired-mode-map): Add the `W' command
9263 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9265 Allow http://user:pass@foo/ URLs again
9267 * lisp/url/url-auth.el (url-basic-auth): Allow explicit
9268 user/passwords in URLs (bug#19046).
9270 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9272 * eww.el (eww-mode-map): Fix command name of eww-toggle-colors.
9274 2015-12-25 Samer Masterson <samer@samertm.com>
9276 Autoload url-insert-buffer-contents
9278 * lisp/url/url-handlers.el: Add autoload cookie so that
9279 `package-list-packages' doesn't bug out (bug#21927) (tiny change)
9281 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9283 Allow toggling colors in eww
9285 * doc/misc/eww.texi (Basics): Mention "C".
9287 * lisp/net/eww.el (eww-toggle-colors): New command and keystroke.
9289 * lisp/net/shr.el (shr-use-colors): New variable.
9290 (shr-colorize-region): Use it.
9292 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9294 Follow meta refresh tags in eww
9296 * eww.el (eww-tag-meta): Follow meta refresh tags (bug#22234).
9298 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9300 More eww file name coding fixes
9302 * eww.el (eww-decode-url-file-name): Use the base coding
9303 system to check for encodability.
9305 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9307 Always save eww history
9309 * eww.el (eww-setup-buffer): Always save history, even when
9310 called from outside the eww buffer (bug#19638).
9312 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9314 Default web pages to right-to-left
9316 * eww.el (eww-mode): Most web pages are left-to-right, so make
9317 that the default (bug#19801).
9319 * shr.el (shr-tag-html): Respect "dir" attributes
9320 (left-to-right, right-to-left).
9322 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9324 Make toggling checkboxes work again
9326 * eww.el (eww-update-field): Make toggling checkboxes work
9329 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9331 Don't store cookies with empty names
9333 * lisp/url/url-cookie.el (url-cookie-store): Refuse to store
9334 cookies with empty names (bug#21936).
9336 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9338 * shr.el (shr-descend): Stop rendering before we run out of
9339 specpdl room (bug#22117).
9341 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9343 Use cl-reduce, not reduce.
9345 2015-12-25 Lars Ingebrigtsen <larsi@gnus.org>
9347 Allow several <tbody> tags in shr
9349 * shr.el (shr-table-body): New function to find the real body
9351 (shr-tag-table): Use it to render several <tbody> tags in a
9354 2015-12-24 Lars Ingebrigtsen <larsi@gnus.org>
9356 Make prettier unique file names in eww
9358 (eww-make-unique-file-name): Make unique file names by making
9359 files like foo(2).jpg instead of foo(1)(2).jpg.
9361 2015-12-24 Lars Ingebrigtsen <larsi@gnus.org>
9363 Decode hex-encoded URLs before using them as file names
9365 * eww.el (eww-decode-url-file-name): New function.
9366 (eww-download-callback): Use it to decode file names before
9369 2015-12-24 Ashish SHUKLA <ashish.is@lostca.se> (tiny change)
9371 * doc/misc/emacs-gnutls.texi (Help For Users): Document FreeBSD bundle.
9372 * lisp/net/gnutls.el (gnutls-trustfiles): Add FreeBSD cert bundle.
9374 2015-12-24 Lars Ingebrigtsen <larsi@gnus.org>
9376 Allow overriding shr functions from eww
9378 * eww.el (eww-display-html): Allow overriding elements in
9379 `shr-external-rendering-functions'.
9381 2015-12-24 Lars Ingebrigtsen <larsi@gnus.org>
9383 Ignore invalid SVG images
9385 * shr.el (shr-tag-svg): Ignore SVG images that have no width
9386 or height, because these can't be displayed by ImageMagick,
9389 2015-12-24 Lars Ingebrigtsen <larsi@gnus.org>
9391 shr table rendering fix
9393 * shr.el (shr-tag-table): Allow rendering body-less tables
9396 2015-12-22 Sam Steingold <sds@gnu.org>
9398 clipboard should still work even if interprogram-* is disabled
9400 (clipboard-yank): When `interprogram-cut-function' is nil,
9401 bind it to `gui-selection-value' - the default value.
9402 (clipboard-kill-region, clipboard-kill-ring-save): When
9403 `interprogram-paste-function' is nil, bind it to `gui-select-text' -
9406 2015-12-18 Phillip Lord <phillip.lord@russet.org.uk>
9408 Fix bootstrap issue with dired-loaddefs
9410 * lisp/dired.el: Autoloads for secondary files loaded optionally.
9412 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9414 dired generate autoloads to non-versioned file.
9416 * lisp/dired.el: Remove autoloads.
9417 * lisp/Makefile.in: Add dired to autogenel.
9418 * lisp/dired-aux.el,lisp/dired-x.el: Update file local.
9419 * test/lisp/dired-tests.el: Add new test.
9421 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9423 eieio generate autoloads to non-versioned file.
9425 * lisp/Makefile.in: eieio-loaddefs add to autogenel.
9426 * lisp/emacs-lisp/eieio.el,lisp/emacs-lisp/eieio-core.el:
9428 * lisp/emacs-lisp/eieio-compat.el,lisp/emacs-lisp/eieio-custom.el,
9429 lisp/emacs-lisp/eieio-opt.el: Update file local.
9430 * test/lisp/emacs-lisp/eieio-tests/eieio-tests.el: New test.
9432 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9434 htmlfontify generate autoload to non-versioned file.
9436 * lisp/Makefile.in: Add htmlfontity-loaddefs to autogenel.
9437 * lisp/hfy-cmap.el: Update file local.
9438 * lisp/htmlfontify.el: Remove autoloads, add require.
9439 * test/lisp/htmlfontify-tests.el: Test autoload functionality.
9441 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9443 ibuffer generate autoloads to non-versioned file.
9445 * lisp/Makefile.in: Add ibuffer-loaddefs to autogenel.
9446 * lisp/ibuf-ext.el: Update file local.
9447 * lisp/ibuffer.el: Remove autoloads and add a require.
9448 * test/lisp/ibuffer-tests.el: Test that autoload is working.
9450 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9452 rmail generate autoloads to non-versioned file.
9454 * lisp/Makefile.in: Add rmail-loaddefs.el to autogenel.
9455 * lisp/mail/rmail.el: Remove autoloads, add require.
9456 * lisp/mail/rmailedit.el,lisp/mail/rmailkwd.el,
9457 lisp/mail/rmailmm.el,lisp/mail/rmailmsc.el,
9458 lisp/mail/rmailsort.el,lisp/mail/rmailsum.el,
9459 lisp/mail/undigest.el: Update file-local.
9460 * test/lisp/mail/rmail-tests.el:
9462 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9464 Add autoload-force target.
9466 * lisp/Makefile.in (autoload-force): New target.
9468 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9470 ps-print generate autoloads to non versioned file.
9472 * lisp/Makefile.in: Add ps-print-loaddefs.el to autogen list.
9473 * lisp/ps-print.el: Remove autoloads.
9474 * lisp/ps-mule.el: Update file-local.
9475 * test/lisp/ps-print-tests.el: Test autoload functionality.
9477 2015-12-17 Phillip Lord <phillip.lord@russet.org.uk>
9479 reftex generate autoloads to non versioned file.
9481 * lisp/Makefile.in: Add reftex-loaddefs to autogen files
9482 * lisp/textmodes/reftex.el: Remove autoloads.
9483 * lisp/textmodes/reftex-auc.el,lisp/textmodes/reftex-cite.el,
9484 lisp/textmodes/reftex-dcr.el,lisp/textmodes/reftex-global.el,
9485 lisp/textmodes/reftex-index.el,lisp/textmodes/reftex-parse.el,
9486 lisp/textmodes/reftex-ref.el,lisp/textmodes/reftex-sel.el,
9487 lisp/textmodes/reftex-toc.el: Update autoload file-local.
9488 * test/lisp/textmodes/reftex-tests.el: Add test of an autoloaded
9491 2015-12-07 Stefan Monnier <monnier@iro.umontreal.ca>
9493 * lisp/calculator.el (calculator-define-key): Undo last change
9495 Make map argument mandatory instead (bug#22106).
9496 (calculator-add-operators): Pass the argument that's not optional any more.
9498 2015-12-03 Glenn Morris <rgm@gnu.org>
9500 * Makefile.in: Avoid duplication.
9502 (have-tests): New rule.
9503 (check, check-maybe): Use it.
9505 2015-12-02 Phillip Lord <phillip.lord@russet.org.uk>
9507 make check unconditional, check-maybe top-level.
9509 * Makefile.in: Add check-maybe target.
9510 * test/Makefile.in: Restore unconditional behaviour to make check.
9512 2015-12-01 Phillip Lord <phillip.lord@russet.org.uk>
9514 Tests now support out-of-source-build.
9516 * tests/Makefile.in,test/make-test-deps.emacs-lisp: Remove assumptions
9517 about current working directory.
9519 2015-12-01 Artur Malabarba <bruce.connor.am@gmail.com>
9521 * lisp/emacs-lisp/let-alist.el: Now an Elpa :core package
9523 2015-11-30 Phillip Lord <phillip.lord@russet.org.uk>
9525 Improve documentation and clean up.
9527 * test/Makefile.in: Improve documentation, use EMACS variable
9528 correctly, and clean up makefile rules.
9530 2015-11-30 Phillip Lord <phillip.lord@russet.org.uk>
9532 Update file headers for name change.
9534 * (test/src/decompress-tests.el, test/src/alloc-tests.el): Update headers.
9536 2015-11-30 Phillip Lord <phillip.lord@russet.org.uk>
9538 Test files renamed to new scheme.
9540 * (finalizer-tests.el): Now renamed alloc-tests.el
9541 * (zlib-tests.el): Now renamed decompress-tests.el.
9543 2015-11-30 Phillip Lord <phillip.lord@russet.org.uk>
9545 Tests now depend on source files
9547 * test/Makefile.in: Include dependences from tests to source files.
9548 * test/make-test-deps.emacs-lisp: New file
9549 * .gitignore: Ignore generated make include file
9551 2015-11-30 Stefan Monnier <monnier@iro.umontreal.ca>
9553 * lisp/progmodes/which-func.el: Improve disabling the mode
9555 Use lexical-binding.
9556 (which-func-modes, which-func-non-auto-modes, which-func-maxout)
9557 (which-func, which-func-format): Remove redundant :group arg.
9558 (which-func-try-to-enable): New function.
9559 (which-func-ff-hook, which-function-mode): Use it.
9560 (mode-line-misc-info): Add ourselves here instead of in bindings.el.
9561 * lisp/bindings.el (mode-line-misc-info): Remove which-func-mode entry.
9563 2015-11-30 Stefan Monnier <monnier@iro.umontreal.ca>
9565 * lisp/calculator.el (calculator-define-key): Silence warning
9567 ...about unknown calculator-mode-map.
9569 2015-11-29 Eli Barzilay <eli@barzilay.org>
9571 * lisp/calculator.el: more improvements and bugfixes.
9573 - Mark `calculator-paste-decimals' as obsolete. (It wasn't having an
9576 - Simplify `calculator-number-to-string' by throwing most of the work
9577 onto `number-to-string', leaving just some tweaks for decimal inputs.
9578 This leads to some minor changes, for example, pasting "1x1" in hex
9579 mode would warn that "x" is ignored and result in "11" (and it wasn't
9580 done in decimal mode), whereas now it just ignores everything from the
9581 "x" and on and result in a "1" just like in decimal input mode. Also,
9582 overflows are left for `number-to-string' to deal with.
9584 - `calculator-paste' is very simple as a result.
9586 - Extend the simplified `calculator-paste': with a prefix argument it
9587 pastes a string as if the characters were entered. This can be used
9588 to reduce expressions, but note that it's a simple literal operation,
9589 so precedence can be messed, a number can be paster while entering a
9590 number, spaces and newlines matter, etc.
9592 - Fix a minor bug where "e+" in hex mode wouldn't use "+" as an
9595 - Fix a bug in `calculator-put-value': avoid grouping in the display
9596 that is used to construct `calculator-curnum'. This would trigger
9597 when pasting or getting a value from a register in some radix mode
9598 with a large enough value. Another fix: make the output radix equal
9599 the input one, otherwise numbers could be converted twice.
9601 2015-11-29 Eli Barzilay <eli@barzilay.org>
9603 * lisp/calculator.el: Re-do key bindings.
9605 Use a helper function that arranges a parent keymap that binds alternate
9606 case keys so if some letter key is unbound and it's un/shifted version
9607 is, it will get used. This makes the global-map trickery unnecessary.
9609 Also switch to passing strings that name keys through `kbd'.
9611 2015-11-29 Eli Barzilay <eli@barzilay.org>
9613 * lisp/calculator.el: improve radix modes
9615 Fix prompt for some input radix with decimal output (eg, "BD" instead of
9616 the incorrect "B="); also, some minor docstring tweaks for these.
9618 2015-11-29 Eli Barzilay <eli@barzilay.org>
9620 * lisp/calculator.el: better reading of register names
9622 Use `register-read-with-preview' with a dynamically bound
9623 `register-alist' and a proper preview function to read register names.
9625 2015-11-29 Eli Barzilay <eli@barzilay.org>
9627 * lisp/calculator.el: General improvements
9629 Use things like `when', `unless', and `push'.
9631 Improve `calculator-last-input' so it doesn't barf when hitting `F1' in
9634 2015-11-28 Michael Albinus <michael.albinus@gmx.de>
9636 Fix a problem with gfilenotify in filenotify-tests.el
9638 * test/lisp/filenotify-tests.el
9639 (file-notify--test-expected-events): Remove.
9640 (file-notify--test-cleanup): Do not set that variable.
9641 (file-notify--test-with-events): EVENTS can also be a list of lists.
9642 (file-notify-test02-events, file-notify-test04-file-validity):
9643 Adapt expected result.
9645 2015-11-28 Eli Zaretskii <eliz@gnu.org>
9647 * .gitignore: Adjust to changes in 'test' directory structure.
9649 2015-11-28 Eli Zaretskii <eliz@gnu.org>
9651 Fix test/manual/etags/Makefile
9653 * test/manual/etags/Makefile (ETAGS_PROG, CTAGS_PROG): Adjust to
9654 changes in 'test' directory structure.
9656 2015-11-27 Phillip Lord <phillip.lord@russet.org.uk>
9658 Exclude resource dirs from search for tests.
9660 * test/Makefile.in: Test file locations are now found with find
9661 rather than using finds native functions.
9663 2015-11-27 Phillip Lord <phillip.lord@russet.org.uk>
9665 Add test targets without directory names.
9667 * (test/Makefile.in): Extend test_template to add two targets for each
9670 2015-11-27 Artur Malabarba <bruce.connor.am@gmail.com>
9672 * lisp/emacs-lisp/package.el: Require url-handlers
9674 2015-11-27 Phillip Lord <phillip.lord@russet.org.uk>
9676 Move elisp-mode-tests to new function names.
9678 * test/lisp/progmodes/elisp-mode-tests.el (find-defsdefun-c-defvar-c,
9679 find-defs-defun-el-defvar-c): Call `elisp--xref-find-definitions'.
9681 2015-11-27 Juanma Barranquero <lekktu@gmail.com>
9683 * lisp/emacs-lisp/package.el: Declare `url-insert-buffer-contents'
9685 2015-11-26 Phillip Lord <phillip.lord@russet.org.uk>
9687 Merge branch 'feature/standard-test-location'
9689 2015-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
9691 * lisp/emacs-lisp/eieio.el: Add some default implementations
9693 (standard-class): Mark it obsolete.
9694 (slot-missing): Give it a default implementation.
9695 (destructor): Simplify and mark it obsolete.
9696 (object-print): Give it a default implementation.
9697 (eieio-change-class): Rename from change-class.
9698 (change-class): Redefine as obsolete alias.
9700 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9702 Some final fixes in file notification before merging with master
9704 * lisp/filenotify.el (file-notify--rm-descriptor): Remove WHAT arg.
9705 (file-notify-callback): Improve check for `stopped' event. Call
9706 `file-notify-rm-watch' rather than `file-notify--rm-descriptor'.
9707 (file-notify-add-watch): In case FILE is not a directory, call the
9708 file monitor for the kqueue backend. Otherwise, call the
9709 directory monitor for the upper directory.
9711 * src/inotify.c (inotifyevent_to_event): Extract file name from
9712 watch_object if the event doesn't provide it.
9713 (Finotify_add_watch): Add file name to watch_object.
9715 * test/automated/file-notify-tests.el (file-notify--test-timeout):
9716 Use different timeouts for different libraries.
9717 (file-notify--test-with-events): Suppress lock files. Flush
9718 outstanding events before running the body.
9719 (file-notify-test02-events, file-notify-test04-file-validity): Do
9720 not skip cygwin tests. Add additional test for file creation.
9721 Adapt expected result for different backends.
9722 (file-notify-test03-autorevert): Some of the tests don't work for
9724 (file-notify-test06-many-events): Rename into both directions.
9726 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9728 Rework file notifications, kqueue has problems with directory monitors
9730 * lisp/filenotify.el (file-notify-add-watch): Call the native
9731 add-watch function on the file, not on the dir.
9733 * src/kqueue.c (kqueue_compare_dir_list): Make also bookkeeping
9734 about already deleted entries.
9736 * test/automated/auto-revert-tests.el
9737 (auto-revert-test01-auto-revert-several-files): Do not call "cp -f"
9738 since this deletes the target file first.
9740 * test/automated/file-notify-tests.el (file-notify--test-event-test):
9741 Make stronger checks.
9742 (file-notify-test01-add-watch, file-notify-test02-events)
9743 (file-notify-test04-file-validity, file-notify-test05-dir-validity):
9744 Rewrite in order to call file monitors but directory monitors.
9745 (file-notify-test06-many-events): Ler rename work in both directions.
9747 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9749 Continue with pending events
9751 * src/kqueue.c (pending_events): Remove global variable.
9752 (kqueue_compare_dir_list): Create `write' event for not used
9754 (globals_of_kqueue): Remove initialization of pending_events.
9756 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9758 Improve loops in file-notify-test06-many-events
9760 * test/automated/file-notify-tests.el (file-notify-test06-many-events):
9761 Use `read-event' pauses for the `write-file' loops; otherwise
9762 events are lost in inotify and gfilenotify cases.
9764 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9766 Handle more complex rename operation in kqueue
9768 * src/kqueue.c (pending_events): New variable.
9769 (kqueue_compare_dir_list): Handle more complex rename operation.
9770 (globals_of_kqueue): Initialize pending_events.
9772 * test/automated/file-notify-tests.el (file-notify-test06-many-events):
9773 Adapt expected events in the `rename-file' case.
9774 (file-notify-test06-many-events-remote): Declare.
9776 2015-11-25 Wolfgang Jenkner <wjenkner@inode.at>
9778 New test with a larger number of events
9780 * test/automated/file-notify-tests.el (file-notify--test-with-events):
9781 Make timeout heuristically depend on the number of events.
9783 (file-notify-test06-many-events): Use it for new test.
9785 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9787 Further fixes for kqueue
9789 * lisp/filenotify.el (file-notify-callback): Raise also event if
9790 directory name matches.
9791 (file-notify-add-watch): Add `create' to the flags for `kqueue'.
9793 * src/kqueue.c (kqueue_generate_event): Use watch_object as
9794 argument instead of ident. Remove callback argument. Adapt
9795 callees. Check actions whether they are monitored flags.
9797 * test/automated/file-notify-tests.el (file-notify--test-library):
9799 (file-notify-test00-availability, file-notify-test02-events)
9800 (file-notify-test04-file-validity)
9801 (file-notify-test05-dir-validity): Use it.
9802 (file-notify-test02-events, file-notify-test04-file-validity): Add
9803 `read-event' calls between different file actions, in order to
9804 give the backends a chance to rais an event. Needed especially
9805 for kqueue. In case of deleting a directory, there are two
9808 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9810 Code cleanup of kqueue.c
9812 * src/kqueue.c (kqueue_directory_listing): Skip "." and "..".
9813 (kqueue_compare_dir_list): Do not loop when calling
9814 directory_files_internal. Remove checks for "." and "..", this is
9815 done in kqueue_directory_listing now.
9816 (Fkqueue_add_watch): Check for proper emacs_open flags.
9818 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9820 Doc changes for kqueue
9822 * doc/lispref/os.texi (File Notifications): Add kqueue as backend.
9823 Fix some glitches in the example.
9825 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9827 Finish implementation in kqueue.c
9829 * src/kqueue.c (kqueue_directory_listing, kqueue_callback):
9830 Simplify access to list.
9831 (kqueue_compare_dir_list): Simplify access to list. Raise
9832 `delete' event if directory does not exist any longer. Otherwise,
9833 wait until directory contents has changed. Fix error in check.
9835 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9837 * lisp/filenotify.el (file-notify-add-watch): Fix thinko.
9839 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9843 * lisp/filenotify.el (file-notify-callback): Handle also the
9844 `rename' event from kqueue.
9845 (file-notify-add-watch): Do not register an entry twice.
9847 * src/kqueue.c (kqueue_directory_listing): New function.
9848 (kqueue_generate_event): New argument FILE1. Adapt callees.
9849 (kqueue_compare_dir_list): Rewrite in order to make it more robust.
9851 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9853 Implement directory events
9855 * lisp/filenotify.el (file-notify-handle-event)
9856 (file-notify-callback): Remove traces.
9858 * src/kqueue.c: Include <sys/time.h>.
9859 (kqueue_generate_event, kqueue_compare_dir_list): New functions.
9860 (kqueue_callback): Use them. Call kevent() with a zero timeout.
9861 (Fkqueue_add_watch): Adapt docstring. Support directory events.
9862 Compute initial directory listing. Close file descriptor in case
9864 (syms_of_kqueue): Declare Qcreate.
9866 2015-11-25 Wolfgang Jenkner <wjenkner@inode.at>
9868 Build fixes for kqueue support
9870 * src/kqueue.c (Fkqueue_add_watch): O_BINARY is not a POSIX open(3)
9873 * configure.ac (HAVE_KQUEUE): There is no pkg-config module for native
9876 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9878 Continue kqueue implementation
9880 * lisp/filenotify.el (file-notify-handle-event)
9881 (file-notify-callback): Enable trace messages.
9883 * src/kqueue.c: Include also <sys/types.h>.
9884 (kqueue_callback): Remove watch in case of NOTE_DELETE or NOTE_RENAME.
9885 (Fkqueue_rm_watch, Fkqueue_valid_p): New functions.
9886 (syms_of_kqueue): Add them.
9888 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9892 * lisp/filenotify.el (file-notify--library)
9893 (file-notify-descriptors, file-notify-callback)
9894 (file-notify-add-watch, file-notify-rm-watch)
9895 (file-notify-valid-p): Add kqueue support.
9897 * src/keyboard.c (make_lispy_event): Check also for HAVE_KQUEUE.
9899 2015-11-25 Michael Albinus <michael.albinus@gmx.de>
9903 * configure.ac (--with-file-notification): Add kqueue.
9904 (top): Remove special test for "${HAVE_NS}" and
9905 ${with_file_notification}, this is handled inside gfilenotify
9906 tests. Add kqueue tests. Use NOTIFY_CFLAGS and NOTIFY_LIBS
9907 instead of library specific variables.
9909 * src/Makefile.in: Use NOTIFY_CFLAGS and NOTIFY_LIBS.
9911 * src/emacs.c (main): Call globals_of_kqueue and syms_of_kqueue.
9913 * src/kqueue.c: New file.
9915 * src/lisp.h: Declare extern globals_of_kqueue and syms_of_kqueue.
9917 2015-11-24 Phillip Lord <phillip.lord@russet.org.uk>
9919 Update elisp-mode-tests for changed file location.
9921 * test/lisp/progmodes/elisp-mode-tests.el:
9923 2015-11-24 Phillip Lord <phillip.lord@russet.org.uk>
9925 Exclude manual tests from Makefile
9929 2015-11-24 Phillip Lord <phillip.lord@russet.org.uk>
9931 Move package test files to new directory.
9933 * test/lisp/emacs-lisp/package-tests.el: Update resoruce file location.
9934 * test/data/package: Moved to test/lisp/emacs-lisp/package-resources
9936 2015-11-24 Phillip Lord <phillip.lord@russet.org.uk>
9938 Restore delete Makefiles and fix .gitignore.
9940 * .gitignore: Update Makefiles to changed locations
9941 * test/lisp/progmodes/flymake-resources/Makefile,
9942 test/manual/etags/Makefile,
9943 test/manual/etags/make-src/Makefile,
9944 test/manual/indent/Makefile: Restored and moved to new location.
9946 2015-11-24 Phillip Lord <phillip.lord@russet.org.uk>
9948 Test infrastructure: updates after directory move
9950 * (test/Makefile.in): Support directories several levels deep.
9951 * (test/data/flymake): Rename to test/lisp/progmodes/flymake-resources.
9952 * (test/lisp/progmodes/flymake-tests.el): Support renamed resource directory.
9954 2015-11-24 Phillip Lord <phillip.lord@russet.org.uk>
9956 Rename all test files to reflect source layout.
9958 * CONTRIBUTE,Makefile.in,configure.ac: Update to reflect
9959 test directory moves.
9960 * test/file-organisation.org: New file.
9961 * test/automated/Makefile.in
9962 test/automated/data/decompress/foo.gz
9963 test/automated/data/epg/pubkey.asc
9964 test/automated/data/epg/seckey.asc
9965 test/automated/data/files-bug18141.el.gz
9966 test/automated/data/flymake/test.c
9967 test/automated/data/flymake/test.pl
9968 test/automated/data/package/archive-contents
9969 test/automated/data/package/key.pub
9970 test/automated/data/package/key.sec
9971 test/automated/data/package/multi-file-0.2.3.tar
9972 test/automated/data/package/multi-file-readme.txt
9973 test/automated/data/package/newer-versions/archive-contents
9974 test/automated/data/package/newer-versions/new-pkg-1.0.el
9975 test/automated/data/package/newer-versions/simple-single-1.4.el
9976 test/automated/data/package/package-test-server.py
9977 test/automated/data/package/signed/archive-contents
9978 test/automated/data/package/signed/archive-contents.sig
9979 test/automated/data/package/signed/signed-bad-1.0.el
9980 test/automated/data/package/signed/signed-bad-1.0.el.sig
9981 test/automated/data/package/signed/signed-good-1.0.el
9982 test/automated/data/package/signed/signed-good-1.0.el.sig
9983 test/automated/data/package/simple-depend-1.0.el
9984 test/automated/data/package/simple-single-1.3.el
9985 test/automated/data/package/simple-single-readme.txt
9986 test/automated/data/package/simple-two-depend-1.1.el
9987 test/automated/abbrev-tests.el
9988 test/automated/auto-revert-tests.el
9989 test/automated/calc-tests.el
9990 test/automated/icalendar-tests.el
9991 test/automated/character-fold-tests.el
9992 test/automated/comint-testsuite.el
9993 test/automated/descr-text-test.el
9994 test/automated/electric-tests.el
9995 test/automated/cl-generic-tests.el
9996 test/automated/cl-lib-tests.el
9997 test/automated/eieio-test-methodinvoke.el
9998 test/automated/eieio-test-persist.el
9999 test/automated/eieio-tests.el
10000 test/automated/ert-tests.el
10001 test/automated/ert-x-tests.el
10002 test/automated/generator-tests.el
10003 test/automated/let-alist.el
10004 test/automated/map-tests.el
10005 test/automated/advice-tests.el
10006 test/automated/package-test.el
10007 test/automated/pcase-tests.el
10008 test/automated/regexp-tests.el
10009 test/automated/seq-tests.el
10010 test/automated/subr-x-tests.el
10011 test/automated/tabulated-list-test.el
10012 test/automated/thunk-tests.el
10013 test/automated/timer-tests.el
10014 test/automated/epg-tests.el
10015 test/automated/eshell.el
10016 test/automated/faces-tests.el
10017 test/automated/file-notify-tests.el
10018 test/automated/auth-source-tests.el
10019 test/automated/gnus-tests.el
10020 test/automated/message-mode-tests.el
10021 test/automated/help-fns.el
10022 test/automated/imenu-test.el
10023 test/automated/info-xref.el
10024 test/automated/mule-util.el
10025 test/automated/isearch-tests.el
10026 test/automated/json-tests.el
10027 test/automated/bytecomp-tests.el
10028 test/automated/coding-tests.el
10029 test/automated/core-elisp-tests.el
10030 test/automated/decoder-tests.el
10031 test/automated/files.el
10032 test/automated/font-parse-tests.el
10033 test/automated/lexbind-tests.el
10034 test/automated/occur-tests.el
10035 test/automated/process-tests.el
10036 test/automated/syntax-tests.el
10037 test/automated/textprop-tests.el
10038 test/automated/undo-tests.el
10039 test/automated/man-tests.el
10040 test/automated/completion-tests.el
10041 test/automated/dbus-tests.el
10042 test/automated/newsticker-tests.el
10043 test/automated/sasl-scram-rfc-tests.el
10044 test/automated/tramp-tests.el
10045 test/automated/obarray-tests.el
10046 test/automated/compile-tests.el
10047 test/automated/elisp-mode-tests.el
10048 test/automated/f90.el
10049 test/automated/flymake-tests.el
10050 test/automated/python-tests.el
10051 test/automated/ruby-mode-tests.el
10052 test/automated/subword-tests.el
10053 test/automated/replace-tests.el
10054 test/automated/simple-test.el
10055 test/automated/sort-tests.el
10056 test/automated/subr-tests.el
10057 test/automated/reftex-tests.el
10058 test/automated/sgml-mode-tests.el
10059 test/automated/tildify-tests.el
10060 test/automated/thingatpt.el
10061 test/automated/url-future-tests.el
10062 test/automated/url-util-tests.el
10063 test/automated/add-log-tests.el
10064 test/automated/vc-bzr.el
10065 test/automated/vc-tests.el
10066 test/automated/xml-parse-tests.el
10067 test/BidiCharacterTest.txt
10069 test/cedet/cedet-utests.el
10070 test/cedet/ede-tests.el
10071 test/cedet/semantic-ia-utest.el
10072 test/cedet/semantic-tests.el
10073 test/cedet/semantic-utest-c.el
10074 test/cedet/semantic-utest.el
10075 test/cedet/srecode-tests.el
10076 test/cedet/tests/test.c
10077 test/cedet/tests/test.el
10078 test/cedet/tests/test.make
10079 test/cedet/tests/testdoublens.cpp
10080 test/cedet/tests/testdoublens.hpp
10081 test/cedet/tests/testfriends.cpp
10082 test/cedet/tests/testjavacomp.java
10083 test/cedet/tests/testnsp.cpp
10084 test/cedet/tests/testpolymorph.cpp
10085 test/cedet/tests/testspp.c
10086 test/cedet/tests/testsppcomplete.c
10087 test/cedet/tests/testsppreplace.c
10088 test/cedet/tests/testsppreplaced.c
10089 test/cedet/tests/testsubclass.cpp
10090 test/cedet/tests/testsubclass.hh
10091 test/cedet/tests/testtypedefs.cpp
10092 test/cedet/tests/testvarnames.c
10093 test/etags/CTAGS.good
10094 test/etags/ETAGS.good_1
10095 test/etags/ETAGS.good_2
10096 test/etags/ETAGS.good_3
10097 test/etags/ETAGS.good_4
10098 test/etags/ETAGS.good_5
10099 test/etags/ETAGS.good_6
10100 test/etags/a-src/empty.zz
10101 test/etags/a-src/empty.zz.gz
10102 test/etags/ada-src/2ataspri.adb
10103 test/etags/ada-src/2ataspri.ads
10104 test/etags/ada-src/etags-test-for.ada
10105 test/etags/ada-src/waroquiers.ada
10106 test/etags/c-src/a/b/b.c
10107 test/etags/c-src/abbrev.c
10108 test/etags/c-src/c.c
10109 test/etags/c-src/dostorture.c
10110 test/etags/c-src/emacs/src/gmalloc.c
10111 test/etags/c-src/emacs/src/keyboard.c
10112 test/etags/c-src/emacs/src/lisp.h
10113 test/etags/c-src/emacs/src/regex.h
10114 test/etags/c-src/etags.c
10115 test/etags/c-src/exit.c
10116 test/etags/c-src/exit.strange_suffix
10117 test/etags/c-src/fail.c
10118 test/etags/c-src/getopt.h
10119 test/etags/c-src/h.h
10120 test/etags/c-src/machsyscalls.c
10121 test/etags/c-src/machsyscalls.h
10122 test/etags/c-src/sysdep.h
10123 test/etags/c-src/tab.c
10124 test/etags/c-src/torture.c
10125 test/etags/cp-src/MDiagArray2.h
10126 test/etags/cp-src/Range.h
10127 test/etags/cp-src/burton.cpp
10128 test/etags/cp-src/c.C
10129 test/etags/cp-src/clheir.cpp.gz
10130 test/etags/cp-src/clheir.hpp
10131 test/etags/cp-src/conway.cpp
10132 test/etags/cp-src/conway.hpp
10133 test/etags/cp-src/fail.C
10134 test/etags/cp-src/functions.cpp
10135 test/etags/cp-src/screen.cpp
10136 test/etags/cp-src/screen.hpp
10137 test/etags/cp-src/x.cc
10138 test/etags/el-src/TAGTEST.EL
10139 test/etags/el-src/emacs/lisp/progmodes/etags.el
10140 test/etags/erl-src/gs_dialog.erl
10141 test/etags/f-src/entry.for
10142 test/etags/f-src/entry.strange.gz
10143 test/etags/f-src/entry.strange_suffix
10144 test/etags/forth-src/test-forth.fth
10145 test/etags/html-src/algrthms.html
10146 test/etags/html-src/index.shtml
10147 test/etags/html-src/software.html
10148 test/etags/html-src/softwarelibero.html
10149 test/etags/lua-src/allegro.lua
10150 test/etags/objc-src/PackInsp.h
10151 test/etags/objc-src/PackInsp.m
10152 test/etags/objc-src/Subprocess.h
10153 test/etags/objc-src/Subprocess.m
10154 test/etags/objcpp-src/SimpleCalc.H
10155 test/etags/objcpp-src/SimpleCalc.M
10156 test/etags/pas-src/common.pas
10157 test/etags/perl-src/htlmify-cystic
10158 test/etags/perl-src/kai-test.pl
10159 test/etags/perl-src/yagrip.pl
10160 test/etags/php-src/lce_functions.php
10161 test/etags/php-src/ptest.php
10162 test/etags/php-src/sendmail.php
10163 test/etags/prol-src/natded.prolog
10164 test/etags/prol-src/ordsets.prolog
10165 test/etags/ps-src/rfc1245.ps
10166 test/etags/pyt-src/server.py
10167 test/etags/tex-src/gzip.texi
10168 test/etags/tex-src/nonewline.tex
10169 test/etags/tex-src/testenv.tex
10170 test/etags/tex-src/texinfo.tex
10171 test/etags/y-src/atest.y
10172 test/etags/y-src/cccp.c
10173 test/etags/y-src/cccp.y
10174 test/etags/y-src/parse.c
10175 test/etags/y-src/parse.y
10176 test/indent/css-mode.css
10177 test/indent/js-indent-init-dynamic.js
10178 test/indent/js-indent-init-t.js
10179 test/indent/js-jsx.js
10181 test/indent/latex-mode.tex
10182 test/indent/modula2.mod
10183 test/indent/nxml.xml
10184 test/indent/octave.m
10185 test/indent/pascal.pas
10186 test/indent/perl.perl
10187 test/indent/prolog.prolog
10188 test/indent/ps-mode.ps
10189 test/indent/ruby.rb
10190 test/indent/scheme.scm
10191 test/indent/scss-mode.scss
10192 test/indent/sgml-mode-attribute.html
10193 test/indent/shell.rc
10194 test/indent/shell.sh
10195 test/redisplay-testsuite.el
10197 test/automated/buffer-tests.el
10198 test/automated/cmds-tests.el
10199 test/automated/data-tests.el
10200 test/automated/finalizer-tests.el
10201 test/automated/fns-tests.el
10202 test/automated/inotify-test.el
10203 test/automated/keymap-tests.el
10204 test/automated/print-tests.el
10205 test/automated/libxml-tests.el
10206 test/automated/zlib-tests.el: Files Moved.
10208 2015-11-20 Michael Albinus <michael.albinus@gmx.de>
10210 Rework file notifications, kqueue has problems with directory monitors
10212 * lisp/filenotify.el (file-notify-add-watch): Call the native
10213 add-watch function on the file, not on the dir.
10215 * src/kqueue.c (kqueue_compare_dir_list): Make also bookkeeping
10216 about already deleted entries.
10218 * test/automated/auto-revert-tests.el
10219 (auto-revert-test01-auto-revert-several-files): Do not call "cp -f"
10220 since this deletes the target file first.
10222 * test/automated/file-notify-tests.el (file-notify--test-event-test):
10223 Make stronger checks.
10224 (file-notify-test01-add-watch, file-notify-test02-events)
10225 (file-notify-test04-file-validity, file-notify-test05-dir-validity):
10226 Rewrite in order to call file monitors but directory monitors.
10227 (file-notify-test06-many-events): Ler rename work in both directions.
10229 2015-11-19 Michael Albinus <michael.albinus@gmx.de>
10231 Continie with pending events
10233 * src/kqueue.c (pending_events): Remove global variable.
10234 (kqueue_compare_dir_list): Create `write' event for not used
10236 (globals_of_kqueue): Remove initialization of pending_events.
10238 2015-11-19 Michael Albinus <michael.albinus@gmx.de>
10240 Improve loops in file-notify-test06-many-events
10242 * test/automated/file-notify-tests.el (file-notify-test06-many-events):
10243 Use `read-event' pauses for the `write-file' loops; otherwise
10244 events are lost in inotify and gfilenotify cases.
10246 2015-11-19 Michael Albinus <michael.albinus@gmx.de>
10248 Handle more complex rename operation in kqueue
10250 * src/kqueue.c (pending_events): New variable.
10251 (kqueue_compare_dir_list): Handle more complex rename operation.
10252 (globals_of_kqueue): Initialize pending_events.
10254 * test/automated/file-notify-tests.el (file-notify-test06-many-events):
10255 Adapt expected events in the `rename-file' case.
10256 (file-notify-test06-many-events-remote): Declare.
10258 2015-11-18 Wolfgang Jenkner <wjenkner@inode.at>
10260 New test with a larger number of events.
10262 * test/automated/file-notify-tests.el (file-notify--test-with-events):
10263 Make timeout heuristically depend on the number of events.
10265 (file-notify-test06-many-events): Use it for new test.
10267 2015-11-18 Michael Albinus <michael.albinus@gmx.de>
10269 Further fixes for kqueue.
10271 * lisp/filenotify.el (file-notify-callback): Raise also event if
10272 directory name matches.
10273 (file-notify-add-watch): Add `create' to the flags for `kqueue'.
10275 * src/kqueue.c (kqueue_generate_event): Use watch_object as
10276 argument instead of ident. Remove callback argument. Adapt
10277 callees. Check actions whether they are monitored flags.
10279 * test/automated/file-notify-tests.el (file-notify--test-library):
10281 (file-notify-test00-availability, file-notify-test02-events)
10282 (file-notify-test04-file-validity)
10283 (file-notify-test05-dir-validity): Use it.
10284 (file-notify-test02-events, file-notify-test04-file-validity): Add
10285 `read-event' calls between different file actions, in order to
10286 give the backends a chance to rais an event. Needed especially
10287 for kqueue. In case of deleting a directory, there are two
10290 2015-11-17 Michael Albinus <michael.albinus@gmx.de>
10292 Code cleanup of kqueue.c
10294 * src/kqueue.c (kqueue_directory_listing): Skip "." and "..".
10295 (kqueue_compare_dir_list): Do not loop when calling
10296 directory_files_internal. Remove checks for "." and "..", this is
10297 done in kqueue_directory_listing now.
10298 (Fkqueue_add_watch): Check for proper emacs_open flags.
10300 2015-11-16 Michael Albinus <michael.albinus@gmx.de>
10302 Doc changes for kqueue
10304 * doc/lispref/os.texi (File Notifications): Add kqueue as backend.
10305 Fix some glitches in the example.
10307 2015-11-16 Michael Albinus <michael.albinus@gmx.de>
10309 Finish implementation in kqueue.c
10311 * src/kqueue.c (kqueue_directory_listing, kqueue_callback):
10312 Simplify access to list.
10313 (kqueue_compare_dir_list): Simplify access to list. Raise
10314 `delete' event if directory does not exist any longer. Otherwise,
10315 wait until directory contents has changed. Fix error in check.
10317 2015-11-16 Michael Albinus <michael.albinus@gmx.de>
10319 * lisp/filenotify.el (file-notify-add-watch): Fix thinko.
10321 2015-11-15 Michael Albinus <michael.albinus@gmx.de>
10323 More work on kqueue
10325 * lisp/filenotify.el (file-notify-callback): Handle also the
10326 `rename' event from kqueue.
10327 (file-notify-add-watch): Do not register an entry twice.
10329 * src/kqueue.c (kqueue_directory_listing): New function.
10330 (kqueue_generate_event): New argument FILE1. Adapt callees.
10331 (kqueue_compare_dir_list): Rewrite in order to make it more robust.
10333 2015-11-14 Michael Albinus <michael.albinus@gmx.de>
10335 Implement directory events
10337 * lisp/filenotify.el (file-notify-handle-event)
10338 (file-notify-callback): Remove traces.
10340 * src/kqueue.c: Include <sys/time.h>.
10341 (kqueue_generate_event, kqueue_compare_dir_list): New functions.
10342 (kqueue_callback): Use them. Call kevent() with a zero timeout.
10343 (Fkqueue_add_watch): Adapt docstring. Support directory events.
10344 Compute initial directory listing. Close file descriptor in case
10346 (syms_of_kqueue): Declare Qcreate.
10348 2015-11-11 Wolfgang Jenkner <wjenkner@inode.at>
10350 Build fixes for kqueue support.
10352 * src/kqueue.c (Fkqueue_add_watch): O_BINARY is not a POSIX open(3)
10355 * configure.ac (HAVE_KQUEUE): There is no pkg-config module for native
10358 2015-11-11 Michael Albinus <michael.albinus@gmx.de>
10360 Continue kqueue implementation
10362 * lisp/filenotify.el (file-notify-handle-event)
10363 (file-notify-callback): Enable trace messages.
10365 * src/kqueue.c: Include also <sys/types.h>.
10366 (kqueue_callback): Remove watch in case of NOTE_DELETE or NOTE_RENAME.
10367 (Fkqueue_rm_watch, Fkqueue_valid_p): New functions.
10368 (syms_of_kqueue): Add them.
10370 2015-11-11 Michael Albinus <michael.albinus@gmx.de>
10374 * lisp/filenotify.el (file-notify--library)
10375 (file-notify-descriptors, file-notify-callback)
10376 (file-notify-add-watch, file-notify-rm-watch)
10377 (file-notify-valid-p): Add kqueue support.
10379 * src/keyboard.c (make_lispy_event): Check also for HAVE_KQUEUE.
10381 2015-11-11 Michael Albinus <michael.albinus@gmx.de>
10385 * configure.ac (--with-file-notification): Add kqueue.
10386 (top): Remove special test for "${HAVE_NS}" and
10387 ${with_file_notification}, this is handled inside gfilenotify
10388 tests. Add kqueue tests. Use NOTIFY_CFLAGS and NOTIFY_LIBS
10389 instead of library specific variables.
10391 * src/Makefile.in: Use NOTIFY_CFLAGS and NOTIFY_LIBS.
10393 * src/emacs.c (main): Call globals_of_kqueue and syms_of_kqueue.
10395 * src/kqueue.c: New file.
10397 * src/lisp.h: Declare extern globals_of_kqueue and syms_of_kqueue.
10399 2015-11-21 Wilson Snyder <wsnyder@wsnyder.org>
10401 verilog-mode.el: Commentary and fix pre-Emacs 21 behavior.
10403 * verilog-mode.el (verilog-save-font-no-change-functions):
10404 Commentary and fix pre-Emacs 21 behavior.
10406 2015-11-19 Przemysław Wojnowski <esperanto@cumego.com>
10408 Use obarray functions from obarray.
10410 * lisp/abbrev.el (copy-abbrev-table, abbrev-table-p, make-abbrev-table,
10411 abbrev-table-get, abbrev-table-put, abbrev-table-empty-p,
10412 clear-abbrev-table, define-abbrev, abbrev--symbol, abbrev-table-menu):
10413 delegate to obarray.el functions.
10414 * lisp/loadup.el: load obarray before abbrev
10415 * test/automated/abbrev-tests.el: new tests
10417 2015-11-18 Christian Schwarzgruber <c.schwarzgruber.cs@gmail.com> (tiny change)
10419 epa.el: Add option to replace original text
10421 * lisp/epa.el (epa-replace-original-text): New user option.
10424 2015-11-18 Mark Oteiza <mvoteiza@udel.edu>
10426 Add interactive seek command.
10428 * lisp/mpc.el (mpc-cmd-seekcur): New function.
10429 (mpc-seek-current): New command.
10430 (mpc-mode-menu): Add entry for mpc-seek-current
10431 (mpc-mode-map): Bind mpc-seek-current to "g"
10433 2015-11-18 Mark Oteiza <mvoteiza@udel.edu>
10435 Fix issue where a new tempfile was created every refresh
10437 * lisp/mpc.el (mpc-format): Leave dir as relative path
10439 2015-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
10441 * lisp/progmodes/cc-defs.el: Use with-silent-modifications
10443 (c-save-buffer-state): Use with-silent-modifications when available.
10444 (c--macroexpand-all): Check macroexpand-all directly rather than
10447 2015-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
10449 * lisp/loadup.el: Set max-lisp-eval-depth here
10451 * lisp/Makefile.in (BIG_STACK_DEPTH, BIG_STACK_OPTS): Remove.
10452 (BYTE_COMPILE_FLAGS): Adjust accordingly.
10454 2015-11-17 João Távora <joaotavora@gmail.com>
10456 Minor fix to comment indentation and typo in last commit
10458 * linum.el (linum-update-window): Fix comment indentation and a
10461 2015-11-17 João Távora <joaotavora@gmail.com>
10463 linum-mode plays more nicely with other margin-setting extensions
10465 linum.el will only modify the left margin if it needs to, and will
10466 only reset the it back to 0 if it guesses that no-one has touched that
10467 margin in the meantime.
10469 As such, this is a more of a workaround than an actual fix, but fixes
10470 the problems described in bug#20674 regarding the interaction with
10471 modes such as darkroom-mode and olivetti-mode.
10473 A similar fix was commited to nlinum.el in ELPA.git's
10474 e7f5f549fbfb740b911fb7f33b42381ecece56d8
10476 * linum.el (linum-delete-overlays): Restore margins more
10478 (linum-update-window): Set margins more criteriously.
10480 2015-11-16 Daiki Ueno <ueno@gnu.org>
10482 * lisp/image-mode.el: Support encrypted file
10484 (image-toggle-display-image): Read content from the buffer instead
10485 of the file, if the buffer holds a decrypted data. (Bug#21870)
10487 2015-11-15 Juanma Barranquero <lekktu@gmail.com>
10489 * lisp/progmodes/verilog-mode.el (verilog-save-buffer-state): Add backquote
10491 2015-11-15 Artur Malabarba <bruce.connor.am@gmail.com>
10493 * lisp/emacs-lisp/package.el: Fix a decoding issue
10495 (package--with-response-buffer): Use `url-insert-buffer-contents'.
10496 The previous code had some issues with decoding. Refactoring that
10497 function allows us to use the decoding from url-handlers while still
10498 treating both sync and async requests the same.
10500 * lisp/url/url-handlers.el (url-insert-file-contents): Move some code to
10501 `url-insert-buffer-contents'.
10502 (url-insert-buffer-contents): New function
10504 2015-11-15 Stefan Monnier <monnier@iro.umontreal.ca>
10506 * lisp/progmodes/verilog-mode.el: Use with-silent-modifications
10508 (verilog-save-buffer-state): Use with-silent-modifications when available.
10509 (verilog-save-font-no-change-functions): Don't bind
10510 before/after-change-functions if it's not needed.
10512 2015-11-14 Xue Fuqiao <xfq.free@gmail.com>
10514 * CONTRIBUTE: Remove information about feature freeze.
10516 Merge branch 'release-process-lowercase'
10518 2015-11-14 Xue Fuqiao <xfq.free@gmail.com>
10520 Document the release process
10522 * admin/notes/versioning: Add information about RC releases.
10523 * admin/release-process: Document the release process.
10524 * admin/authors.el (authors-ignored-files):
10525 * admin/README: Change FOR-RELEASE to release-process.
10527 * admin/notes/bugtracker: Don't mention FOR-RELEASE.
10529 2015-11-14 Xue Fuqiao <xfq.free@gmail.com>
10531 * admin/release-process: Rename from admin/FOR-RELEASE.
10533 2015-11-14 David Engster <deng@randomsample.de>
10535 gitmerge: Fix git log command
10537 * admin/gitmerge.el (gitmerge-missing): Use '--left-only' since we
10538 only want commits from the branch that is to be merged.
10539 (gitmerge-setup-log-buffer): Use the same symmetric range as in
10540 `gitmerge-missing'.
10542 2015-11-14 David Engster <deng@randomsample.de>
10544 gitmerge: Try to detect cherry-picks
10546 * admin/gitmerge.el (gitmerge-default-branch): Change to
10548 (gitmerge-missing): Use symmetric difference ('...') between
10549 branch and master so that cherry-picks can be detected.
10551 2015-11-14 Eli Zaretskii <eliz@gnu.org>
10553 Increment Emacs version on master branch
10555 * lisp/cus-edit.el (customize-changed-options-previous-release):
10556 Increase previous version to 24.5.
10559 * msdos/sed2v2.inp: Bump version to 25.1.50.
10561 2015-11-14 Xue Fuqiao <xfq.free@gmail.com>
10563 Mention CONTRIBUTE in README, since it was moved from etc/ to root.
10564 * etc/TODO: Remove the reference to `etc/CONTRIBUTE'.
10565 * README: Mention CONTRIBUTE.
10567 2015-11-13 Wilson Snyder <wsnyder@wsnyder.org>
10569 Update verilog-mode.el to 2015-11-09-b121d60-vpo
10571 * verilog-mode.el (verilog-auto, verilog-delete-auto)
10572 (verilog-modi-cache-results, verilog-save-buffer-state)
10573 (verilog-save-font-no-change-functions): When internally suppressing
10574 change functions, use `inhibit-modification-hooks' and call
10575 `after-change-funtions' to more nicely work with user hooks.
10576 Reported by Stefan Monnier.
10577 (verilog-auto, verilog-delete-auto, verilog-delete-auto-buffer):
10578 Create `verilog-delete-auto-buffer' to avoid double-calling
10579 fontification hooks.
10580 (verilog-restore-buffer-modified-p, verilog-auto)
10581 (verilog-save-buffer-state): Prefer restore-buffer-modified-p over
10582 set-buffer-modified-p. Reported by Stefan Monnier.
10583 (verilog-diff-auto, verilog-diff-buffers-p)
10584 (verilog-diff-ignore-regexp): Add `verilog-diff-ignore-regexp'.
10585 (verilog-auto-inst-port, verilog-read-sub-decls-expr): Fix
10586 AUTOINST with unpacked dimensional parameters, bug981. Reported by
10587 by Amol Nagapurkar.
10588 (verilog-read-decls, verilog-read-sub-decls-line): Avoid unneeded
10589 properties inside internal structures. No functional change
10592 2015-11-13 Dmitry Gutov <dgutov@yandex.ru>
10594 Use generic dispatch for xref backends
10596 * lisp/progmodes/xref.el (xref-backend-functions):
10598 (xref-find-function): Remove.
10599 (xref-find-backend)
10600 (xref--etags-backend): New functions.
10601 (xref-identifier-at-point-function)
10602 (xref-identifier-completion-table-function): Remove.
10603 (xref-backend-definitions, xref-backend-references)
10604 (xref-backend-apropos, xref-backend-identifier-at-point)
10605 (xref-backend-identifier-completion-table):
10606 New generic functions.
10608 * lisp/progmodes/elisp-mode.el (emacs-lisp-mode):
10609 Add `elisp--xref-backend' to the beginning of
10610 `xref-backend-functions', locally. Delete references to
10611 removed functions and vars.
10612 (elisp-xref-find): Remove.
10613 (elisp--xref-backend): New function.
10614 (elisp--xref-find-references, elisp--xref-find-apropos)
10615 (elisp--xref-identifier-completion-table):
10616 Turn into appropriately named generic methods.
10618 * lisp/progmodes/etags.el (etags-xref-find): Remove.
10619 (xref-backend-identifier-completion-table)
10620 (xref-backend-references, xref-backend-definitions)
10621 (xref-backend-apropos): New generic methods.
10623 2015-11-13 Juri Linkov <juri@linkov.net>
10625 Support rectangular regions for more commands
10627 * lisp/simple.el (region-extract-function): Handle the arg
10629 (region-insert-function): New function.
10630 (shell-command-on-region): Add arg ‘region-noncontiguous-p’.
10631 If non-nil, operate on multiple chunks.
10632 (region-noncontiguous-p): New function.
10634 * lisp/rect.el: Add function rectangle--insert-region
10635 around region-insert-function.
10636 (extract-rectangle-bounds): New function.
10637 (rectangle--extract-region): Handle the arg value ‘bounds’.
10638 (rectangle--insert-region): New function.
10640 * lisp/emulation/cua-rect.el: Add function cua--insert-rectangle
10641 around region-insert-function.
10642 (cua--extract-rectangle-bounds): New function.
10643 (cua--rectangle-region-extract): Handle the arg value ‘bounds’.
10645 * lisp/replace.el (query-replace, query-replace-regexp): Add arg
10646 ‘region-noncontiguous-p’. Use ‘use-region-p’.
10647 (query-replace-regexp-eval, map-query-replace-regexp)
10648 (replace-string, replace-regexp): Use ‘use-region-p’.
10649 (keep-lines, flush-lines, how-many): Use ‘use-region-p’.
10650 (perform-replace): Add arg ‘region-noncontiguous-p’.
10651 If non-nil, operate on multiple chunks.
10653 * src/casefiddle.c (Fdowncase_region): Add arg ‘region-noncontiguous-p’.
10654 If non-nil, operate on multiple chunks. (Bug#19829)
10656 2015-11-13 Dmitry Gutov <dgutov@yandex.ru>
10658 Handle multiple matches on the same line; add highlighting
10660 * lisp/progmodes/xref.el (xref-location-marker): Interpret the
10661 column value in characters.
10662 (xref--collect-matches): Rename from `xref--collect-match'.
10663 Search for all matches in the hit line. Add `highlight' face to
10664 the matched region in the summary. Update both callers.
10666 2015-11-13 Dmitry Gutov <dgutov@yandex.ru>
10668 Replace xref-match-bounds with xref-match-length
10670 Relying on xref-location-marker to point to the beginning of the match
10672 * lisp/progmodes/xref.el (xref-match-bounds): Remove.
10673 (xref-match-length): Add.
10674 (xref-make-match): Change the arguments.
10675 (xref--match-buffer-bounds): Remove.
10676 (xref-match-item): Store length, instead of end-column.
10677 (xref-pulse-momentarily)
10678 (xref--collect-match)
10679 (xref--query-replace-1): Update accordingly.
10680 (xref-query-replace): Ditto. And check that the search results
10683 2015-11-13 Paul Eggert <eggert@cs.ucla.edu>
10688 2015-11-13 xalloc-oversized: improve performance with GCC 5
10689 * lib/xalloc-oversized.h: Copy from gnulib.
10691 2015-11-13 Paul Eggert <eggert@cs.ucla.edu>
10693 Spruce up ftfont.c memory allocation
10695 * src/ftfont.c (setup_otf_gstring):
10696 Avoid O(N**2) behavior when reallocating.
10697 (ftfont_shape_by_flt): Prefer xpalloc to xrealloc when
10698 reallocating buffers; this simplifies the code. Do not trust
10699 mflt_run to leave the output areas unchanged on failure, as
10700 this isn’t part of its interface spec.
10702 2015-11-13 Paul Eggert <eggert@cs.ucla.edu>
10704 Port recent XCB changes to 64-bit ‘long int’
10706 For historical reasons, libX11 represents 32-bit values like Atoms as
10707 ‘long int’ even on platforms where ‘long int’ is 64 bits. XCB doesn’t
10708 do that, so adapt the recent XCB code to behave properly on 64-bit
10709 platforms. Also, fix what appears to be a bug in the interpretation
10710 of xcb_get_property_value_length, at least on my Fedora platform
10711 which is running libxcb-1.11-5.fc21.
10712 * src/xfns.c (x_real_pos_and_offsets):
10713 * src/xterm.c (get_current_wm_state):
10714 xcb_get_property_value_length returns a byte count, not a word count.
10715 For 32-bit quantities, xcb_get_property_value returns a vector
10716 of 32-bit words, not of (possibly 64-bit) long int.
10718 2015-11-13 Paul Eggert <eggert@cs.ucla.edu>
10720 * src/undo.c (run_undoable_change): Now static.
10722 2016-01-30 Michael Albinus <michael.albinus@gmx.de>
10724 Adapt Tramp version, do not merge with master
10726 * doc/misc/trampver.texi (trampver):
10727 * lisp/net/trampver.el (tramp-version): Set to "2.2.13-25.1".
10729 2015-11-13 Eli Zaretskii <eliz@gnu.org>
10731 Remove support for ':timeout' from w32 tray notifications
10733 * src/w32fns.c (Fw32_notification_notify): Delete the code that
10734 supports ':timeout'.
10735 (syms_of_w32fns): Don't DEFSYM ':timeout'. This avoids clashes
10736 with dbusbind.c when D-Bus is compiled in.
10738 * doc/lispref/os.texi (Desktop Notifications): Don't mention
10741 2015-11-13 Juanma Barranquero <lekktu@gmail.com>
10743 * test/automated/simple-test.el: Add test for bug#20698 (bug#21885)
10744 (simple-test--transpositions): New macro.
10745 (simple-transpose-subr): New test.
10747 2015-11-13 Juanma Barranquero <lekktu@gmail.com>
10749 * lisp/progmodes/elisp-mode.el: Declare function `project-roots'
10751 2015-11-13 Juanma Barranquero <lekktu@gmail.com>
10753 * src/undo.c: Small fixes for previous change
10754 (run_undoable_change): Mark void argument list.
10755 (record_property_change): Remove unused variable `boundary'.
10757 2015-11-13 Eli Zaretskii <eliz@gnu.org>
10759 Add a few more variables to redisplay--variables
10761 * lisp/frame.el (redisplay--variables): Add bidi-paragraph-direction
10762 and bidi-display-reordering to the list.
10764 2015-11-13 Eli Zaretskii <eliz@gnu.org>
10766 * lisp/loadup.el: Enlarge the size of the hash table to 80000.
10768 2015-11-13 Eli Barzilay <eli@barzilay.org>
10770 Fix point positioning after transposing with negative arg
10772 * lisp/simple.el (transpose-subr): When invoked with a negative
10773 argument, move point to after the transposed text, like we do
10774 when invoked with a positive argument. (Bug#21885)
10776 2015-11-13 Eli Zaretskii <eliz@gnu.org>
10778 Fix last change in shr.el
10780 * lisp/net/shr.el (shr--have-one-fringe-p): Rename from
10781 have-fringes-p. All callers changed. Doc fix. (Bug#21895)
10783 2015-11-13 Eli Zaretskii <eliz@gnu.org>
10787 * src/w32fns.c (syms_of_w32fns) [WINDOWSNT && !HAVE_DBUS]:
10788 Don't DEFSYM tray notification symbols if D-Bus is being used.
10790 2015-11-13 Eli Zaretskii <eliz@gnu.org>
10792 Another fix for MinGW64 and Cygwin builds due to notifications
10794 * src/w32fns.c: Ifdef away tray notification code if D-Bus is
10795 being compiled into Emacs.
10796 (syms_of_w32fns) [WINDOWSNT && !HAVE_DBUS]: Don't defsubr
10797 Sw32_notification_notify and Sw32_notification_close if the code
10798 is not compiled. Reported by Andy Moreton <andrewjmoreton@gmail.com>.
10800 2016-01-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
10801 2015-11-12 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
10803 Remove intern calls and XXX comments from Fx_export_frames
10805 * src/xfns.c (Fx_export_frames): Use Qpdf, Qpng, Qpostscript, and
10806 Qsvg instead of intern calls. Use "postscript" instead of "ps"
10807 for consistency with image types. Remove XXX comments.
10808 (syms_of_xfns) <Qpdf>: DEFSYM it.
10810 2016-01-30 Eric Hanchrow <eric.hanchrow@gmail.com>
10811 2015-11-12 Eric Hanchrow <eric.hanchrow@gmail.com>
10813 shr: don't invoke unbound function (Bug#21895)
10815 * lisp/net/shr.el (have-fringes-p): New function.
10816 (shr-insert-document, shr-fill-text): Use it.
10818 2015-11-12 Juanma Barranquero <lekktu@gmail.com>
10820 * test/automated/keymap-tests.el: Fix test to make it repeatable
10822 (keymap-store_in_keymap-FASTINT-on-nonchars): Reset Buffer-menu-mode-map
10823 entry to its initial value to make the test repeatable in interactive
10824 sessions (assuming it doesn't fail and crashes Emacs, of course).
10826 2016-01-30 Artur Malabarba <bruce.connor.am@gmail.com>
10828 * test/automated/cl-lib-tests.el (cl-lib-struct-constructors): Small fix
10830 2016-01-30 Phillip Lord <phillip.lord@russet.org.uk>
10832 : Tests for undo-auto functionality.
10833 2015-11-12 Artur Malabarba <bruce.connor.am@gmail.com>
10835 * test/automated/cl-lib-tests.el (cl-lib-struct-constructors):
10838 2015-11-12 Phillip Lord <phillip.lord@newcastle.ac.uk>
10840 The heuristic that Emacs uses to add an `undo-boundary' has been
10841 reworked, as it interacts poorly with functions on `post-command-hook'
10842 or `after-change-functions'.
10844 * lisp/simple.el: New section added.
10845 * src/cmds.c (remove_excessive_undo_boundaries): Now in lisp.
10846 (self_insert_command): Calls simple.el to amalgamate.
10847 (delete_char): Calls simple.el to amalgamate.
10848 * src/keyboard.c (last_undo_boundary): Removed.
10849 * src/undo.c (run_undoable_change): New function.
10851 2015-11-12 Juri Linkov <juri@linkov.net>
10853 Bind [?\S-\ ] to previous line command in Dired-like modes.
10855 * lisp/arc-mode.el (archive-mode-map):
10856 * lisp/dired.el (dired-mode-map):
10857 * lisp/proced.el (proced-mode-map):
10858 * lisp/vc/vc-dir.el (vc-dir-mode-map):
10859 Bind [?\S-\ ] to previous line command.
10862 2015-11-12 Eli Zaretskii <eliz@gnu.org>
10864 Fix the MinGW64 and Cygwin-w32 builds
10866 * src/w32fns.c (MYNOTIFYICONDATAW_V1_SIZE)
10867 (MYNOTIFYICONDATAW_V2_SIZE, MYNOTIFYICONDATAW_V3_SIZE): Define and
10868 use instead of the corresponding NOTIFYICONDATAW_Vn_SIZE macros,
10869 which cause trouble with MinGW42 headers. Ifdef away tray
10870 notifications code for Cygwin. Reported by Andy Moreton
10871 <andrewjmoreton@gmail.com>.
10873 2015-11-12 Simen Heggestøyl <simenheg@gmail.com>
10875 Enable sorting of JSON object keys when encoding
10877 * lisp/json.el (json-encoding-object-sort-predicate): New variable
10878 for specifying a sorting predicate for JSON objects during encoding.
10879 (json--plist-to-alist): New utility function.
10880 (json-encode-hash-table): Re-use `json-encode-alist' when object keys
10882 (json-encode-alist): Sort output by
10883 `json-encoding-object-sort-predicate, when set.
10884 (json-encode-plist): Re-use `json-encode-alist' when object keys are
10886 (json-pretty-print-buffer-ordered): New command to pretty print the
10887 buffer with object keys sorted alphabetically.
10888 (json-pretty-print-ordered): New command to pretty print the region
10889 with object keys sorted alphabetically.
10891 * test/automated/json-tests.el (test-json-plist-to-alist)
10892 (test-json-encode-plist, test-json-encode-hash-table)
10893 (test-json-encode-alist-with-sort-predicate)
10894 (test-json-encode-plist-with-sort-predicate): New tests.
10896 * etc/NEWS: Add an entry for the new commands.
10898 2015-11-12 Juanma Barranquero <lekktu@gmail.com>
10900 * test/automated/keymap-tests.el: New test file.
10902 2015-11-12 Ken Raeburn <raeburn@raeburn.org>
10904 Speed up x_real_pos_and_offsets using XCB
10906 * src/xfns.c (x_real_pos_and_offsets) [USE_XCB]: Add XCB flavors of
10907 all X calls, and pipeline requests when possible, collecting results
10908 later. Eliminate use of x_catch_errors (and thus XSync) in XCB case.
10910 2015-11-12 Ken Raeburn <raeburn@raeburn.org>
10912 Enable use of XCB for checking window manager state
10914 * src/xterm.c (get_current_wm_state) [USE_XCB]: Use XCB calls instead
10915 of XGetWindowProperty plus error-catching, since we can explicitly
10916 check for errors in the XCB version. This eliminates 3 XSync calls on
10917 top of the round-trip actually fetching the information.
10919 2015-11-12 Ken Raeburn <raeburn@raeburn.org>
10921 Detect XCB and save a connection handle
10923 * configure.ac: If using X11, check for XCB libraries and header.
10924 * src/Makefile.in (XCB_LIBS): Define.
10925 (LIBX_EXTRA): Include it.
10927 * src/xterm.h [USE_XCB]: Include X11/Xlib-xcb.h.
10928 (struct x_display_info) [USE_XCB]: Add an XCB connection handle field.
10929 * src/xterm.c (x_term_init) [USE_XCB]: Initialize the new field.
10931 2015-11-12 Ken Raeburn <raeburn@raeburn.org>
10933 Reduce some data dependencies between X calls
10935 Gains nothing in the traditional-Xlib code, but more closely aligns
10936 with how the XCB version will work.
10938 * src/xfns.c (x_real_pos_and_offsets): When translating coordinates,
10939 send coordinates (0,0) to the X server and add in the real coordinates
10940 after getting the response. Move XGetGeometry for outer window inside
10941 error-trapping block. Use DPY variable more, since it's available.
10943 2015-11-12 Ken Raeburn <raeburn@raeburn.org>
10945 Use color cache for creating bitmap
10947 * src/image.c (x_create_bitmap_from_xpm_data) [ALLOC_XPM_COLORS]:
10948 Set attributes to use the caching color allocator. Initialize and
10951 2015-11-12 Eli Barzilay <eli@barzilay.org>
10953 Add "^" to the interactive specs of `dired-next/previous-line'
10955 * lisp/dired.el (dired-next-line, dired-previous-line): It makes sense
10956 to bind these commands to the arrow keys, and that means that they work
10957 better with a "^" in the `interactive' declaration so selection works
10960 2016-01-30 Thomas Fitzsimmons <fitzsim@fitzsim.org>
10962 Sync with soap-client repository, version 3.0.2
10964 * lisp/net/soap-client.el: Bump version to 3.0.2.
10966 * lisp/net/soap-client.el (soap-warning): Use format, not format-message.
10968 * lisp/net/soap-client.el: Add cl-lib to Package-Requires. Require cl-lib.
10969 (soap-validate-xs-simple-type): Use cl-labels instead of cl-flet.
10971 * lisp/net/soap-client.el: Support Emacs versions that do not have
10974 * lisp/net/soap-inspect.el: Remove version header.
10976 * lisp/net/soap-client.el, lisp/net/soap-inspect.el: Fix first line header
10977 2015-11-11 Thomas Fitzsimmons <fitzsim@fitzsim.org>
10979 Sync with soap-client repository, version 3.0.2
10981 * soap-client.el: Bump version to 3.0.2.
10983 * soap-client.el (soap-warning): Use format, not format-message.
10985 * soap-client.el: Add cl-lib to Package-Requires. Require cl-lib.
10986 (soap-validate-xs-simple-type): Use cl-labels instead of cl-flet.
10988 * soap-client.el: Support Emacs versions that do not have
10991 * soap-inspect.el: Remove version header.
10993 * soap-client.el, soap-inspect.el, jira2.el: Fix first line header
10996 2015-11-11 Alan Mackenzie <acm@muc.de>
10998 CC Mode: Respect users' settings of open-paren-in-column-0-is-defun-start.
11000 * lisp/progmodes/cc-engine.el (c-backward-single-comment)
11001 (c-backward-comments, c-invalidate-state-cache-1, c-parse-state-1)
11002 (c-guess-basic-syntax):
11003 Remove bindings of open-paren-in-column-0-is-defun-start to nil.
11004 (c-get-fallback-scan-pos): "New" function (existed several years ago).
11005 (c-parse-state-get-strategy): Reintroduce the 'BOD strategy, using
11006 c-get-fallback-scan-pos.
11007 (c-parse-state-1): Handle 'BOD strategy.
11009 * lisp/progmodes/cc-mode.el (c-before-change, c-after-change)
11010 (c-font-lock-fontify-region): Remove bindings of
11011 open-paren-in-column-0-is-defun-start to nil.
11013 * doc/misc/cc-mode.texi (Performance Issues)
11014 (Limitations and Known Bugs): Fix mix up between @chapter and @appendix.
11016 2015-11-11 Artur Malabarba <bruce.connor.am@gmail.com>
11018 * lisp/obarray.el: Fix shadowed variables.
11019 (obarray-map, obarray-remove, obarray-put, obarray-get):
11020 Change OBARRAY arg to OB to avoid shadowing ‘obarray’.
11022 2015-11-11 Eli Zaretskii <eliz@gnu.org>
11024 Avoid error in submitting a form with EWW
11026 * lisp/gnus/mm-url.el (mm-url-form-encode-xwfu): Allow argument
11027 CHUNK to be nil. (Bug#21881)
11029 2015-11-11 Nicolas Petton <nicolas@petton.fr>
11031 Rename seq-p and map-p to seqp and mapp
11033 * lisp/emacs-lisp/seq.el (seqp): New name.
11034 * lisp/emacs-lisp/map.el (mapp): New name.
11035 * doc/lispref/sequences.texi: Update the documentation for seqp.
11036 * test/automated/map-tests.el: Update the tests for mapp.
11038 2015-11-11 Nicolas Petton <nicolas@petton.fr>
11040 Rename obarray-p to obarrayp
11042 * lisp/obarray.el (obarrayp): New name.
11043 * test/automated/obarray-tests.el: Update the tests.
11045 2015-11-11 Nicolas Petton <nicolas@petton.fr>
11047 Rename obarray-foreach to obarray-map
11049 * lisp/obarray.el (obarray-map): New name.
11050 * test/automated/obarray-tests.el: Update the corresponding tests.
11052 2015-11-11 Przemysław Wojnowski <esperanto@cumego.com>
11054 New file with obarray functions.
11056 * lisp/obarray.el: Basic obarray functions extracted from abbrev.el.
11057 * test/automated/obarray-tests.el: New file.
11059 2015-11-11 Eli Zaretskii <eliz@gnu.org>
11061 Implement tray notifications for MS-Windows
11063 * src/w32fns.c (MY_NOTIFYICONDATAW): New typedef.
11064 (NOTIFYICONDATAW_V1_SIZE, NOTIFYICONDATAW_V2_SIZE)
11065 (NOTIFYICONDATAW_V3_SIZE, NIF_INFO, NIIF_NONE, NIIF_INFO)
11066 (NIIF_WARNING, NIIF_ERROR, EMACS_TRAY_NOTIFICATION_ID)
11067 (EMACS_NOTIFICATION_MSG): New macros.
11068 (NI_Severity): New enumeration.
11069 (get_dll_version, utf8_mbslen_lim, add_tray_notification)
11070 (delete_tray_notification, Fw32_notification_notify)
11071 (Fw32_notification_close): New functions.
11072 (syms_of_w32fns): Defsubr functions exposed to Lisp. DEFSYM
11073 keywords used by w32-notification-notify.
11075 * doc/lispref/os.texi (Desktop Notifications): Describe the native
11076 w32 tray notifications.
11078 2016-01-30 Alan Mackenzie <acm@muc.de>
11080 First commit to scratch/follow. Make Isearch work with Follow Mode, etc.
11082 doc/lispref/window.texi (Basic Windows): Add paragraph defining "Group of
11083 Windows" and new @defun selected-window-group.
11084 (Window Start and End): Describe new &optional parameter GROUP and
11085 ...-group-function for window-start, window-end, set-window-start, and
11086 pos-visible-in-window-p.
11087 (Textual Scrolling) Describe the same for recenter.
11088 doc/lispref/positions.texi (Screen Lines): Describe the same for
11089 move-to-window-line.
11091 src/window.c (Fwindow_start, Fwindow_end, Fset_window_start)
11092 (Fpos_visible_in_window_p, Frecenter, Fmove_to_window_line): To each, add ar
11093 new optional parameter "group". At the beginning of each, check whether the
11094 corresponding ...-group-function is set to a function, and if so execute this
11095 function in place of the normal processing.
11096 (syms_of_window): Define symbols for the six new variables below.
11097 (window-start-group-function, window-end-group-function)
11098 (set-window-start-group-function, recenter-group-function)
11099 (pos-visible-in-window-p-group-function, move-to-window-line-group-function):
11100 New permanent local buffer local variables.
11101 src/keyboard.c (Fposn_at_point): Add extra parameter in call to
11102 Fpos_visible_in_window_p.
11104 lisp/window.el (selected-window-group-function): New permanent local buffer
11106 (selected-window-group): New function.
11108 lisp/follow.el (follow-mode): Set the ...-group-function variables at mode
11109 enable, kill them at mode disable. Add/remove follow-after-change to/from
11110 after-change-functions.
11111 (follow-start-end-invalid): New variable.
11112 (follow-redisplay): Manipulate follow-start-end-invalid.
11113 (follow-after-change, follow-window-start, follow-window-end)
11114 (follow-set-window-start, follow-pos-visible-in-window-p)
11115 (follow-move-to-window-line, follow-sit-for): New functions.
11117 lisp/isearch.el (isearch-call-message): New macro.
11118 (isearch-update, with-isearch-suspended, isearch-del-char)
11119 (isearch-search-and-update, isearch-ring-adjust): Invoke above new macro.
11120 (with-isearch-suspended): Rearrange code such that isearch-call-message is
11121 invoked before point is moved.
11122 (isearch-message): Add comment about where point must be at function call.
11123 (isearch-search): Remove call to isearch-message.
11124 (isearch-lazy-highlight-window-group): New variable.
11125 (isearch-lazy-highlight-new-loop): Unconditionally start idle timer. Move
11126 the battery of tests to ...
11127 (isearch-lazy-highlight-maybe-new-loop): New function, started by idle timer.
11128 Note: (sit-for 0) is still called.
11129 (isearch-lazy-highlight-update): Check membership of
11130 isearch-lazy-highlight-window-group. Don't set the `window' overlay
11132 (isearch-update, isearch-done, isearch-string-out-of-window)
11133 (isearch-back-into-window, isearch-lazy-highlight-maybe-new-loop)
11134 (isearch-lazy-highlight-search, isearch-lazy-highlight-update)
11135 (isearch-lazy-highlight-update): Call the six amended primitives (see
11136 src/window.c above) with the new `group' argument set to t, to cooperate
11139 2015-11-11 Michael Albinus <michael.albinus@gmx.de>
11141 Optimize `file-equal-p' and `file-in-directory-p' in Tramp
11143 * lisp/net/tramp.el (tramp-handle-file-equal-p)
11144 (tramp-handle-file-in-directory-p): New defuns. Suggested by
11145 Harvey Chapman <hchapman@3gfp.com>.
11147 * lisp/net/tramp-adb.el (tramp-adb-file-name-handler-alist):
11148 * lisp/net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
11149 * lisp/net/tramp-sh.el (tramp-sh-file-name-handler-alist):
11150 * lisp/net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use them.
11152 2015-11-10 Karl Fogel <kfogel@red-bean.com>
11154 * CONTRIBUTE: Encourage adding tests.
11156 Based on this post from John Wiegley:
11158 From: "John Wiegley" <johnw@newartisans.com>
11159 Subject: Re: [Emacs-diffs] master 1f02cbe: Fix bug#21766 and add test
11160 To: Juanma Barranquero <lekktu@gmail.com>
11161 Cc: emacs-diffs@gnu.org, bruce.connor.am@gmail.com,
11162 emacs-devel <emacs-devel@gnu.org>
11163 Date: Wed, 28 Oct 2015 18:45:29 -0700
11164 Message-ID: <m2y4emqwg6.fsf@newartisans.com>
11166 https://lists.gnu.org/archive/html/emacs-devel/2015-10/msg02372.html
11168 2015-11-10 David Reitter <david.reitter@gmail.com>
11170 Avoid creating notification objects when possible
11172 * src/nsterm.m (windowWillEnterFullScreen, windowWillExitFullScreen:)
11173 (windowDidEnterFullScreen, windowDidExitFullScreen): Provide convenience
11174 functions that do not require a notification object. When needed,
11175 define NSWindowDidEnterFullScreenNotification to allow for compilation
11178 2015-11-10 Paul Eggert <eggert@cs.ucla.edu>
11180 Move INTEGER_TO_CONS body out of .h file
11182 * src/data.c (INTBIG_TO_LISP): New macro, with most
11183 of the contents of the old INTEGER_TO_CONS.
11184 (intbig_to_lisp, uintbig_to_lisp): New functions.
11185 * src/lisp.h (INTEGER_TO_CONS):
11186 Simplify by using EXPR_SIGNED and the new functions.
11187 This shrinks code size a bit, and makes it easier to
11188 put a breakpoint on handling of large integers.
11190 2015-11-10 Paul Eggert <eggert@cs.ucla.edu>
11195 2015-11-10 intprops: new public macro EXPR_SIGNED
11196 2015-11-10 intprops: fix typo in clang port
11197 * lib/intprops.h: Copy from gnulib.
11199 2015-11-10 Paul Eggert <eggert@cs.ucla.edu>
11203 * lisp/net/soap-inspect.el (soap-inspect-xs-simple-type):
11204 Fix misspelling in output.
11206 2015-11-10 Artur Malabarba <bruce.connor.am@gmail.com>
11208 * doc/lispref/variables.texi (Directory Local Variables):
11209 Document dir-locals wildcards.
11211 * lisp/files.el (dir-locals-file): Point to Info node.
11213 * doc/emacs/custom.texi (Directory Variables):
11214 Document dir-locals wildcards.
11216 * etc/NEWS: Document new functionality.
11218 2015-11-10 Artur Malabarba <bruce.connor.am@gmail.com>
11220 * lisp/files.el: Don't allow customization of dir-locals sorting.
11221 In retrospect, this is not a good idea for the same reason that
11222 `dir-locals-file' is a defconst, because it is important that this
11223 behaviour be "uniform across different environments and users".
11224 Sure, the user can still change the sorting with a hack, but we
11225 shouldn't encourage them to change it.
11226 (dir-locals--all-files): Return list in the order returned by
11227 `file-expand-wildcards'.
11228 (file-expand-wildcards): Document the sorting predicate used.
11229 (dir-locals-sort-predicate): Delete variable.
11231 2015-11-10 Artur Malabarba <bruce.connor.am@gmail.com>
11233 * lisp/files.el (dir-locals-read-from-file): Better handle errors.
11235 * lisp/isearch.el (search-default-regexp-mode): Change default value.
11237 2015-11-10 Artur Malabarba <bruce.connor.am@gmail.com>
11239 * lisp/files.el (dir-locals-find-file): Don't stop at unreadable files.
11240 `locate-dominating-file' will now keep looking if the files it finds in
11241 a given directory are unreadable (or not files).
11243 2015-11-10 Artur Malabarba <bruce.connor.am@gmail.com>
11245 * lisp/files.el (dir-locals-file): Allow wildcards.
11246 (dir-locals-find-file, dir-locals-collect-variables)
11247 (dir-locals-read-from-file): Update accordingly.
11248 (hack-dir-local-variables): Rename a local variable.
11250 * lisp/files-x.el (modify-dir-local-variable): Update accordingly.
11252 * lisp/help-fns.el (describe-variable): Update accordingly.
11254 * .gitignore: Add .dir-locals?.el.
11256 2015-11-10 Artur Malabarba <bruce.connor.am@gmail.com>
11258 * lisp/emacs-lisp/map.el (map-merge-with): New function.
11260 * test/automated/map-tests.el (test-map-merge-with): New test.
11262 2015-11-09 Karl Fogel <kfogel@red-bean.com>
11264 Fix some recently-perturbed bookmark autoloads
11266 * lisp/bookmark.el (bookmark-set-internal): Remove unnecessary autoload.
11267 (bookmark-set): Restore autoload.
11268 (bookmark-set-no-overwrite): Add autoload.
11270 Thanks to Juanma Barranquero for noticing the autoload problems
11271 introduced by my recent commit adding/changing the above functions
11272 (Sun Nov 8 14:16:43 2015 -0500, git commit 3812e17978).
11274 2016-01-30 Noah Friedman <friedman@splode.com>
11276 (ydump-buffer): Handle case where gap is at the start of buffer.
11277 I don't recall if older versions of gdb were less strict but you
11278 cannot dump a 0-length range in gdb 7.9.1.
11280 2016-01-30 Dmitry Gutov <dgutov@yandex.ru>
11281 2015-11-09 Noah Friedman <friedman@splode.com>
11283 * etc/emacs-buffer.gdb (ydump-buffer): Handle case where gap is at
11284 the start of buffer. I don't recall if older versions of gdb were
11285 less strict but you cannot dump a 0-length range in gdb 7.9.1.
11287 2015-11-09 Dmitry Gutov <dgutov@yandex.ru>
11289 * lisp/progmodes/project.el: Update Commentary.
11291 Merge branch 'project-next'
11293 2016-01-30 Dmitry Gutov <dgutov@yandex.ru>
11295 Fold `project-ask-user' into `project-current'
11297 * lisp/progmodes/project.el (project-find-functions): Remove
11298 `project-ask-user'.
11299 2015-11-09 Dmitry Gutov <dgutov@yandex.ru>
11301 Fold `project-ask-user' into `project-current'
11303 * lisp/progmodes/project.el (project-find-functions):
11304 Remove `project-ask-user'.
11305 (project-ask-user): Remove function and the corresponding
11306 `project-roots' implementation.
11307 (project-current): Add a new argument, MAYBE-PROMPT. Prompt the
11308 user in case there's no project in the current directory. Update
11311 2015-11-09 Karl Fogel <kfogel@red-bean.com>
11313 When VC detects a conflict, specify which file
11315 * lisp/vc/vc.el (vc-message-unresolved-conflicts): New function.
11316 * lisp/vc/vc-svn.el (vc-svn-find-file-hook):
11317 * lisp/vc/vc-hg.el (vc-hg-find-file-hook):
11318 * lisp/vc/vc-bzr.el (vc-bzr-find-file-hook):
11319 * lisp/vc/vc-git.el (vc-git-find-file-hook): Use above new function
11320 to display a standard message that specifies the conflicted file.
11322 Before this change, the message VC used for indicating a conflicted
11323 file was just "There are unresolved conflicts in this file" without
11324 naming the file (and this language was duplicated in several places).
11325 After this change, it's "There are unresolved conflicts in file FOO"
11326 (and this language is now centralized in one function in vc.el).
11328 Justification: It's important for the message to name the conflicted
11329 file because the moment when VC realizes a file is conflicted does not
11330 always come interactively. For example, some people automatically
11331 find a set of Org Mode files on startup, and may keep those .org files
11332 under version control. If any of the files are conflicted, the user
11333 just sees some messages fly by, and might later check the "*Messages*"
11334 buffer to find out what files were conflicted. I'm not saying this
11335 happened to me or anything; it's a purely hypothetical example.
11337 2015-11-09 Eli Zaretskii <eliz@gnu.org>
11339 Fix assertion violation in define-key
11341 * src/keymap.c (store_in_keymap): Don't use XFASTINT on non-character
11342 objects. Reported by Drew Adams <drew.adams@oracle.com>
11343 and Juanma Barranquero <lekktu@gmail.com>.
11345 2015-11-09 Dima Kogan <dima@secretsauce.net>
11347 Fix a memory leak in GC of font cache
11349 * src/alloc.c (compact_font_cache_entry): Don't GC unmarked font
11350 entities if some of the fonts it references are marked. This
11351 plugs a memory leak. (Bug#21556)
11353 2015-11-09 Paul Eggert <eggert@cs.ucla.edu>
11355 Use INT_ADD_WRAPV etc. to check integer overflow
11357 * src/alloc.c (xnmalloc, xnrealloc, xpalloc, Fmake_string):
11358 * src/buffer.c (record_overlay_string, overlay_strings):
11359 * src/casefiddle.c (casify_object):
11360 * src/ccl.c (Fccl_execute_on_string):
11361 * src/character.c (char_width, c_string_width, lisp_string_width)
11362 (count_size_as_multibyte, string_escape_byte8):
11363 * src/coding.c (coding_alloc_by_realloc, produce_chars):
11364 * src/data.c (arith_driver):
11365 * src/dispnew.c (realloc_glyph_pool, init_display):
11366 * src/editfns.c (styled_format):
11367 * src/fns.c (Ffillarray):
11368 * src/ftfont.c (ftfont_shape_by_flt):
11369 * src/gnutls.c (gnutls_hex_string):
11370 * src/gtkutil.c (get_utf8_string):
11371 * src/image.c (x_to_xcolors, x_detect_edges, png_load_body):
11372 * src/keymap.c (Fkey_description):
11373 * src/lisp.h (SAFE_ALLOCA_LISP):
11374 * src/term.c (encode_terminal_code):
11375 * src/tparam.c (tparam1):
11376 * src/xselect.c (x_property_data_to_lisp):
11377 * src/xsmfns.c (smc_save_yourself_CB):
11378 * src/xterm.c (x_term_init):
11379 When checking for integer overflow, prefer INT_MULTIPLY_WRAPV to
11380 more-complicated code involving division and/or
11381 INT_MULTIPLY_OVERFLOW, and similarly for INT_ADD_WRAPV and
11382 subtraction and/or INT_ADD_OVERFLOW.
11383 * src/casefiddle.c (casify_object): Simplify multibyte size check.
11384 * src/character.c: Remove some obsolete ‘#ifdef emacs’s.
11385 * src/data.c (arith_driver): Also check for division overflow,
11386 as that’s now possible given that the accumulator can now contain
11388 * src/lisp.h (lisp_word_count): Remove; no longer used.
11390 2016-01-30 Dmitry Gutov <dgutov@yandex.ru>
11391 2015-11-08 Dmitry Gutov <dgutov@yandex.ru>
11393 Make sure that the ignore file exists
11395 * lisp/vc/vc.el (vc-default-ignore-completion-table):
11396 Make sure that the ignore file exists.
11398 2016-01-30 Michael Sperber <mike@xemacs.org>
11400 * lisp/gnus-sum.el (gnus-summary-backend-map): Bind B-backspace to
11401 2015-11-08 Michael Sperber <mike@xemacs.org>
11403 * gnus-sum.el (gnus-summary-backend-map): Bind B-backspace to
11404 `gnus-summary-delete-article` in a way that also works on XEmacs.
11406 2015-11-08 Simen Heggestøyl <simenheg@gmail.com>
11408 Add support for retrieving paths to JSON elements
11410 Add support for retrieving the path to a JSON element. This can for
11411 instance be useful to retrieve paths in deeply nested JSON
11414 * lisp/json.el (json-pre-element-read-function)
11415 (json-post-element-read-function): New variables to hold pre- and post
11416 read callback functions for `json-read-array' and `json-read-object'.
11417 (json--path): New variable used internally by `json-path-to-position'.
11418 (json--record-path, json--check-position): New functions used
11419 internally by `json-path-to-position'.
11420 (json-path-to-position): New function for retrieving the path to a
11421 JSON element at a given position.
11422 (json-read-object, json-read-array): Call
11423 `json-pre-element-read-function' and `json-post-element-read-function'
11426 * test/automated/json-tests.el (test-json-path-to-position-with-objects)
11427 (test-json-path-to-position-with-arrays)
11428 (test-json-path-to-position-no-match): New tests for
11429 `json-path-to-position'.
11431 2015-11-08 Karl Fogel <kfogel@red-bean.com>
11433 * etc/NEWS: Mention new `bookmark-set-no-overwrite'.
11435 This really should been part of my previous commit
11436 (Sun Nov 8 14:16:43 2015 -0500, git commit 3812e17978).
11438 2015-11-08 Karl Fogel <kfogel@red-bean.com>
11440 Offer non-overwrite bookmark setter (Bug#15746)
11442 * lisp/bookmark.el (bookmark-set-internal): New helper function to do
11443 what `bookmark-set' used to do, but with more choices for overwrite
11444 vs push, and with minor changes to the interactive prompt format.
11445 (bookmark-set): Rewrite as wrapper around above.
11446 If overwriting, inform the user of that in the prompt.
11447 (bookmark-set-no-overwrite): New function, also done as wrapper.
11448 Bind to "M" in `ctl-x-r-map' autoloads.
11449 (bookmark-map): Similarly bind "M" here.
11451 2015-11-08 Paul Eggert <eggert@cs.ucla.edu>
11453 * src/unexelf.c (NEW_PROGRAM_H): Remove unused macro (Bug#20614).
11455 2015-11-08 Alan Modra <amodra@gmail.com>
11457 ELF unexec: Don't insert a new section
11459 Reuse the .bss section instead, making it SHT_PROGBITS. This way we
11460 don't need to mess with symbol st_shndx, or section sh_link and
11463 This does lead to eu-elflint complaints about symbols defined in .bss
11464 with a needed version, because normally it is undefined symbols that
11465 have needed versions; Defined symbols have version definitions.
11466 The exception is symbols defined by the linker in .dynbss for
11467 variables copied from a shared library in order to avoid text
11468 relocations, with copy relocs to copy their initial values from the
11469 shared library. These symbols are both defined and have needed
11470 versions, and eu-elflink only expects to see them in SHT_NOBITS
11471 sections. Of course there is no real problem with having such symbols
11472 in SHT_PROGBITS sections. glibc ld.so handles them fine.
11474 * src/unexelf.c: Delete outdated comments.
11475 (PATCH_INDEX): Delete.
11476 (find_section): Delete.
11477 (unexec): Don't add a new section. Instead reuse the last bss
11478 section, extending it to cover dumped data. Make bss sections
11479 SHT_PROGBITS. Remove all patching of sh_link, sh_info and
11480 st_shndx. Rename bss sections.
11482 2015-11-08 Alan Modra <amodra@gmail.com>
11484 ELF unexec: Drive from PT_LOAD header rather than sections
11486 This rewrites bss handling in the ELF unexec code. Finding bss
11487 sections by name results in complicated code that
11488 - does not account for all names of possible bss sections,
11489 - assumes specific ordering of bss sections,
11490 - can wrongly choose a SHT_NOBITS section not in the bss segment,
11491 - incorrectly calculates bss size (no accounting for alignment gaps),
11492 - assumes .data and .bss are in the same segment.
11494 All of these problems and more are solved by finding the bss segment
11495 in PT_LOAD headers, ie. the address range included in p_memsz but not
11496 p_filesz of the last PT_LOAD header, then matching SHT_NOBITS sections
11497 in that address range.
11499 * src/unexelf.c: Delete old ppc comment.
11500 (OLD_PROGRAM_H): Define.
11501 (round_up): Delete.
11502 (unexec): Don't search for bss style sections by name. Instead,
11503 use the last PT_LOAD header address range covered by p_memsz
11504 but not p_filesz and match any SHT_NOBITS section in that
11505 address range. Simplify initialisation of section header vars.
11506 Don't assume that section headers are above bss segment. Move
11507 copying of bss area out of section loop. Align .data2 section
11508 to 1, since it now covers the entire bss area. For SHT_NOBITS
11509 sections in the bss segment, leave sh_addr and sh_addralign
11510 unchanged, but correct sh_offset. Clear memory corresponding
11511 to SHT_NOBITS .plt section. Delete comment and hacks for
11512 sections partly overlapping bss range now that the full range
11513 is properly calculated. Delete now dead .sbss code.
11516 2015-11-08 Alan Modra <amodra@gmail.com>
11518 ELF unexec: R_*_NONE relocs
11520 These should be ignored on all targets.
11522 * src/unexelf.c (unexec): Ignore R_*_NONE relocs for any target,
11523 not just Alpha. Comment on reloc size assumption.
11525 2015-11-08 Alan Modra <amodra@gmail.com>
11527 ELF unexec: _OBJC_ symbols in bss sections
11529 This code assumed that there was only one bss section. Rather than
11530 checking for a particular index, check the section type. Also, handle
11531 the possibility that the section was SHT_NOBITS originally and is
11532 unchanged, in which case no clearing is needed (and sh_offset isn't
11533 necessarily valid, which can lead to a wild memset).
11535 * src/unexelf.c (unexec): Properly handle _OBJC_ symbols in
11538 2015-11-08 Alan Modra <amodra@gmail.com>
11540 ELF unexec: Symbol table patching
11542 No st_shndx value larger than SHN_LORESERVE should be changed.
11543 * src/unexelf.c (unexec): Don't adjust any st_shndx larger than
11544 SHN_LORESERVE. Error on SHN_XINDEX.
11546 2015-11-08 Alan Modra <amodra@gmail.com>
11548 ELF unexec: Merge Alpha and MIPS COFF debug handling
11550 * src/unexelf.c (unexec): Merge Alpha and MIPS COFF debug handling.
11551 Don't find .mdebug section index, find the section in the loop.
11552 Allow for unlikely possibility that .mdebug is located at sh_offset
11553 before bss segment, by calculating move from difference in
11554 sh_offset rather than just assuming new_data2_size. Simplify
11555 cbLineOffset handling.
11557 2015-11-08 Alan Modra <amodra@gmail.com>
11559 ELF unexec: Tidy code
11561 Separate out some of the more mechanical changes so following patches
11564 * src/unexelf.c (unexec): Rearrange initialisation of program
11565 header vars. Use pointer vars in loops rather than indexing
11566 section header array via macros. Simplify _OBJC_ sym code
11567 and reloc handling code.
11569 2015-11-08 Alan Modra <amodra@gmail.com>
11571 ELF unexec: Correct section header index
11573 First a small fix. The code incorrectly uses "NEW_SECTION_H (n)" when
11574 it should have been using "NEW_SECTION_H (nn)" to find the name of the
11575 section currently being processed. Of course, before the bss
11576 sections, n and nn have the same value, so this doesn't matter except
11577 in the case of .sbss. For .sbss this probably meant .bss (most likely
11578 the next section) was copied from memory. A later patch removes the
11579 bogus .sbss handling anyway.
11581 * src/unexelf.c (unexec): Use correct index to look up names.
11583 2015-11-08 Michael Albinus <michael.albinus@gmx.de>
11587 * lisp/filenotify.el (file-notify--rm-descriptor):
11588 Use `descriptor' instead of computing its value.
11589 (file-notify--descriptor): Additional argument FILE. Adapt all callees.
11590 (file-notify-rm-watch): Use `descriptor' when calling file name handler.
11593 2015-11-08 Dmitry Gutov <dgutov@yandex.ru>
11595 Remove dirs in vc project roots from the the vc project library roots
11597 * lisp/progmodes/project.el (project-library-roots):
11598 Remove directories inside the project roots from the result.
11599 (http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg00536.html)
11601 2015-11-07 Dmitry Gutov <dgutov@yandex.ru>
11603 Move and rename xref-find-regexp to the project package
11605 * lisp/progmodes/project.el (project-find-regexp)
11606 (project--read-regexp)
11607 (project--find-regexp-in): New functions.
11609 * lisp/progmodes/xref.el (xref--find-xrefs): Extract from
11610 xref--show-xrefs. Use in existing callers in place of that
11612 (xref--show-xrefs): Only do the "show" part.
11613 (xref-find-regexp): Rename, more or less, to
11614 project-or-libraries-find-regexp.
11616 2015-11-06 Dmitry Gutov <dgutov@yandex.ru>
11618 Abolish temporary buffer management for xref
11620 * lisp/progmodes/xref.el (xref--temporary-buffers)
11622 (xref--inhibit-mark-current)
11623 (xref--mark-selected): Remove. Remove all references.
11624 (xref--show-xrefs): Do not construct the
11625 list of the temporary buffers, nor pass it along.
11627 2015-11-06 Dmitry Gutov <dgutov@yandex.ru>
11629 Rename "search path" to "library roots"
11631 * lisp/emacs-lisp/cl-seq.el (cl-set-difference): Retain the order
11632 of the elements from CL-LIST1.
11634 * test/automated/cl-lib-tests.el (cl-lib-test-set-functions):
11635 Update WRT to the above change.
11637 * lisp/progmodes/project.el (project-search-path-function): Rename
11638 to project-library-roots-function, update the documentation and
11640 (project-search-path): Likewise, to project-library-roots.
11641 (project-roots): Clarify documentation.
11642 (project-vc-search-path): Likewise, to project-vc-library-roots.
11643 (project-library-roots): In addition to the renames, thread the
11644 results through file-name-as-directory.
11645 (project-prune-directories): Accept a variable number of
11646 arguments. Rename to project-combine-directories.
11647 (project-subtract-directories): New function.
11649 * lisp/progmodes/elisp-mode.el (elisp--xref-find-references):
11650 Append project-roots and project-library-roots together.
11652 * lisp/progmodes/etags.el (etags--xref-find-references): Ditto.
11654 2015-11-08 Paul Eggert <eggert@cs.ucla.edu>
11656 Prefer xpalloc to doubling buffers by hand
11658 * src/lread.c (grow_read_buffer): New function, which uses xpalloc.
11659 (read1): Use it for simplicity.
11660 * src/macros.c (store_kbd_macro_char):
11661 * src/minibuf.c (read_minibuf_noninteractive):
11662 * src/term.c (encode_terminal_code):
11663 * src/xrdb.c (magic_db):
11664 Prefer xpalloc to growing buffers by hand.
11665 This doesn’t fix any bugs, but simplifies the code a bit.
11667 2015-11-08 Paul Eggert <eggert@cs.ucla.edu>
11672 2015-11-05 timespec-sub: fix overflow bug; add tests
11673 2015-11-04 intprops: revise _WRAPV macros, revert _OVERFLOW
11674 2015-11-03 intprops: add parentheses
11675 * lib/intprops.h, lib/timespec-add.c, lib/timespec-sub.c:
11678 2015-11-07 David Reitter <david.reitter@gmail.com>
11680 Provide NS notification objects where required to eliminate warnings
11682 * src/nsterm.m (windowDidResize:, toggleFullScreen:):
11683 Call notification functions with notification objects
11684 as per delegate APIs.
11686 2015-11-07 Noam Postavsky <npostavs@users.sourceforge.net>
11688 Add test for bug #21824
11690 * test/automated/buffer-tests.el: New file.
11691 (overlay-modification-hooks-message-other-buf): New test.
11693 2015-11-07 Kelvin White <kwhite@gnu.org>
11695 * lisp/erc/erc-pcomplete.el (pcomplete-erc-nicks): Fix bug#18771.
11697 2015-11-07 David Reitter <david.reitter@gmail.com>
11699 Ignore fullscreen exit notifications on NS when frame is dead
11701 * src/nsterm.m (windowDidResize:, windowWillExitFullScreen:)
11702 (windowDidExitFullScreen:): Return if frame is dead.
11703 These functions may be called when a fullscreen frame
11704 is closed; they are called before, not after.
11706 May address Bug#21428.
11708 2015-11-07 Eli Zaretskii <eliz@gnu.org>
11710 Speed up lookup in redisplay--variables
11712 * lisp/frame.el (redisplay--variables): Make it a hash-table.
11714 * src/xdisp.c (maybe_set_redisplay): Access redisplay--variables
11715 as a hash-table. This speeds up this function by an order of
11716 magnitude: where previously a setq was slowed down by 100% by
11717 introducing the maybe_set_redisplay test, it is now only 5%
11719 (syms_of_xdisp) <redisplay--variables>: Doc fix.
11721 2015-11-07 Artur Malabarba <bruce.connor.am@gmail.com>
11723 * lisp/emacs-lisp/cl-macs.el (cl-defstruct): Fix a bug.
11725 The defsubst was being created as:
11726 (cl-defsubst name (args) ("DOC") ...)
11728 * test/automated/cl-lib-tests.el (cl-lib-struct-constructors):
11731 2015-11-07 Mihai Olteanu <mihai_olteanu@fastmail.fm> (tiny change)
11733 Update doc string of hexl-mode
11735 * lisp/hexl.el (hexl-mode): Doc fix. (Bug#21800)
11737 2015-11-07 Eli Zaretskii <eliz@gnu.org>
11739 Fix error in copy-abbrev-table
11741 * lisp/abbrev.el (define-abbrev): Don't erase the :abbrev-table-modiff
11742 property of the abbrev-table. (Bug#21828)
11744 * test/automated/abbrev-tests.el: New file.
11746 2015-11-07 Michael Albinus <michael.albinus@gmx.de>
11748 Add test to auto-revert-tests.el for Bug#21841
11750 * test/automated/auto-revert-tests.el
11751 (auto-revert-test01-auto-revert-several-files): New test.
11752 (auto-revert-test02-auto-revert-tail-mode)
11753 (auto-revert-test03-auto-revert-mode-dired): Rename them.
11755 2015-11-07 Martin Rudalics <rudalics@gmx.at>
11757 * doc/lispref/windows.texi (Coordinates and Windows): Fix typo.
11759 2015-11-07 Martin Rudalics <rudalics@gmx.at>
11761 In x_consider_frame_title don't set title of tooltip frames
11763 * src/xdisp.c (x_consider_frame_title): Return immediately for
11764 tooltip frames to avoid displaying empty tooltips.
11766 2015-11-06 Anders Lindgren <andlind@gmail.com>
11768 Fixed NextStep fullscreen problem (bug#21770).
11770 * src/nsterm.m (ns_constrain_all_frames): Don't constrain
11773 2015-11-06 Eli Zaretskii <eliz@gnu.org>
11775 Ensure redisplay after evaluation
11777 * lisp/progmodes/elisp-mode.el (elisp--eval-last-sexp):
11778 Revert last change.
11779 * lisp/frame.el (redisplay--variables): Populate the
11780 redisplay--variables list.
11781 * src/xdisp.c (maybe_set_redisplay): New function.
11782 (syms_of_xdisp) <redisplay--variables>: New variable.
11783 * src/window.h (maybe_set_redisplay): Declare prototype.
11784 * src/data.c (set_internal): Call maybe_set_redisplay. (Bug#21835)
11786 2015-11-06 Artur Malabarba <bruce.connor.am@gmail.com>
11788 * test/automated/subr-tests.el (subr-test-when): Fix again.
11790 2015-11-06 Eli Zaretskii <eliz@gnu.org>
11792 Don't invoke overlay modification hooks in wrong buffer
11794 * src/buffer.c (report_overlay_modification): When called with
11795 AFTER non-zero, don't invoke overlay modification hooks if the
11796 buffer recorded in last_overlay_modification_hooks is different
11797 from the current buffer. (Bug#21824)
11799 2015-11-06 Juanma Barranquero <lekktu@gmail.com>
11801 * admin/notes/repo: Fix a few obsolete references to Bazaar.
11803 2015-11-06 Artur Malabarba <bruce.connor.am@gmail.com>
11805 * test/automated/subr-tests.el (subr-test-when): Fix test.
11807 2015-11-06 Martin Rudalics <rudalics@gmx.at>
11809 Avoid division by zero crash observed by Yuan MEI
11811 See http://lists.gnu.org/archive/html/emacs-devel/2015-11/msg00194.html.
11813 * src/dispnew.c (required_matrix_height, required_matrix_width):
11814 Avoid division by zero.
11815 * src/xterm.c (x_term_init): Init dpyinfo->smallest_font_height and
11816 dpyinfo->smallest_char_width to 1.
11818 2015-11-06 Eli Zaretskii <eliz@gnu.org>
11820 Ensure redisplay after "C-x C-e"
11822 * lisp/progmodes/elisp-mode.el (elisp--eval-last-sexp): Make sure
11823 redisplay happens to account for any side effects of the evaluated
11826 2015-11-06 Michael Albinus <michael.albinus@gmx.de>
11828 Skip some file notification tests for cygwin
11830 * test/automated/file-notify-tests.el (file-notify--test-with-events):
11831 Remove argument TIMEOUT. Adapt all callees.
11832 (file-notify-test02-events, file-notify-test04-file-validity):
11833 Skip for cygwin. (Bug#21804)
11835 2015-11-05 Stephen Leake <stephen_leake@stephe-leake.org>
11837 * lisp/progmodes/xref.el: Require semantic/symref during compilation.
11839 2015-11-05 Daiki Ueno <ueno@gnu.org>
11841 Suppress redundant Pinentry startup messages
11843 * lisp/net/pinentry.el (pinentry-start): Add optional QUIET argument.
11844 * lisp/epg.el: Declare `pinentry-start'.
11845 (epg--start): Call `pinentry-start' with QUIET argument set.
11847 2015-11-05 Xue Fuqiao <xfq.free@gmail.com>
11849 * doc/emacs/ack.texi (Acknowledgments): Updates.
11851 2015-11-05 Juanma Barranquero <lekktu@gmail.com>
11853 * test/automated/elisp-mode-tests.el: Silence some run-time warnings.
11854 (xref-elisp-deftest): Bind `find-file-suppress-same-file-warnings' to t.
11856 2015-11-05 Tassilo Horn <tsdh@gnu.org>
11858 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-alist):
11859 Add prettification support for \times.
11861 2015-11-05 Juanma Barranquero <lekktu@gmail.com>
11863 * test/automated/process-tests.el: Skip tests when bash is unavailable.
11864 (process-test-sentinel-accept-process-output)
11865 (process-test-sentinel-sit-for): skip-unless bash executable found.
11867 2015-11-05 Eli Zaretskii <eliz@gnu.org>
11869 Add test for bug #21831
11871 * test/automated/process-tests.el
11872 (start-process-should-not-modify-arguments): New test. (Bug#21831)
11873 Suggested by Nicolas Richard <youngfrog@members.fsf.org>
11875 2015-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
11877 * lisp/emacs-lisp/eieio-compat.el: Typo caught by tests.
11879 (eieio--generic-static-object-generalizer): Fix typo.
11880 * test/automated/eieio-tests.el: Byte-compile it again. It looks
11881 like the underlying cause of bug#17852 was fixed in the mean time.
11883 2015-11-04 Artur Malabarba <bruce.connor.am@gmail.com>
11885 Revert "* lisp/subr.el (when): Use `macroexp-progn'"
11887 This reverts commit 8e843831eaf271801836b7a3e4dd3b4fb0bb72b8.
11888 It breaks bootstrapping (duh).
11890 2015-11-04 Artur Malabarba <bruce.connor.am@gmail.com>
11892 * lisp/files.el (report-errors): Obsolete.
11894 (normal-mode, hack-local-variables, dir-locals-find-file):
11895 Use `with-demoted-errors' instead.
11897 2015-11-04 Artur Malabarba <bruce.connor.am@gmail.com>
11899 * lisp/subr.el (when): Use `macroexp-progn'.
11901 * test/automated/subr-tests.el (subr-test-when): New test.
11903 2015-11-04 Juanma Barranquero <lekktu@gmail.com>
11905 * lisp/progmodes/xref.el: Doc fixes.
11906 (xref-make-file-location, xref-make-buffer-location, xref-make)
11907 (xref-make-bogus-location, xref-make-match): Add cross-references.
11908 (xref--insert-xrefs): Fix typo in docstring.
11910 2015-11-04 Anders Lindgren <andlind@gmail.com>
11912 Render fringe bitmaps correctly on NextStep (bug#21301)
11914 The fringe bitmaps were inverted, the background was not transparent,
11915 the image data was horizontally mirrored, and periodic fringe bitmaps
11916 were not supported.
11918 * src/nsimage.m ([EmacsImage initFromXBM:width:height:fg:bg:]):
11919 When both background and foreground colors are 0, set the background
11920 alpha channel to 0 (making the background transparent). When
11921 copying the image data, do this from the most significant bit
11922 (leftmost) to the least (rightmost), to avoid mirroring.
11923 * src/nsterm.m (ns_draw_fringe_bitmap): Don't invert the image bits.
11924 Add support for periodic images (e.g. the empty line indicator).
11926 2015-11-03 Michael Heerdegen <michael_heerdegen@web.de>
11928 * lisp/emacs-lisp/pcase.el (pcase): Tweak docstring.
11930 2015-11-03 Nicolas Petton <nicolas@petton.fr>
11932 * admin/MAINTAINERS: Add seq-tests.el, map-tests.el, and thunk-tests.el.
11934 * admin/MAINTAINERS: Add thunk.el.
11936 2015-11-03 Jay Belanger <jay.p.belanger@gmail.com>
11938 * lisp/calc/calc.el (calc-bug-address): Change maintainer address.
11940 2015-11-03 Michael Albinus <michael.albinus@gmx.de>
11942 Fix a stupid error in gfilenotify.c
11944 * src/gfilenotify.c (dir_monitor_callback): Cancel monitor only,
11945 if we've got a `deleted' signal AND the file name is the watched one.
11947 2015-11-03 Stephen Leake <stephen_leake@stephe-leake.org>
11949 Fix Bug#21816; case insensitive file system in elisp-mode-tests.el
11951 * test/automated/elisp-mode-tests.el (xref-elisp-test-run):
11952 Use case-insensitive string compare for file names.
11953 (emacs-test-dir): Add 'downcase' to cause case differences (at
11954 least on my system).
11956 2015-11-02 Juanma Barranquero <lekktu@gmail.com>
11958 flymake-tests.el (warning-predicate-rx-gcc): Fix check
11960 * test/automated/flymake-tests.el (warning-predicate-rx-gcc):
11961 Also check that "make" is available, not just "gcc".
11963 2015-11-02 Ken Brown <kbrown@cornell.edu>
11965 Document behavior of collation on Cygwin
11967 * test/automated/fns-tests.el (fns-tests-collate-sort): Mark as
11968 expected failure on Cygwin.
11969 * doc/lispref/strings.texi (Text Comparison): Document that
11970 punctuation and whitespace are not ignored for sorting on Cygwin.
11972 2015-11-02 Dani Moncayo <dmoncayo@gmail.com>
11974 * build-aux/msys-to-w32: Prevent double slashes in w32 path list.
11976 2015-11-01 Glenn Morris <rgm@gnu.org>
11978 * lisp/progmodes/f90.el (f90-no-block-limit): Add associate.
11980 * test/automated/f90.el (f90-test-bug21794): New test.
11982 2015-11-01 Juanma Barranquero <lekktu@gmail.com>
11984 Fix incompatibility with TCC in test for bug#18745
11986 * test/automated/process-tests.el (process-test-quoted-batfile):
11987 Remove spaces unrelated to the bug being tested.
11989 2015-11-01 Michael Albinus <michael.albinus@gmx.de>
11991 Improve completion in tramp-gvfs.el
11993 * lisp/net/tramp-gvfs.el (tramp-zeroconf-parse-device-names):
11994 Rename from `tramp-zeroconf-parse-service-device-names'.
11995 (tramp-zeroconf-parse-webdav-device-names): Remove. Code merged
11996 with `tramp-zeroconf-parse-device-names'.
11997 (tramp-gvfs-parse-device-names): New defun.
11998 (top): Use it when `tramp-zeroconf-parse-device-names' is not
12001 * lisp/net/tramp.el (tramp-set-completion-function): The argument
12002 could also be a zeroconf service type.
12004 2015-10-31 Thomas Fitzsimmons <fitzsim@fitzsim.org>
12006 * lisp/net/ntlm.el: Change version to 2.0.0.
12008 2015-10-31 Juanma Barranquero <lekktu@gmail.com>
12011 * lisp/progmodes/python.el (python-syntax-closing-paren-p): Check with
12012 `eql' instead of `=' to accommodate the case that (syntax-after (point))
12014 * test/automated/python-tests.el (python-indent-inside-paren-7):
12017 2015-10-31 Juanma Barranquero <lekktu@gmail.com>
12019 * test/automated/python-tests.el: Avoid warnings.
12020 (python-tests-with-temp-buffer, python-tests-with-temp-file):
12021 Bind `python-indent-guess-indent-offset' to nil.
12023 2015-10-31 Juanma Barranquero <lekktu@gmail.com>
12025 * src/alloc.c: Silence compiler warnings.
12026 (pointers_fit_in_lispobj_p, mmap_lisp_allowed_p): #ifdef DOUG_LEA_MALLOC.
12028 2015-10-31 Jackson Ray Hamilton <jackson@jacksonrayhamilton.com>
12030 * etc/NEWS: Fix js-jsx-mode entry punctuation.
12032 2015-10-31 Jackson Ray Hamilton <jackson@jacksonrayhamilton.com>
12034 Add JSX indentation via js-jsx-mode (Bug#21799)
12036 * lisp/progmodes/js.el: Add JSX indentation support.
12037 (js--jsx-end-tag-re)
12038 (js--jsx-after-tag-re): New variables.
12039 (js--jsx-find-before-tag)
12040 (js--jsx-indented-element-p)
12042 (js--expression-in-sgml-indent-line)
12043 (js-jsx-indent-line)
12044 (js-jsx-mode): New functions.
12045 * test/indent/js-jsx.js: New file.
12046 * etc/NEWS: Add information about js-jsx-mode.
12048 2015-10-31 Michael Albinus <michael.albinus@gmx.de>
12050 Minor fix in filenotify.el
12052 * lisp/filenotify.el (file-notify--event-file-name)
12053 (file-notify--event-file1-name): Normalize result with
12054 `directory-file-name'.
12056 2015-10-31 Eli Zaretskii <eliz@gnu.org>
12058 Avoid errors in redisplay--pre-redisplay-functions
12060 * lisp/emacs-lisp/cursor-sensor.el (cursor-sensor--detect): Don't
12061 use 'bobp', instead compare window-point with 1. (Bug#21730)
12063 2015-10-30 Paul Eggert <eggert@cs.ucla.edu>
12068 2015-10-30 intprops: add WRAPV and const flavors for GCC 5
12069 2015-10-25 stdalign: port to Sun C 5.9
12070 * doc/misc/texinfo.tex, lib/intprops.h, lib/stdalign.in.h:
12073 2015-10-30 Eli Zaretskii <eliz@gnu.org>
12075 * src/w32proc.c (_NLSCMPERROR): Fix a typo in the name of this macro.
12076 (w32_compare_strings): Adjust for the correction.
12078 2015-10-30 Michael Albinus <michael.albinus@gmx.de>
12080 * test/automated/vc-tests.el (vc-test--state)
12081 (vc-test--working-revision, vc-test--checkout-model):
12082 Add result messages.
12084 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12086 * test/automated/faces-tests.el: Add another test
12088 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12090 * lisp/faces.el (faces--attribute-at-point): Fix bug
12091 introduced by previous commit.
12093 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12095 * test/automated/faces-tests.el: New file.
12097 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12099 * lisp/faces.el: Refactor common code and fix a bug.
12100 (faces--attribute-at-point): New function. Fix a bug when the
12101 face at point is a list of faces and the desired attribute is not
12103 (foreground-color-at-point, background-color-at-point): Use it.
12105 2015-10-30 Przemysław Wojnowski <esperanto@cumego.com>
12107 * etc/tutorials/TUTORIAL.translators: Fix PL names.
12109 2015-10-30 Juanma Barranquero <lekktu@gmail.com>
12111 * lisp/character-fold.el: Provide `character-fold'.
12113 2015-10-30 Tassilo Horn <tsdh@gnu.org>
12115 * etc/themes/tsdh-dark-theme.el (tsdh-dark): Add more faces
12118 2015-10-30 Michael Albinus <michael.albinus@gmx.de>
12120 Some minor fixes for tramp-gvfs.el
12122 * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
12123 An attribute returned by gvfs-info might be empty. In case of
12124 undetermined uid or gid, return "UNKNOWN" or -1, respectively.
12125 (tramp-zeroconf-parse-service-device-names): New defun.
12126 Derived from `tramp-zeroconf-parse-workstation-device-names'.
12127 (top): Add completion functions for "afp" and "smb" methods.
12129 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12131 * test/automated/character-fold-tests.el: New file
12133 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12135 * test/automated/sort-tests.el: New file.
12136 Tests in this file are randomly generated and then tested with
12137 regular, reverse, and case-fold sorting.
12139 2015-10-30 Eli Zaretskii <eliz@gnu.org>
12141 Describe known problems with pinning Emacs to taskbar
12143 * etc/PROBLEMS: Describe the problem with pinning Emacs to taskbar
12144 on Windows 10. For the details, see the discussion starting at
12145 http://lists.gnu.org/archive/html/help-emacs-windows/2015-09/msg00000.html.
12147 2015-10-30 Artur Malabarba <bruce.connor.am@gmail.com>
12149 * lisp/isearch.el: Avoid an error that blocks isearch.
12150 (isearch-update): Don't error if `isearch--current-buffer' has
12153 * test/automated/isearch-tests.el (isearch--test-update):
12156 2015-10-30 Phil Sainty <psainty@orcon.net.nz>
12158 Fix documentation of 'beginning/end-of-buffer'
12160 * lisp/simple.el (beginning-of-buffer, end-of-buffer): Clarify
12161 conditions under which the mark will be pushed at the previous
12162 position. (Bug#21748)
12164 2015-10-30 Tassilo Horn <tsdh@gnu.org>
12166 Add RefTeX feature idea: editing RefTeX TOC buffers
12168 More face defs for ivy, swiper, ace-window, eshell
12170 2015-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12172 * lisp/gnus/auth-source.el: Silence lexical-binding warnings.
12173 (auth-source-netrc-use-gpg-tokens): Simplify (symbol-value 'VAR) to
12175 (auth-source-backend-parse): Use make-instance.
12176 (auth-source-search): Remove unused key args.
12177 Remove unused vars `accessor-key' and `backend'. Avoid `eval'.
12178 (auth-source-search-backends): Use slot names rather than their initarg.
12179 (auth-source-netrc-create):
12180 (auth-source-delete):
12181 (auth-source-secrets-create, auth-source-plstore-search)
12182 (auth-source-macos-keychain-create, auth-source-macos-keychain-search)
12183 (auth-source-plstore-create, auth-source-netrc-search)
12184 (auth-source-netrc-parse): Remove unused key args.
12185 (auth-source-forget+): Simplify the arglist.
12186 (auth-source-macos-keychain-search-items)
12187 (auth-source-token-passphrase-callback-function): Mark unused args.
12188 (auth-source-epa-extract-gpg-token): Remove unused var `plain'.
12189 (pp-escape-newlines): Declare.
12190 (auto-source--symbol-keyword): New function.
12191 (auth-source-plstore-create, auth-source-netrc-create)
12192 (auth-source-netrc-normalize): Use it.
12193 (auth-source-netrc-search): Don't pass :delete to
12194 auth-source-netrc-parse since it doesn't use it.
12195 (auth-source-plstore-create, auth-source-netrc-create): Use plist-get
12196 symbol-value to index in keyword args.
12197 (auth-source-macos-keychain-result-append): Avoid setq.
12198 (auth-source-netrc-create): Remove unused vars `file' and `add'.
12199 (auth-source-user-or-password): Remove unused var `cname'.
12201 2015-10-29 Juri Linkov <juri@linkov.net>
12203 * lisp/dired.el (dired-unmark-all-files-query): Declare.
12204 (dired-unmark-all-files): Let-bind it and use instead of ‘query’.
12207 2015-10-29 Juri Linkov <juri@linkov.net>
12209 * lisp/ielm.el (ielm-indent-line): Use non-nil arg of comint-bol
12210 to go to the beginning of text line instead of command line.
12211 http://lists.gnu.org/archive/html/emacs-devel/2015-10/msg02360.html
12213 2015-10-29 Eli Zaretskii <eliz@gnu.org>
12215 Fix encoding of saving *Help* buffers
12217 * lisp/help-fns.el (describe-function-1): If we use curved quotes,
12218 set help buffer's buffer-file-coding-system to UTF-8. (Bug#21780)
12220 2015-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12222 * lisp/emacs-lisp/cl-generic.el: Add (major-mode MODE) context.
12223 (cl--generic-derived-specializers): New function.
12224 (cl--generic-derived-generalizer): New generalizer.
12225 (cl-generic-generalizers): New specializer (derived-mode MODE).
12226 (cl--generic-split-args): Apply the rewriter, if any.
12227 (cl-generic-define-context-rewriter): New macro.
12228 (major-mode): Use it to define a new context-rewriter, so we can write
12229 `(major-mode MODE)' instead of `(major-mode (derived-mode MODE))'.
12231 * lisp/frame.el (window-system): New context-rewriter so we can write
12232 `(window-system VAL)' instead of (window-system (eql VAL)).
12233 (cl--generic-split-args): Apply the rewriter, if any.
12234 (frame-creation-function): Use the new syntax.
12236 * lisp/term/x-win.el (window-system-initialization)
12237 (handle-args-function, frame-creation-function)
12238 (gui-backend-set-selection, gui-backend-selection-owner-p)
12239 (gui-backend-selection-exists-p, gui-backend-get-selection):
12240 * lisp/term/w32-win.el (window-system-initialization)
12241 (handle-args-function, frame-creation-function)
12242 (gui-backend-set-selection, gui-backend-get-selection)
12243 (gui-backend-selection-owner-p, gui-backend-selection-exists-p):
12244 * lisp/term/pc-win.el (gui-backend-get-selection)
12245 (gui-backend-selection-exists-p, gui-backend-selection-owner-p)
12246 (gui-backend-set-selection, window-system-initialization)
12247 (frame-creation-function, handle-args-function):
12248 * lisp/term/ns-win.el (window-system-initialization)
12249 (handle-args-function, frame-creation-function)
12250 (gui-backend-set-selection, gui-backend-selection-exists-p)
12251 (gui-backend-get-selection):
12252 * lisp/startup.el (handle-args-function):
12253 * lisp/term/xterm.el (gui-backend-get-selection)
12254 (gui-backend-set-selection): Use the new syntax.
12256 2015-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12258 * test/indent/css-mode.css: Add tests for url(...) syntax.
12260 2015-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12262 * lisp/emacs-lisp/smie.el: Use `declare' for `pure'.
12263 (smie-precs->prec2, smie-merge-prec2s, smie-bnf->prec2)
12264 (smie-prec2->grammar): Use `declare'.
12266 2015-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12268 * lisp/emacs-lisp/cl-generic.el: Accommodate future changes.
12269 (cl--generic-generalizer): Add `name' field.
12270 (cl-generic-make-generalizer): Add corresponding `name' argument.
12271 (cl-generic-define-generalizer): New macro.
12272 (cl--generic-head-generalizer, cl--generic-eql-generalizer)
12273 (cl--generic-struct-generalizer, cl--generic-typeof-generalizer)
12274 (cl--generic-t-generalizer): Use it.
12275 (cl-generic-ensure-function): Add `noerror' argument.
12276 (cl-generic-define): Use it so we don't follow aliases.
12277 (cl-generic-define-method): Preserve pre-existing ordering of methods.
12278 (cl--generic-arg-specializer): New function.
12279 (cl--generic-cache-miss): Use it.
12280 (cl-generic-generalizers): Only fset a temporary definition
12282 (cl--generic-struct-tag, cl--generic-struct-specializers):
12283 Allow extra arguments.
12285 * lisp/emacs-lisp/eieio-compat.el
12286 (eieio--generic-static-symbol-generalizer)
12287 (eieio--generic-static-object-generalizer):
12288 Use cl-generic-define-generalizer.
12289 (eieio--generic-static-symbol-specializers): Allow extra arguments.
12291 * lisp/emacs-lisp/eieio-core.el (eieio--generic-generalizer)
12292 (eieio--generic-subclass-generalizer):
12293 Use cl-generic-define-generalizer.
12294 (eieio--generic-subclass-specializers): Allow extra arguments.
12296 2015-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12298 * lisp/emacs-lisp/bytecomp.el (compile-defun): Add defvars in scope.
12300 2015-10-29 Michael Albinus <michael.albinus@gmx.de>
12302 Add "afp" method to Tramp
12304 * doc/misc/tramp.texi (GVFS based methods): Describe `afp' method.
12306 * lisp/net/tramp-gvfs.el (tramp-gvfs-methods): Add "afp" method.
12307 (tramp-gvfs-handle-expand-file-name)
12308 (tramp-gvfs-handler-mounted-unmounted)
12309 (tramp-gvfs-connection-mounted-p, tramp-gvfs-mount-spec)
12310 (tramp-gvfs-maybe-open-connection): Support also "afp".
12311 (tramp-gvfs-handle-file-attributes): Handle the case of empty
12312 "owner::user" and "owner::group" entries.
12314 2015-10-29 Andy Moreton <andrewjmoreton@gmail.com>
12316 Handle negative coordinates in ‘x_calc_absolute_position’
12318 * src/w32term.c (x_calc_absolute_position): Find display origin to
12319 allow for negative coordinates.
12321 2015-10-28 Stefan Monnier <monnier@iro.umontreal.ca>
12323 (internal--syntax-propertize): Save match-data here (bug#21766)
12325 * lisp/emacs-lisp/syntax.el (internal--syntax-propertize): Save match-data.
12326 * lisp/simple.el (delete-trailing-whitespace): Undo last change.
12328 2015-10-28 Dmitry Gutov <dgutov@yandex.ru>
12330 Don't require default-directory to end with a slash
12332 * doc/lispref/files.texi (Magic File Names): Document the change
12333 in unhandled-file-name-directory.
12335 * lisp/url/url-handlers.el
12336 (url-handler-unhandled-file-name-directory): Update accordingly.
12338 * src/buffer.c (default-directory): Update the docsting.
12340 * src/fileio.c (unhandled-file-name-directory): Default to calling
12341 `file-name-as-directory'
12342 (http://lists.gnu.org/archive/html/emacs-devel/2015-10/msg02294.html).
12344 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12346 * lisp/isearch.el: Delete some outdated comments.
12348 2015-10-28 Vibhav Pant <vibhavp@gmail.com>
12350 Fix eshell/clear not working if the output has a small line count
12352 * lisp/eshell/esh-mode.el (eshell/clear): Use (window-size) as the
12353 number of newlines to be inserted. This fixes the issue where
12354 eshell/clear wouldn't work if the prompt was not at the bottom of the
12355 window, and the output wasn't too long.
12357 2015-10-28 Stefan Monnier <monnier@iro.umontreal.ca>
12359 * lisp/files.el (write-file): Use vc-refresh-state.
12361 * lisp/autorevert.el (auto-revert-handler): Use vc-refresh-state.
12363 * lisp/vc/pcvs.el (cvs-revert-if-needed): Use vc-refresh-state.
12365 2015-10-28 Stefan Monnier <monnier@iro.umontreal.ca>
12367 * lisp/emacs-lisp/macroexp.el: Tweak macroexp-if optimizations.
12368 (macroexp-unprogn): Make sure we never return an empty list.
12369 (macroexp-if): Remove unused (and unsafe) optimization.
12370 Optimize (if A T (if B T E)) into (if (or A B) T E) instead, which does
12371 occur occasionally.
12373 2015-10-28 Juanma Barranquero <lekktu@gmail.com>
12375 Fix bug#21766 and add test
12376 * lisp/simple.el (delete-trailing-whitespace): Save match data when
12377 calling `skip-syntax-backward'.
12378 * test/automated/simple-test.el (simple-delete-trailing-whitespace):
12381 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12383 * doc/lispref/sequences.texi (Sequence Functions): Fix typo.
12385 2015-10-28 Paul Eggert <eggert@cs.ucla.edu>
12387 * src/dispnew.c (init_display): Simplify overflow checking.
12389 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12391 * lisp/character-fold.el (character-fold-to-regexp): Fix case
12392 where string ends in space
12394 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12396 * lisp/emacs-lisp/seq.el (seq-mapn): New function.
12398 * doc/lispref/sequences.texi (Sequence Functions): Document seq-mapn.
12400 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12402 * lisp/character-fold.el: Make compatible with lax-whitespace.
12403 (character-fold-to-regexp): Rework internals to play nice with
12406 When the user types a space, we want to match the table entry for
12407 ?\s, which is generally a regexp like "[ ...]". However, the
12408 `search-spaces-regexp' variable doesn't "see" spaces inside these
12409 regexp constructs, so we need to use "\\( \\|[ ...]\\)" instead (to
12410 manually expose a space).
12412 Furthermore, the lax search engine acts on a bunch of spaces, not
12413 on individual spaces, so if the string contains sequential spaces
12414 like " ", we need to keep them grouped together like this:
12415 "\\( \\|[ ...][ ...]\\)".
12417 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12419 * lisp/isearch.el: Refactor momentary messages.
12420 (isearch--momentary-message): New function.
12421 (isearch-toggle-lax-whitespace, isearch-toggle-case-fold)
12422 (isearch-toggle-invisible): Use it.
12424 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12426 * lisp/isearch.el: Define all toggles with `isearch-define-mode-toggle'.
12427 (isearch-define-mode-toggle): New macro.
12428 (isearch-toggle-invisible): Rename to
12429 `isearch-define-mode-toggle'.
12430 (isearch-toggle-case-fold, isearch-toggle-invisible)
12431 (isearch-toggle-regexp, isearch-toggle-lax-whitespace): Define
12432 with `isearch-define-mode-toggle'.
12434 2015-10-28 Michael Albinus <michael.albinus@gmx.de>
12436 Avoid using `add-to-list' on a let-local var in tramp-smb.el
12438 * lisp/net/tramp-compat.el (tramp-compat-delete-dups): New defun.
12439 * lisp/net/tramp-smb.el (tramp-smb-handle-directory-files): Use it.
12441 2015-10-28 Michael Albinus <michael.albinus@gmx.de>
12443 * lisp/net/tramp-smb.el (tramp-smb-handle-directory-files):
12444 Revert 692bce5b9eccfae19ae2a5a23a9ccd8d6bf86076, `delete-dups'
12445 does not exist in XEmacs 21.4.
12447 2015-10-28 Anders Lindgren <andlind@gmail.com>
12449 Fixed OS X startup crash
12451 Input events started to arrive before ns_term_init() was finished.
12452 Solved by blocking input. This also seems to correct the "You
12453 can't open the application "Emacs" because it may be damaged or
12454 incomplete" error issued when double-clicking on the Emacs
12457 * src/nsterm.m (ns_constrain_all_frames, ns_init_term): Block input.
12458 * src/nsterm.m (ns_send_appdefined, EmacsApp): Trace output.
12460 2015-10-28 Artur Malabarba <bruce.connor.am@gmail.com>
12462 * src/process.c (Fget_buffer_process): Improve docstring.
12463 Document the fact that it doesn't return dead processes.
12465 2015-10-28 Anders Lindgren <andlind@gmail.com>
12467 Fix incorrect NextStep tool-bar-mode -- wrong number of rows in frame.
12469 * src/nsterm.h (struct ns_output): New flag, in_animation.
12470 * src/nsfns.m (Fx_create_frame): Initialize in_animation flag.
12471 * src/nsmenu.m (free_frame_tool_bar, update_frame_tool_bar): Set
12472 in_animation flag around call to "setVisible". Set new tool bar
12473 height before call to setVisible.
12474 * src/nsterm.m (x_set_window_size): Don't call [view setRow:
12475 andColumns:] as this fools the subsequent call to updateFrameSize
12476 from performing the real resize.
12477 (windowDidResize): Don't update anything when in_animation is
12482 * src/nsmenu.m (free_frame_tool_bar, update_frame_tool_bar)
12484 * src/nsterm.m (x_set_window_size, updateFrameSize)
12485 ([EmacsView setRows: andColumns:])
12487 2015-10-28 Nicolas Petton <nicolas@petton.fr>
12489 * lisp/emacs-lisp/thunk.el (thunk-delay): Fix the macro.
12491 2015-10-28 Tassilo Horn <tsdh@gnu.org>
12493 Prettify TeX macros not ending in a word char
12495 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-compose-p):
12496 Prettify macros which don't end in a word character.
12498 2015-10-27 Dmitry Gutov <dgutov@yandex.ru>
12500 Pipe Hg commit descriptions through 'tabindent'
12502 * lisp/vc/vc-hg.el (vc-hg-log-format): Pipe commit description
12503 through 'tabindent'.
12504 (vc-hg-log-view-mode): Set tab-width to 2 locally.
12505 (http://lists.gnu.org/archive/html/emacs-devel/2015-10/msg02259.html)
12507 2015-10-27 Stefan Monnier <monnier@iro.umontreal.ca>
12509 * lisp/net/tramp-smb.el: Avoid using `add-to-list' on a let-local var.
12510 (tramp-smb-handle-directory-files): Use `delete-dups'.
12512 * lisp/net/tramp.el (auto-save-file-name-transforms): Declare.
12514 2015-10-27 Stefan Monnier <monnier@iro.umontreal.ca>
12516 * lisp/international/ccl.el: Use lexical-binding.
12517 (ccl-compile-if): Remove unused var `false-ic'.
12518 (ccl-compile-write-repeat): Remove unused var `i'.
12519 (ccl-compile-map-single): Remove unused var `id'.
12520 (ccl-dump, ccl-dump-binary): Use explicit let-binding to bind the
12521 dynamic var `ccl-code'.
12523 2015-10-27 Stefan Monnier <monnier@iro.umontreal.ca>
12525 * lisp/json.el (json-new-object): Optimize trivial `list' call.
12527 2015-10-27 Stefan Monnier <monnier@iro.umontreal.ca>
12529 * lisp/help.el: Fix bug with incorrect arglist string.
12530 (help-add-fundoc-usage): Don't mistake a mis-formatted string
12533 2015-10-27 Stefan Monnier <monnier@iro.umontreal.ca>
12535 * lisp/gnus/gnus-topic.el: Silence some warnings.
12536 (gnus-topic-prepare-topic): Remove unused var `topic'.
12537 (gnus-topic-remove-topic): Mark unused arg `hide'.
12538 (gnus-tmp-header): Declare.
12539 (gnus-topic-goto-missing-group): Remove unused var `entry'.
12540 (gnus-topic-unmark-topic): Mark unused arg `dummy'.
12541 (gnus-topic-copy-matching): Mark unused arg `copyp'.
12542 Move initialization of `topic' into its declaration.
12544 2015-10-27 Stephen Leake <stephen_leake@stephe-leake.org>
12548 * lisp/cedet/cedet-global.el (cedet-gnu-global-gtags-call):
12549 Handle warnings from gtags about invalid options.
12550 (cedet-gnu-global-create/update-database): Do incremental update
12553 * lisp/cedet/ede/generic.el (ede-enable-generic-projects):
12554 Get monotone root right.
12556 2015-10-27 Michael Albinus <michael.albinus@gmx.de>
12558 Fall back to polling in autorevert when needed
12560 * lisp/autorevert.el (auto-revert-notify-handler): When a
12561 `stopped' event arrives from file notification, fall back to polling.
12563 * test/automated/file-notify-tests.el
12564 (file-notify-test03-autorevert): Extend test for polling when file
12565 notification ceases to work.
12567 2015-10-27 Dmitry Gutov <dgutov@yandex.ru>
12569 Show full commit messages in 'hg log' when appropriate
12571 * lisp/vc/vc-hg.el (vc-hg-log-format): New variable.
12572 (vc-hg-print-log, vc-hg-expanded-log-entry): Use it.
12573 (http://lists.gnu.org/archive/html/emacs-devel/2015-10/msg02191.html)
12575 2015-10-27 Nicolas Petton <nicolas@petton.fr>
12577 Use a plain SVG file for the icon
12579 * etc/images/icons/hicolor/scalable/apps/emacs.svg: Use a plain SVG
12580 format instead of the Inkscape SVG format.
12582 2015-10-27 Michael Albinus <michael.albinus@gmx.de>
12584 Fix subtle bug in auto-revert-tests.el
12586 * test/automated/auto-revert-tests.el
12587 (auto-revert-test02-auto-revert-mode-dired): Narrow *Messages*
12588 buffer where it belongs to. (Bug#21668)
12590 2015-10-26 Nicolas Petton <nicolas@petton.fr>
12592 * lisp/emacs-lisp/map.el: Better docstrings.
12594 * lisp/emacs-lisp/seq.el: Better docstrings.
12596 * lisp/emacs-lisp/seq.el: Rename all seq arguments to sequence.
12598 2015-10-26 Phillip Lord <phillip.lord@russet.org.uk>
12600 * lisp/emacs-lisp/ert.el: Print results without newline escaping.
12602 2015-10-26 Stephen Leake <stephen_leake@stephe-leake.org>
12604 Clarify that load-path contents should be directory file names
12606 * doc/lispref/files.texi (Directory Names): Define and use "directory
12607 file name". Recommend `expand-file-name'.
12609 * src/lread.c (load-path): Fix doc string; elements are directory file
12612 2015-10-26 Eli Zaretskii <eliz@gnu.org>
12614 Fix simple-test.el test
12616 * test/automated/simple-test.el (simple-test--dummy-buffer):
12617 Make sure indentation doesn't use TABs, otherwise the 6th test
12620 2015-10-26 Mark Oteiza <mvoteiza@udel.edu>
12622 * lisp/net/eww.el (eww-bookmark-prepare): Use truncate-string-to-width.
12623 `substring' does not account for full width characters.
12625 2015-10-26 Michael Albinus <michael.albinus@gmx.de>
12627 Further work on `stopped' events in filenotify.el
12629 * doc/lispref/os.texi (File Notifications): Rework examples.
12631 * lisp/filenotify.el (file-notify--rm-descriptor): Optional parameter.
12632 (file-notify--rm-descriptor, file-notify-callback): Improve check
12633 for sending `stopped' event.
12634 (file-notify-add-watch): Check for more events for `inotify'.
12636 * test/automated/file-notify-tests.el
12637 (file-notify--test-expected-events): New defvar.
12638 (file-notify--test-with-events): Use it.
12639 (file-notify--test-cleanup): Make it more robust when deleting
12641 (file-notify--test-event-test): Check also for watched directories.
12642 (file-notify--test-event-handler): Suppress temporary .#files.
12643 (file-notify-test02-events, file-notify-test04-file-validity):
12644 Rework `stopped' events.
12645 (file-notify-test05-dir-validity): Wait for events when appropriate.
12647 2015-10-26 Artur Malabarba <bruce.connor.am@gmail.com>
12649 * src/keyboard.c (post-command-hook): Shorten docstring.
12651 2015-10-26 Tassilo Horn <tsdh@gnu.org>
12653 Fix infinite loop in sh-script's SMIE code
12655 * lisp/progmodes/sh-script.el (sh-smie-sh-forward-token): Fix infinite
12658 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12660 * lisp/isearch.el (search-default-regexp-mode): Revert to nil.
12661 Character-fold search _still_ doesn't play well with
12662 lax-whitespace. So disable it by default (again) for now.
12664 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12666 * lisp/isearch.el: No visual feedback for default search mode.
12667 During an isearch where character-folding is the default, we don't
12668 want to take up minibuffer space just to tell the user that
12669 "Char-fold " is on. The same goes for other modes, if the user
12670 changes the default. In contrast, if the user toggles OFF the
12671 default mode, they should see "Literal", to distinguish it from
12673 (isearch--describe-regexp-mode): Return "" if describing the
12674 default mode, and return "literal " if describing a plain search
12675 and it is not default.
12677 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12679 * test/automated/simple-test.el: New file.
12680 Define tests for `newline' and `open-line'.
12682 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12684 * lisp/simple.el (open-line): Integrate with electric-indent-mode.
12685 Also run `post-self-insert-hook' when called interactively.
12687 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12689 * lisp/simple.el (open-line): Fix docstring.
12690 Also explain apparently redundant line.
12692 2015-10-25 Thomas Fitzsimmons <fitzsim@fitzsim.org>
12693 Alexandru Harsanyi <AlexHarsanyi@gmail.com>
12695 Sync with soap-client repository, version 3.0.1
12697 * lisp/net/soap-client.el, lisp/net/soap-inspect.el:
12698 Bump version to 3.0.1.
12700 * lisp/net/soap-client.el, lisp/net/soap-inspect.el: Update home page.
12702 2015-10-25 Eli Zaretskii <eliz@gnu.org>
12704 * lisp/progmodes/grep.el (grep): Doc fix. (Bug#21754)
12706 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12708 * src/keyboard.c (post-command-hook): Extend the docstring.
12709 Mainly, explain how to use it without hanging Emacs, or giving the
12710 impression that it is hanging. Also mention `pre-command-hook'.
12711 (pre-command-hook): Mention `post-command-hook'.
12713 2015-10-25 Artur Malabarba <bruce.connor.am@gmail.com>
12715 * lisp/custom.el (custom-declare-variable): Shorten code again.
12716 Without using pcase this time. We can't use pcase because it is
12717 loaded after custom in loadup.el. Also add a comment explaining
12718 this to future dummies like me.
12720 2015-10-25 Michael Albinus <michael.albinus@gmx.de>
12722 * doc/lispref/os.texi (File Notifications): Document `stopped event'.
12724 2015-10-25 Michael Albinus <michael.albinus@gmx.de>
12726 Introduce `stopped' event in file notification
12728 * lisp/filenotify.el (file-notify--rm-descriptor): New defun.
12729 (file-notify-rm-watch): Use it.
12730 (file-notify-callback): Implement `stopped' event.
12731 (file-notify-add-watch): Mention `stopped' in the docstring.
12732 Check, that upper directory exists.
12734 * test/automated/file-notify-tests.el (file-notify-test01-add-watch):
12735 Add two test cases.
12736 (file-notify-test02-events): Handle also `stopped' event.
12737 (file-notify-test04-file-validity): Add another test case.
12739 2015-10-25 Paul Eggert <eggert@cs.ucla.edu>
12741 Revert commit that broke 'make bootstrap'
12743 * lisp/custom.el (custom-declare-variable): Revert commit
12744 79fac080d277fed07b3c192890ad59d36d9f83b6. custom.el needs to work
12745 even when pcase has not been defined yet, when doing bootstrapping.
12747 2015-10-25 Paul Eggert <eggert@cs.ucla.edu>
12749 Port recent inline functions fix to Standard C
12751 * src/lisp.h (LISP_MACRO_DEFUN, LISP_MACRO_DEFUN_VOID): Remove.
12752 All uses rewritten to define the function directly rather than to
12753 use a macro to define the function. This conforms to Standard C,
12754 which does not allow stray semicolons at the top level. I hope it
12755 also avoids the problems with TAGS. Those macros, though clever,
12756 were pretty confusing anyway, and it wasn’t clear they were worth
12757 the aggravation even without the TAGS problem.
12759 2015-10-24 Artur Malabarba <bruce.connor.am@gmail.com>
12761 * lisp/isearch.el: Make character-fold search the default again.
12763 2015-10-24 Artur Malabarba <bruce.connor.am@gmail.com>
12765 * lisp/character-fold.el: Many improvements.
12766 (character-fold-search-forward, character-fold-search-backward):
12768 (character-fold-to-regexp): Remove lax-whitespace hack.
12769 (character-fold-search): Remove variable. Only isearch and
12770 query-replace use char-folding, and they both have their own
12771 variables to configure that.
12773 2015-10-24 Artur Malabarba <bruce.connor.am@gmail.com>
12775 * lisp/isearch.el: Generalize definition of regexp-function toggles.
12776 (isearch-specify-regexp-function): New macro for specifying
12777 possible values of `isearch-regexp-function'.
12778 (isearch-toggle-character-fold, isearch-toggle-symbol)
12779 (isearch-toggle-word): Define with `isearch-specify-regexp-function'.
12781 2015-10-24 Artur Malabarba <bruce.connor.am@gmail.com>
12783 * lisp/isearch.el (search-default-regexp-mode): New variable.
12784 (isearch-mode): Use it.
12786 2015-10-24 Artur Malabarba <bruce.connor.am@gmail.com>
12788 * lisp/isearch.el (search-exit-option, search-slow-window-lines)
12789 (search-slow-speed, search-upper-case)
12790 (search-nonincremental-instead, search-whitespace-regexp)
12791 (search-invisible, isearch-hide-immediately)
12792 (isearch-resume-in-command-history, search-ring-max)
12793 (regexp-search-ring-max, search-ring-update, search-highlight)
12794 (isearch-fail): Delete :group entries.
12796 2015-10-24 Artur Malabarba <bruce.connor.am@gmail.com>
12798 * lisp/custom.el (custom-declare-variable): Shorten code a bit.
12800 2015-10-24 Juanma Barranquero <lekktu@gmail.com>
12802 addpm.c: Silence some warnings.
12804 * nt/addpm.c (DdeCommand): Cast pData argument of DdeClientTransaction
12806 (add_registry): Pass NULL to optional lpClass argument of
12807 RegCreateKeyEx, not an empty string.
12809 2015-10-24 Juanma Barranquero <lekktu@gmail.com>
12811 addpm.c: Do not add obsolete GTK libraries to the path.
12813 * nt/addpm.c (REG_GTK, REG_RUNEMACS_PATH): Delete.
12814 (add_registry): Remove variables `size' and `gtk_key'.
12815 Do not add the GTK DLL directory to the library search path; it is
12816 confusing behavior (in particular, the same Emacs version with and
12817 without invoking addpm will use a different path), and the GTK image
12818 libraries are obsolete anyway.
12820 2015-10-24 Juanma Barranquero <lekktu@gmail.com>
12822 addpm.c: Replace existing registry entries, but do not create new ones
12824 * nt/addpm.c (add_registry): If the Emacs registry key exists, replace
12825 existing values from previous versions, but do not add new ones; the
12826 key could exist for other reasons unrelated to old Emacsen, like X-style
12827 resources, or to set some environment variables like HOME or LANG, and
12828 in that case we don't want to populate it with obsolete values.
12830 2015-10-24 Juanma Barranquero <lekktu@gmail.com>
12832 * nt/addpm.c (add_registry): Do not compute unused return value.
12834 2015-10-24 Juanma Barranquero <lekktu@gmail.com>
12836 addpm.c: Don't pass REG_OPTION_NON_VOLATILE to RegOpenKeyEx
12838 * nt/addpm.c (add_registry): Pass 0 to ulOptions argument of
12839 RegOpenKeyEx, not REG_OPTION_NON_VOLATILE. This doesn't change
12840 current behavior because REG_OPTION_NON_VOLATILE is defined to
12841 be 0L anyway, but that option is actually documented only for
12844 2015-10-24 Juanma Barranquero <lekktu@gmail.com>
12846 * src/w32notify.c (Fw32notify_add_watch): Fix version check.
12848 2015-10-24 Eli Zaretskii <eliz@gnu.org>
12850 Update frame title when redisplay scrolls selected window
12852 * src/xdisp.c (redisplay_window): Reconsider the frame's title
12853 when the mode-line of the frame's selected window needs to be
12856 2015-10-24 Eli Zaretskii <eliz@gnu.org>
12858 Update frame title when scrolling the selected window
12860 * src/window.c (wset_update_mode_line): New function, sets either
12861 the window's update_mode_line flag or the global update_mode_lines
12863 (Fset_window_start, set_window_buffer, window_scroll_pixel_based)
12864 (window_scroll_line_based): Call it instead of only setting the
12865 window's update_mode_line flag.
12867 2015-10-24 Eli Zaretskii <eliz@gnu.org>
12869 An even better fix for bug#21739
12871 * src/window.c (set_window_buffer): If the window is the frame's
12872 selected window, set update_mode_lines, not the window's
12873 update_mode_line flag.
12874 * src/buffer.c (Fkill_buffer): Undo last change.
12875 (set_update_modelines_for_buf): Function deleted.
12877 2015-10-24 Thomas Fitzsimmons <fitzsim@fitzsim.org>
12878 Alexandru Harsanyi <AlexHarsanyi@gmail.com>
12880 Sync with soap-client repository, version 3.0.0
12882 * lisp/net/soap-client.el, lisp/net/soap-inspect.el:
12883 Bump version to 3.0.0.
12885 * lisp/net/soap-inspect.el: Merge in changes from Emacs master branch.
12887 * lisp/net/soap-client.el: Merge in changes from Emacs master branch.
12889 * lisp/net/soap-inspect.el: Shorten first line description.
12891 * lisp/net/soap-client.el: Make a small whitespace fix.
12893 * lisp/net/soap-inspect.el: Update copyright years.
12895 * lisp/net/soap-client.el (soap-encoded-namespaces): Move above
12896 first use in soap-encode-xs-element.
12898 * lisp/net/soap-client.el (soap-type-is-array?): new defun
12899 (soap-encode-xs-element): handle array elements in this function
12900 (soap-encode-xs-complex-type): flag error if asked to encode an
12901 array type, this is handled in `soap-encode-xs-element'
12903 * lisp/net/soap-inspect.el (soap-inspect-xs-attribute-group):
12904 Do not print type for attribute group.
12906 * lisp/net/soap-inspect.el (soap-sample-value-for-xs-attribute-group)
12908 (soap-inspect-xs-attribute-group): Likewise.
12910 * lisp/net/soap-inspect.el
12911 (soap-resolve-references-for-xs-attribute-group): Resolve
12912 references of attributes in an attribute group.
12914 * lisp/net/soap-client.el (soap-decode-xs-attributes): Process attribute
12915 type directly, not through soap-wsdl-get.
12917 * lisp/net/soap-client.el (soap-xs-parse-attribute): Leave reference
12918 nil if reference attribute is nil.
12920 * lisp/net/soap-client.el (soap-resolve-references-for-xs-attribute):
12921 Convert XML schema attributes to xsd:string.
12923 * lisp/net/soap-inspect.el (soap-sample-value-for-xs-attribute):
12925 (soap-sample-value-for-xs-simple-type): Prepend attributes to result.
12926 (soap-sample-value-for-xs-complex-type): Likewise.
12927 (soap-inspect-xs-attribute): New function.
12928 (soap-inspect-xs-simple-type): Print attributes.
12929 (soap-inspect-xs-complex-type): Likewise.
12931 * lisp/net/soap-inspect.el (soap-resolve-references-for-xs-simple-type):
12932 Resolve references for attributes.
12933 (soap-resolve-references-for-xs-complex-type): Likewise.
12935 * lisp/net/soap-client.el (soap-xml-node-find-matching-child):
12936 Rename from soap-xml-node-first-child.
12937 (soap-xs-parse-attribute): Call soap-xml-node-find-matching-child.
12938 (soap-xs-parse-simple-type): Likewise.
12940 * lisp/net/soap-client.el (soap-invoke-async): Add error checking.
12942 * lisp/net/soap-client.el (soap-invoke-internal): New function.
12943 (soap-invoke-async): Call soap-invoke-internal.
12944 (soap-invoke): Likewise.
12946 * lisp/net/soap-client.el (soap-invoke-async): Ensure buffer passed to
12947 url-retrieve callback is killed.
12949 * lisp/net/soap-client.el (soap-parse-wsdl-phase-validate-node):
12951 (soap-parse-wsdl-phase-fetch-imports): Likewise.
12952 (soap-parse-wsdl-phase-parse-schema): Likewise.
12953 (soap-parse-wsdl-phase-fetch-schema): Likewise.
12954 (soap-parse-wsdl-phase-finish-parsing): Likewise.
12955 (soap-parse-wsdl): Update calls.
12957 * lisp/net/soap-client.el (soap-invoke-async): Fix callback invocation.
12959 * lisp/net/soap-client.el (soap-invoke-async): New function.
12960 (soap-invoke): Reimplement using soap-invoke-async.
12962 * lisp/net/soap-client.el (soap-parse-server-response):
12964 (soap-invoke): Inline call to soap-parse-server-response.
12966 * lisp/net/soap-client.el (soap-decode-xs-complex-type):
12967 Prevent incorrect warning.
12969 * lisp/net/soap-client.el (soap-parse-server-response):
12970 Rename soap-process-url-response. Destroy the mime part.
12971 (soap-invoke): Call soap-parse-server-response.
12973 * lisp/net/soap-client.el: Update copyright date.
12975 * lisp/net/soap-client.el: Fix checkdoc issues.
12977 * lisp/net/soap-client.el: Fix indentation and long lines.
12979 * lisp/net/soap-client.el (soap-time-format): Remove variable.
12980 (soap-encode-xs-basic-type): Simplify date-time format detection.
12981 (soap-decode-xs-basic-type): Remove soap-time-format support.
12983 * lisp/net/soap-client.el (soap-process-url-response): New function.
12984 (soap-fetch-xml-from-url): Call soap-process-url-response.
12985 (soap-parse-wsdl-phase-1): New function.
12986 (soap-parse-wsdl-phase-2): Likewise.
12987 (soap-parse-wsdl-phase-3): Likewise.
12988 (soap-parse-wsdl-phase-4): Likewise.
12989 (soap-parse-wsdl-phase-5): Likewise.
12990 (soap-parse-wsdl): Call phase functions.
12992 * lisp/net/soap-client.el (soap-decode-xs-basic-type):
12993 Remove one-argument and call.
12995 * lisp/net/soap-client.el (soap-decode-date-time): Improve docstring.
12997 * lisp/net/soap-client.el (soap-xmlschema-imports): Remove variable.
12998 (soap-parse-schema): Add wsdl argument. Look up XML schema
13000 (soap-load-wsdl): Do not set soap-xmlschema-imports.
13001 (soap-parse-wsdl): Get XML schema imports from wsdl.
13003 * lisp/net/soap-client.el (soap-current-file): Remove variable.
13004 (soap-wsdl): Add current-file slot.
13005 (soap-fetch-xml-from-url): Add wsdl argument. Look up current
13007 (soap-fetch-xml-from-file): Likewise.
13008 (soap-fetch-xml): Likewise.
13009 (soap-load-wsdl): Always create wsdl object first.
13010 (soap-parse-wsdl): Pass wsdl to soap-fetch-xml.
13012 * lisp/net/soap-client.el (soap-xs-element): Add is-group slot.
13013 (soap-xs-parse-element): Set is-group slot.
13014 (soap-resolve-references-for-xs-element): Skip is-group elements.
13015 (soap-xs-complex-type): Add is-group slot.
13016 (soap-xs-parse-complex-type): Set is-group slot.
13017 (soap-xs-parse-sequence): Parse xsd:group elements.
13018 (soap-resolve-references-for-xs-complex-type): Inline elements
13019 from referenced xsd:group nodes.
13020 (soap-parse-schema): Parse xsd:group nodes.
13022 * lisp/net/soap-client.el (soap-invoke):
13023 Don't set url-http-version to 1.0.
13025 * lisp/net/soap-client.el (soap-decode-xs-complex-type):
13026 Allow choice nodes to accept multiple values.
13028 * lisp/net/soap-client.el (soap-encode-body): Check parameters argument
13029 for extra header values.
13031 * lisp/net/soap-client.el (soap-well-known-xmlns):
13032 Add wsa and wsaw tags.
13033 (soap-operation): Add input-action and output-action slots.
13034 (soap-parse-operation): Parse wsaw:Action nodes.
13035 (soap-encode-body): Encode service-url for WS-Addressing.
13036 (soap-create-envelope): Likewise.
13037 (soap-invoke): Update soap-create-envelope call to provide
13038 service-url argument.
13040 * lisp/net/soap-client.el (soap-decode-xs-complex-type):
13041 Support xsi:type override attribute.
13042 (soap-decode-array): Likewise.
13044 * lisp/net/soap-client.el (soap-parse-schema):
13045 Handle location attribute.
13047 * lisp/net/soap-client.el (soap-decode-type): Check that multiRef
13048 matched validation regexp.
13050 * lisp/net/soap-client.el (soap-encode-xs-simple-type):
13051 Encode xsd:list nodes.
13052 (soap-decode-xs-simple-type): Decode xsd:list nodes.
13054 * lisp/net/soap-client.el (soap-get-candidate-elements):
13055 Fix reference handling.
13057 * lisp/net/soap-client.el (soap-xs-simple-type): Add is-list slot.
13058 (soap-xs-parse-simple-type): Call soap-xs-add-list for xsd:list nodes.
13059 (soap-xs-add-list): New function.
13061 * lisp/net/soap-client.el (soap-encode-xs-element): When a boolean is
13062 expected, interpret nil as "false".
13064 * lisp/net/soap-client.el (soap-make-xs-basic-types): Add gYearMonth,
13065 gYear, gMonthDay, gDay and gMonth.
13067 * lisp/net/soap-client.el (soap-time-format): New variable.
13068 (soap-encode-xs-basic-type): Handle dateTime, time, date,
13069 gYearMonth, gYear, gMonthDay, gDay and gMonth.
13070 (soap-decode-date-time): New function.
13071 (soap-decode-xs-basic-type): Use soap-decode-date-time.
13073 * lisp/net/soap-client.el (soap-encode-xs-basic-type): Validate value
13075 (soap-decode-xs-basic-type): Validate value before decoding.
13077 * lisp/net/soap-client.el (soap-validate-xs-basic-type): New function.
13078 (soap-validate-xs-simple-type): Call soap-validate-xs-basic-type.
13080 * lisp/net/soap-client.el (soap-xs-add-union): Append result to base
13081 instead of overwriting it.
13082 (soap-validate-xs-simple-type): Add union support.
13084 * lisp/net/soap-client.el (soap-xs-add-restriction): Translate pattern
13085 to Emacs regexp using xsdre-translate.
13086 (soap-validate-xs-simple-type): Validate value against pattern.
13088 * lisp/net/soap-client.el (soap-xs-add-union): Preserve WSDL order of
13089 inline simpleType nodes.
13090 (soap-decode-type): Handle union types.
13092 * lisp/net/soap-client.el (soap-decode-xs-attributes): Decode basic-type
13095 * lisp/net/soap-client.el (soap-get-xs-attributes-from-groups): Rename
13096 from soap-xs-attribute-group-consolidate, all callers updated
13097 (soap-get-xs-attributes): Rename from
13098 soap-xs-attributes-consolidate, all callers updated
13100 * lisp/net/soap-client.el (soap-xs-type): Add attribute-group slot.
13101 (soap-xs-attribute-group): New type.
13102 (soap-xs-parse-attribute-group): New function.
13103 (soap-resolve-references-for-xs-attribute-group): Likewise.
13104 (soap-xs-add-extension): Handle attribute groups.
13105 (soap-resolve-references-for-xs-simple-type): Likewise.
13106 (soap-xs-parse-complex-type): Likewise.
13107 (soap-xs-parse-extension-or-restriction): Likewise.
13108 (soap-resolve-references-for-xs-complex-type): Likewise.
13109 (soap-xs-attribute-group-consolidate): New function.
13110 (soap-xs-attributes-consolidate): Handle attribute groups.
13111 (soap-parse-schema): Likewise.
13113 * lisp/net/soap-client.el (soap-encode-xs-basic-type):
13114 Fix boolean encoding.
13116 * lisp/net/soap-client.el (soap-encode-xs-complex-type): Print ref
13117 element names in warnings.
13119 * lisp/net/soap-client.el (soap-decode-xs-complex-type): Fix splicing.
13121 * lisp/net/soap-client.el (soap-decode-xs-complex-type):
13122 Eliminate invalid warnings for choice types.
13124 * lisp/net/soap-client.el (soap-encode-xs-complex-type-attributes):
13125 Also encode base type attributes.
13127 * lisp/net/soap-client.el (soap-encode-xs-complex-type): Fix compilation
13128 warning. Print e-name in warnings, or element if e-name is nil.
13130 * lisp/net/soap-client.el (soap-xs-element): Add alternatives slot.
13131 (soap-xs-parse-element): Set substitution-group.
13132 (soap-resolve-references-for-xs-element): Populate alternatives slot.
13133 (soap-get-candidate-elements): New function.
13134 (soap-encode-xs-complex-type): Iterate through all candidate elements.
13135 Handle types with nil type indicator. Fix warning logic.
13137 * lisp/net/soap-client.el (soap-current-wsdl): Move declaration
13138 earlier in the file to prevent compiler warning.
13140 * lisp/net/soap-client.el (soap-node-optional): New function.
13141 (soap-node-multiple): Likewise.
13142 (soap-xs-parse-element): Call soap-node-optional and
13143 soap-node-multiple.
13144 (soap-xs-complex-type): Add optional? and multiple? slots.
13145 (soap-xml-get-children-fq): New function.
13146 (soap-xs-element-get-fq-name): Likewise.
13147 (soap-xs-complex-type-optional-p): Likewise.
13148 (soap-xs-complex-type-multiple-p): Likewise.
13149 (soap-xs-attributes-consolidate): Likewise.
13150 (soap-decode-xs-attributes): Likewise.
13151 (soap-decode-xs-complex-type): Decode types with nil type indicator.
13152 Support children that use local namespaces. Decode attributes.
13153 Add type considerations to optional? and multiple? warnings.
13155 * lisp/net/soap-client.el (soap-xs-parse-extension-or-restriction):
13156 Store parsed attributes.
13157 (soap-encode-xs-complex-type-attributes): Encode custom attributes.
13159 * lisp/net/soap-client.el (soap-encode-xs-complex-type-attributes):
13160 Don't add the xsi:type attribute (Exchange refuses requests which have
13163 * lisp/net/soap-client.el, lisp/net/soap-inspect.el: Convert to lexical binding,
13164 correct compiler warnings about unused function arguments and
13167 * lisp/net/soap-client.el (soap-decode-xs-complex-type): Handle nil
13169 (soap-parse-envelope): Handle response headers.
13170 (soap-parse-response): Likewise. Only return non-nil decoded values.
13172 * lisp/net/soap-client.el (soap-validate-xs-simple-type):
13173 Return validated value.
13175 * lisp/net/soap-client.el (soap-xs-parse-element)
13176 (soap-xs-parse-simple-type)
13177 (soap-xs-parse-complex-type)
13178 (soap-parse-message)
13179 (soap-parse-operation): Add the current namespace to the element
13181 (soap-resolve-references-for-xs-element)
13182 (soap-resolve-references-for-xs-simple-type)
13183 (soap-resolve-references-for-xs-complex-type)
13184 (soap-resolve-references-for-operation): Resolve the namespace to
13186 (soap-make-wsdl): specify a namespace tag when creating the xsd
13187 and soapenc namespaces
13188 (soap-wsdl-resolve-references): don't update namespace tags in
13190 (soap-parse-port-type): bind the urn: to soap-target-xmlns
13191 (soap-encode-body): don't add nil namespace tags to
13192 soap-encoded-namespaces
13194 * lisp/net/soap-inspect.el: Use `soap-make-wsdl` to construct the object
13195 for registering the soap-inspect method. Make debbugs tests pass.
13196 * lisp/net/soap-client.el (soap-decode-any-type): Use soap-l2fq on the
13197 type name, also skip string only nodes when decoding a structure.
13198 (soap-xs-parse-complex-type): (BUG) Dispatch parsing for choice
13200 (soap-encode-body): Grab the header value from the param table.
13202 * lisp/net/soap-client.el (soap-should-encode-value-for-xs-element):
13204 (soap-encode-xs-element): Don't encode nil value unless needed.
13206 * lisp/net/soap-client.el (soap-bound-operation): New slot `soap-body`.
13207 (soap-parse-binding): Parse the message parts required in the body.
13208 (soap-encode-body): Encode only the parts that are declared to be
13211 * lisp/net/soap-client.el (soap-encode-xs-element): use the fq name
13212 when writing out the tag.
13213 (soap-encode-body): Remove hack that inserts the xmlns in the
13214 element attributes list.
13216 * lisp/net/soap-client.el (soap-xs-attribute): Add "default" slot.
13217 (soap-xs-parse-attribute): Default slot is set from the XML
13219 (soap-encode-xs-complex-type-attributes): Encode any attributes
13220 that have a default value. Also, don't put the xsi:nil attribute
13221 when the complex type has no content anyway.
13223 * lisp/net/soap-client.el (soap-well-known-xmlns):
13224 Add the xml namespace.
13225 (soap-local-xmlns): Start with the xml namespace.
13226 (soap-xml-node-first-child): Skip xsd:annotation nodes too.
13227 (soap-make-xs-basic-types): More xsd types added.
13228 (soap-encode-xs-basic-type, soap-decode-xs-basic-type):
13229 Handle "language", "time", "date", "nonNegativeInteger".
13230 (soap-resolve-references-for-xs-element): Don't signal an error if
13231 the element does not have a type.
13232 (soap-xs-parse-simple-type): Subtypes are handled with ecase,
13233 added stum for xsd:list.
13234 (soap-xs-add-union): Call soap-l2fq on all union members.
13235 (soap-xs-add-extension): Call soap-l2fq on the base member.
13236 (soap-resolve-references-for-xs-simple-type): Don't signal an
13237 error if the simple type has no base.
13238 (soap-resolve-references-for-xs-simple-type): Bugfix, call
13239 soap-wsdl-get on each type of the base.
13241 * lisp/net/soap-client.el (soap-resolve-references-for-xs-attribute):
13242 Referenced type can be eiher a simple type or a basic type.
13243 (soap-xs-add-restriction)
13244 (soap-xs-parse-extension-or-restriction): Use `soap-l2fq' on base.
13245 (soap-make-xs-basic-types)
13246 (soap-encode-xs-basic-type, soap-decode-xs-basic-type):
13247 Add support for more XMLSchema basic types.
13248 (soap-current-file, soap-xmlschema-imports): New defvars.
13249 (soap-parse-schema): Add locations from xsd:import tags to
13250 `soap-xmlschema-imports'.
13251 (soap-wsdl): Make destructor private.
13252 (soap-make-wsdl): New defun, SOAP-WSDL object constructor.
13253 (soap-wsdl-add-alias): Check if we try to replace aliases.
13254 (soap-fetch-xml-from-url, soap-fetch-xml-from-file)
13255 (soap-fetch-xml): New defuns.
13256 (soap-load-wsdl): Update to load the WSDL from either a file or
13258 (soap-load-wsdl-from-url): Now an alias to `soap-load-wsdl'.
13259 (soap-parse-wsdl): Process wsdl:import tags and imports from
13260 `soap-xmlschema-imports'.
13261 * lisp/net/soap-client.el (soap-l2wk): Bugfix: call symbolp instead of
13263 (soap-l2fq): Make the name part always a string.
13264 (soap-name-p): New defun, used for name tests.
13266 * lisp/net/soap-inspect.el (soap-sample-value-for-xs-complex-type):
13267 Supply sample values for choice types with a special tag.
13268 * lisp/net/soap-client.el (soap-encode-xs-complex-type):
13269 Handle anonymous elements correctly.
13270 (soap-encode-value): Accept nodes that have no namespace tag.
13272 * lisp/net/soap-client.el (soap-invoke): Encode the string for
13273 `url-request-data' as UTF-8. Fixes issue 16.
13275 2015-10-24 Nicolas Petton <nicolas@petton.fr>
13277 Update the new icon
13279 Move the E slightly to the right in the circle.
13281 * etc/images/icons/hicolor/128x128/apps/emacs.png:
13282 * etc/images/icons/hicolor/16x16/apps/emacs.png:
13283 * etc/images/icons/hicolor/24x24/apps/emacs.png:
13284 * etc/images/icons/hicolor/32x32/apps/emacs.png:
13285 * etc/images/icons/hicolor/48x48/apps/emacs.png:
13286 * etc/images/icons/hicolor/scalable/apps/emacs.svg:
13287 * nextstep/Cocoa/Emacs.base/Contents/Resources/Emacs.icns:
13288 * nt/icons/emacs.ico: New icom update.
13290 2015-10-24 Eli Zaretskii <eliz@gnu.org>
13292 Avoid missing inline functions from lisp.h in TAGS
13294 * src/lisp.h (LISP_MACRO_DEFUN): Mention in the commentary the
13295 need to end each invocation with a semi-colon.
13296 Add a semi-colon at the end of each invocation of LISP_MACRO_DEFUN
13297 and LISP_MACRO_DEFUN_VOID. This is to avoid missing in TAGS
13298 inline functions defined immediately after each invocation, and
13299 also avoid tagging every invocation of these macros.
13301 2015-10-24 Eli Zaretskii <eliz@gnu.org>
13303 A better fix for bug#21739
13305 * src/buffer.c (set_update_modelines_for_buf): New function.
13306 (Fkill_buffer): Use it to set the global variable
13307 update_mode_lines if the killed buffer was displayed in some
13308 window. Don't set windows_or_buffers_changed. This is a better
13309 fix for bug#21739 than the previous fix, since it will cause only
13310 redisplay of mode lines, not of entire windows, but will still
13311 catch attention of x_consider_frame_title in xdisp.c, which
13312 redraws the frame title.
13314 2015-10-24 Tassilo Horn <tsdh@gnu.org>
13316 * lisp/dired-aux.el (dired-compress-files-alist): Add support for
13317 tar.bz2 and tar.xz archives.
13319 2015-10-23 Eli Zaretskii <eliz@gnu.org>
13321 Fix infloop in redisplay introduced by a recent change
13323 * src/xdisp.c (redisplay_internal): Avoid inflooping when
13324 redisplaying the selected window sets the selected frame's
13325 redisplay flag. (Bug#21745)
13327 2015-10-23 Nicolas Petton <nicolas@petton.fr>
13329 * lisp/emacs-lisp/thunk.el: Better documentation.
13331 2015-10-23 Nicolas Petton <nicolas@petton.fr>
13333 Replace the old icon for Windows and Mac OSX
13335 * nt/icons/emacs.ico:
13336 * nextstep/Cocoa/Emacs.base/Contents/Resources/Emacs.icns:
13339 2015-10-23 Stephen Leake <stephen_leake@stephe-leake.org>
13341 * lisp/emacs-lisp/package.el (package-autoload-ensure-default-file):
13342 `load-path' should contain only directory names.
13344 2015-10-23 Nicolas Petton <nicolas@petton.fr>
13346 New library thunk.el
13348 thunk.el is extracted from stream.el in ELPA, with additional tests.
13350 * lisp/emacs-lisp/thunk.el: New file.
13351 * test/automated/thunk-tests.el: New file.
13352 * etc/NEWS: Add information about thunk.el
13354 2015-10-23 Michael Albinus <michael.albinus@gmx.de>
13358 * lisp/filenotify.el (file-notify-rm-watch): Improve check for
13359 calling low-level functions.
13361 * test/automated/file-notify-tests.el (file-notify--test-timeout):
13362 Decrase to 6 seconds for remote directories.
13363 (file-notify-test02-events): Expect different number of
13364 `attribute-changed' events for the local and remote cases. Apply
13365 short delays between the operations, in order to receive all
13366 events in the remote case. Combine `attribute-change' tests.
13369 2015-10-23 Eli Zaretskii <eliz@gnu.org>
13371 Decode the HTML source when displaying it in EWW
13373 * lisp/net/eww.el (eww-view-source): Decode the HTML source
13374 according to its headers.
13376 2015-10-23 Nicolas Petton <nicolas@petton.fr>
13380 * etc/images/icons/hicolor/128x128/apps/emacs23.png:
13381 * etc/images/icons/hicolor/16x16/apps/emacs23.png:
13382 * etc/images/icons/hicolor/24x24/apps/emacs23.png:
13383 * etc/images/icons/hicolor/32x32/apps/emacs23.png:
13384 * etc/images/icons/hicolor/48x48/apps/emacs23.png:
13385 * etc/images/icons/hicolor/scalable/apps/emacs23.svg:
13386 * etc/images/icons/hicolor/scalable/mimetypes/emacs-document23.svg:
13387 Move the old logo files to emacs23.*.
13388 * etc/images/icons/hicolor/128x128/apps/emacs.png:
13389 * etc/images/icons/hicolor/16x16/apps/emacs.png:
13390 * etc/images/icons/hicolor/24x24/apps/emacs.png:
13391 * etc/images/icons/hicolor/32x32/apps/emacs.png:
13392 * etc/images/icons/hicolor/48x48/apps/emacs.png:
13393 * etc/images/icons/hicolor/scalable/apps/emacs.svg:
13394 * etc/images/icons/hicolor/scalable/mimetypes/emacs-document.svg:
13396 * etc/images/icons/README: Update the copyright information.
13398 2015-10-23 Eli Zaretskii <eliz@gnu.org>
13400 Fix redisplay of frame title when current buffer is killed
13402 * src/buffer.c (Fkill_buffer): Set windows_or_buffers_changed to a
13403 non-zero value, to redisplay more than just the affected windows.
13406 2015-10-23 Anders Lindgren <andlind@gmail.com>
13408 NextStep maximization and NSTRACE rewrite
13410 Full-height, full-width, and maximized windows now cover the
13411 entire screen (except the menu bar), including the part where the
13412 system dock is placed. The system zoom animation is no longer
13415 Made NonMaximized->FullWidth->FullHeight->NonMaximized restore the
13418 * src/nsterm.m (ns_menu_bar_height): New function, return height of
13419 the menu bar, or 0 when it's hidden.
13420 (constrain_frame_rect): New function for constraining a frame.
13421 (ns_constrain_all_frames): Set frame size explicitly rather than
13422 relying on the system doing it for us by writing back the current
13424 (windowWillUseStandardFrame): Register non-maximized width or
13425 height as new user size. When entering full width or height,
13426 the other size component is taken from the user size.
13427 (fullscreenState): New method for accessing the fullscreen state.
13428 (constrainFrameRect): Restrict frame to be placed under the menu bar,
13429 if present. The old version, sometimes, restricted the height of a
13430 frame to the screen, this version never does this.
13431 (zoom): Perform zoom by setting the frame to the full size of the
13432 screen (minus the menu bar). The default system function, with the
13433 zoom animation, is no longer used, as the final frame size doesn't
13434 cover the entire screen.
13436 Rework how to constrain resizing to the character grid. The old
13437 system used "resizeIncrements" in NSWindows. However, once a frame
13438 was resized so that it was not aligned to the text grid, it
13439 remained unaligned even after a resize. In addition, it conflicted
13440 when resizing a fullheight window.
13442 * src/nsterm.m (windowWillResize): Restrict frame size to text grid,
13443 unless when pixelwise frame resizing is enabled.
13444 (updateFrameSize, initFrameFromEmacs)
13445 (toggleFullScreen, handleFS): Don't set resizeIncrements.
13447 Redesign the NS trace system. The call structure is represented
13448 using indentations and vertical lines. The NSTRACE macro accepts
13449 printf-style arguments. New macros for printing various
13452 * src/nsterm.h (NSTRACE_ENABLED): Macro to enable trace system.
13453 (NSTRACE, NSTRACE_WHEN, NSTRACE_UNLESS): Macros to start a new
13454 block (typically a function), accept printf-style arguments.
13455 (NSTRACE_MSG): Macro for extra information, accepts
13456 printf-style arguments.
13457 (NSTRACE_what): Macros for printing various types.
13458 (NSTRACE_FMT_what): Macro with printf format string snippets.
13459 (NSTRACE_ARG_what): Macros for passing printf-style arguments,
13460 corresponds to NSTRACE_FMT_what.
13461 (NSTRACE_RETURN): Macro to print return value, accept
13462 printf-style arguments.
13463 (NSTRACE_RETURN_what): Macros to print return value for
13466 * src/nsterm.m: Remove old NSTRACE macro
13467 * src/nsterm.m (nstrace_num): Trace counter.
13468 (nstrace_depth): Current call depth.
13469 (nstrace_leave): NSTRACE support function, called when the
13470 local variable "nstrace_enabled" goes out of scope using the
13471 "cleanup" extension.
13472 (ns_print_fullscreen_type_name): NSTRACE_FSTYPE support function.
13473 (constrain_frame_rect, ns_constrain_all_frames)
13474 (ns_update_auto_hide_menu_bar, ns_update_begin)
13475 (ns_update_window_begin, update_window_end, ns_update_end)
13476 (ns_focus, ns_unfocus, ns_ring_bell, ns_frame_raise_lower)
13477 (ns_frame_rehighlight, x_make_frame_visible)
13478 (x_make_frame_invisible, x_iconify_frame, x_free_frame_resources)
13479 (x_destroy_window, x_set_offset, x_set_window_size)
13480 (ns_fullscreen_hook, ns_lisp_to_color, ns_color_to_lisp)
13481 (ns_defined_color, frame_set_mouse_pixel_position)
13482 (note_mouse_movement, ns_mouse_position, ns_frame_up_to_date)
13483 (ns_define_frame_cursor, x_get_keysym_name, ns_redraw_scroll_bars)
13484 (ns_clear_frame, ns_clear_frame_area, ns_scroll_run)
13485 (ns_after_update_window_line, ns_shift_glyphs_for_insert)
13486 (dumpcursor, ns_draw_vertical_window_border)
13487 (ns_draw_window_divider, ns_draw_relief)
13488 (ns_dumpglyphs_box_or_relief, ns_maybe_dumpglyphs_background)
13489 (ns_dumpglyphs_image, ns_draw_glyph_string, ns_send_appdefined)
13490 (ns_read_socket, ns_select, ns_set_vertical_scroll_bar)
13491 (ns_set_horizontal_scroll_bar, ns_condemn_scroll_bars)
13492 (ns_redeem_scroll_bar, ns_judge_scroll_bars, ns_delete_terminal)
13493 (ns_create_terminal, ns_term_init, sendEvent)
13494 (applicationDidFinishLaunching, applicationDidBecomeActive)
13495 (timeout_handler, fd_handler, EmacsView_dealloc, changeFont)
13496 (acceptsFirstResponder, resetCursorRects, keyDown, mouseDown)
13497 (deltaIsZero, rightMouseDown, otherMouseDown, mouseUp)
13498 (rightMouseUp, otherMouseUp, scrollWheel, mouseMoved)
13499 (mouse_autoselect_window, in_window, mouseDragged)
13500 (rightMouseDragged, otherMouseDragged, windowShouldClose)
13501 (updateFrameSize, windowWillResize, windowDidResize)
13502 (windowDidBecomeKey, windowDidResignKey, windowWillMiniaturize)
13503 (initFrameFromEmacs, windowDidMove, windowDidDeminiaturize)
13504 (windowDidExpose, windowDidMiniaturize, windowWillEnterFullScreen)
13505 (windowDidEnterFullScreen, windowWillExitFullScreen)
13506 (windowDidExitFullScreen, toggleFullScreen, handleFS, setFSValue)
13507 (mouseEntered, mouseExited, menuDown, toolbarClicked, drawRect)
13508 (draggingEntered, performDragOperation, validRequestorForSendType)
13509 (setMiniwindowImage, constrainFrameRect, performZoom, zoom)
13510 (EmacsScroller_initFrame, EmacsScroller_setFrame)
13511 (EmacsScroller_dealloc, condemn, reprieve, judge)
13512 (resetCursorRects, setPosition, EmacsScroller_mouseDown)
13513 (EmacsScroller_mouseDragged, syms_of_nsterm): Use new trace system.
13515 * src/nsfns.m: Remove old NSTRACE macro
13516 * src/nsfns.m (x_set_icon_name, ns_set_name, x_explicitly_set_name)
13517 (x_implicitly_set_name, x_set_title, ns_set_name_as_filename)
13518 (ns_implicitly_set_icon_type, x_set_icon_type): Use new trace system.
13520 * src/nsimage.m: Remove old NSTRACE macro
13521 * src/nsimage.m (ns_image_from_XBM, ns_image_for_XPM)
13522 (ns_image_from_bitmap_file, ns_load_image): Use new trace system.
13524 * src/nsmenu.m: Remove old NSTRACE macro
13525 * src/nsmenu.m (ns_update_menubar, ns_menu_show, ns_popup_dialog):
13526 Use new trace system.
13528 2015-10-22 Katsumi Yamaoka <yamaoka@jpl.org>
13530 No need to use eval-and-compile
13532 * lisp/gnus/auth-source.el: Do require epg (when compiling) before
13533 autoload epg functions.
13535 2015-10-22 Katsumi Yamaoka <yamaoka@jpl.org>
13537 Fix auth-source-epa-make-gpg-token compilation (bug#21724)
13539 * lisp/gnus/auth-source.el: Add eval-and-compile to autoloads for
13540 epg-context-set-passphrase-callback, epg-decrypt-string, and
13541 epg-encrypt-string; require epg when compiling for the setf-method
13542 for epg-context-armor. (bug#21724)
13544 2015-10-22 Eli Zaretskii <eliz@gnu.org>
13546 Include file cleanup for w32 files in src directory
13548 * src/w32xfns.c: Don't include keyboard.h, window.h, charset.h,
13549 fontset.h, blockinput.h.
13550 * src/w32uniscribe.c: Don't include dispextern.h, character.h,
13551 charset.h, fontset.h.
13552 * src/w32term.c: Don't include systty.h, systime.h, charset.h,
13553 character.h, ccl.h, dispextern.h, disptab.h, intervals.h,
13554 process.h, atimer.h, keymap.h, w32heap.h. Include bitmap/gray.xbm
13555 in an ifdef-ed away block.
13556 Include fcntl.h for CYGWIN.
13557 (set_frame_param): Remove unused function.
13558 * src/w32select.c: Don't include charset.h and composite.h.
13559 (setup_config, Fw32_get_clipboard_data): Avoid compiler warnings
13560 due to pointer signedness mismatches.
13561 * src/w32reg.c (w32_get_string_resource): Avoid compiler warnings
13562 due to pointer signedness mismatches.
13563 * src/w32proc.c: Include unistd.h. Don't include systime.h,
13564 process.h, dispextern.h.
13565 (sys_spawnve, Fw32_short_file_name, Fw32_long_file_name)
13566 (Fw32_application_type): Avoid compiler warnings due to pointer
13567 signedness mismatches.
13568 * src/w32menu.c: Don't include keymap.h, termhooks.h, window.h,
13569 character.h, charset.h, dispextern.h.
13570 (simple_dialog_show, add_menu_item): Avoid compiler warnings due
13571 to pointer signedness mismatches.
13572 * src/w32inevt.c: Don't include dispextern.h, window.h,
13573 termhooks.h, w32heap.h.
13574 * src/w32font.c: Don't include dispextern.h, character.h,
13575 charset.h, fontset.h, font.h.
13576 (intern_font_name, add_font_entity_to_list)
13577 (registry_to_w32_charset, w32_to_x_charset, fill_in_logfont)
13578 (list_all_matching_fonts): Avoid compiler warnings due to pointer
13579 signedness mismatches.
13580 * src/w32fns.c: Don't include character.h, intervals.h,
13581 dispextern.h, epaths.h, charset.h, ccl.h, fontset.h, systime.h,
13582 termhooks.h, w32heap.h, bitmap/gray.xbm, font.h, w32font.h.
13583 (w32_color_map_lookup, add_system_logical_colors_to_map)
13584 (x_decode_color, x_set_name, FPRINTF_WM_CHARS, Fxw_color_defined_p)
13585 (Fxw_color_values, x_display_info_for_name, Fset_message_beep)
13586 (x_create_tip_frame, Fx_file_dialog, Fsystem_move_file_to_trash)
13587 (w32_parse_hot_key, Ffile_system_info, w32_kbd_patch_key): Avoid
13588 compiler warnings, mainly due to pointer signedness mismatches.
13589 (unwind_create_frame_1): Remove unused function.
13590 * src/w32console.c: Don't include character.h, disptab.h, frame.h,
13591 window.h, termhooks.h, dispextern.h.
13592 (w32con_write_glyphs, w32con_write_glyphs_with_face): Fix pointer
13593 signedness mismatch.
13594 * src/w32.c: Include c-strcase.h and systty.h. Don't include
13597 2015-10-22 Tassilo Horn <tsdh@gnu.org>
13599 Improve doc-view wrt. auto-revert-mode
13601 * lisp/doc-view.el (doc-view-revert-buffer): Don't revert when file
13602 is corrupted (bug#21729).
13603 (doc-view-mode): Set doc-view-revert-buffer as revert-buffer-function.
13605 2015-10-22 Oleh Krehel <ohwoeowho@gmail.com>
13607 Describe dired-do-compress-to in the manual
13609 * etc/NEWS: Update.
13611 * lisp/dired-aux.el: Fix typo.
13613 * doc/emacs/dired.texi: Add entry.
13615 2015-10-22 Jürgen Hötzel <juergen@archlinux.org>
13617 Further fix for proper locale handling in tramp-gvfs.el
13619 * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
13620 Just suppress LC_MESSAGES locale category settings.
13622 2015-10-21 Paul Eggert <eggert@cs.ucla.edu>
13624 New lispref section “Security Considerations”
13626 This attempts to document some of the issues recently discussed
13627 on emacs-devel, and to indicate other such issues. The section
13628 could be a lot longer.
13629 * doc/lispref/os.texi (Security Considerations):
13631 * doc/lispref/elisp.texi (Top):
13632 * doc/lispref/processes.texi (Shell Arguments):
13633 * lisp/subr.el (shell-quote-argument):
13634 * src/callproc.c (syms_of_callproc):
13637 2015-10-21 Paul Eggert <eggert@cs.ucla.edu>
13642 2015-10-18 stdalign: work around pre-4.9 GCC x86 bug
13643 2015-10-18 time_rz: avoid warning from bleeding-edge gcc's -Wnonnull
13644 * doc/misc/texinfo.tex, lib/stdalign.in.h, lib/time_rz.c:
13647 2015-10-21 Katsumi Yamaoka <yamaoka@jpl.org>
13649 * lisp/gnus/mailcap.el (mailcap-mime-data): Remove fboundp checks.
13650 (mailcap-viewer-passes-test): Do it instead. Thanks to Stefan Monnier.
13652 2015-10-21 Ken Brown <kbrown@cornell.edu>
13654 Further include-file cleanup
13656 * src/sheap.c: Include stdlib.h.
13657 * src/unexcw.c: Include string.h.
13659 2015-10-21 Eli Zaretskii <eliz@gnu.org>
13661 Fix logic in 'server-kill-emacs-query-function'
13663 * lisp/server.el (server-kill-emacs-query-function): Correct the
13664 logic that controls whether the user is asked for confirmation.
13667 2015-10-21 Artur Malabarba <bruce.connor.am@gmail.com>
13669 * lisp/isearch.el (isearch-search-fun-default): Simplify logic.
13670 (isearch--lax-regexp-function-p): New function.
13672 2015-10-21 Artur Malabarba <bruce.connor.am@gmail.com>
13674 * lisp/isearch.el: Support lax-whitespace in regexp-function searches.
13675 (isearch-search-fun-default): Let-bind `search-spaces-regexp'
13676 around `isearch-regexp-function'.
13678 2015-10-21 Artur Malabarba <bruce.connor.am@gmail.com>
13680 * lisp/isearch.el: Rename word search to regexp-function search.
13681 `isearch-word' went well beyond its original purpose, and the name
13682 no longer makes sense. It is now called
13683 `isearch-regexp-function', and its value should always be a function
13684 that converts a string to a regexp (though setting it to t is still
13685 supported for now).
13686 (isearch-word): Make obsolete.
13687 (isearch-regexp-function): New variable.
13688 (isearch-mode, isearch-done, isearch--state, isearch--set-state)
13689 (with-isearch-suspended, isearch-toggle-regexp)
13690 (isearch-toggle-word, isearch-toggle-symbol)
13691 (isearch-toggle-character-fold, isearch-query-replace)
13692 (isearch-occur, isearch-highlight-regexp)
13693 (isearch-search-and-update, isearch-message-prefix)
13694 (isearch-search-fun-default, isearch-search)
13695 (isearch-lazy-highlight-new-loop, isearch-lazy-highlight-search):
13697 (isearch-lazy-highlight-regexp-function): New var.
13698 (isearch-lazy-highlight-word): Make obsolete.
13699 (isearch--describe-regexp-mode): New function.
13700 (isearch--describe-word-mode): Make obsolete.
13702 * lisp/info.el (Info-isearch-search):
13703 * lisp/replace.el (replace-search, replace-highlight):
13704 * lisp/obsolete/longlines.el (longlines-search-function):
13705 * lisp/hexl.el (hexl-isearch-search-function):
13706 * lisp/cedet/semantic/senator.el (senator-isearch-search-fun):
13709 2015-10-21 Oleh Krehel <ohwoeowho@gmail.com>
13711 Add dired-do-compress-to command bound to "c"
13713 * lisp/dired-aux.el (dired-shell-command): Use the caller's
13714 `default-directory', return the result of `process-file'.
13715 (dired-compress-file-suffixes): Add comment on why "tar -zxf" isn't
13717 (dired-compress-files-alist): New defvar.
13718 (dired-do-compress-to): New command.
13720 * lisp/dired.el (dired-mode-map): Bind `dired-do-compress-to' to "c".
13721 (dired-do-compress-to): Add an autoload entry.
13723 * etc/NEWS: Add two entries.
13725 2015-10-21 Tassilo Horn <tsdh@gnu.org>
13727 Make RefTeX work with LaTeX subfiles package
13729 * lisp/textmodes/reftex.el (reftex-TeX-master-file): Recognize subfiles
13730 document class argument as master file for referencing purposes.
13732 2015-10-21 Katsumi Yamaoka <yamaoka@jpl.org>
13734 * lisp/gnus/mailcap.el (mailcap-mailcap-entry-passes-test): Doc fix.
13736 2015-10-20 Paul Eggert <eggert@cs.ucla.edu>
13738 Include-file cleanup for src directory
13740 Omit ‘#include "foo.h"’ unless the file needs foo.h (Bug#21707).
13741 In a few cases, add ‘#include "foo.h"’ if the file needs foo.h
13742 but does not include it directly. As a general rule, a source
13743 file should include foo.h if it needs the interfaces that foo.h
13745 * src/alloc.c: Don’t include process.h. Include dispextern.h,
13747 * src/atimer.c: Don’t include blockinput.h.
13748 * src/buffer.c: Include coding.h, systime.h. Don’t include
13749 keyboard.h, coding.h.
13750 * src/callint.c: Don’t include commands.h, keymap.h.
13751 * src/callproc.c: Don’t include character.h, ccl.h, composite.h,
13752 systty.h, termhooks.h.
13753 * src/casetab.c: Don’t include character.h.
13754 * src/category.c: Don’t include charset.h, keymap.h.
13755 * src/ccl.h: Don’t include character.h.
13756 * src/character.c: Don’t include charset.h.
13757 * src/charset.c: Don’t include disptab.h.
13758 * src/chartab.c: Don’t include ccl.h.
13759 * src/cm.c: Don’t include frame.h, termhooks.h.
13760 * src/cmds.c: Don’t include window.h, dispextern.h.
13761 * src/coding.c: Don’t include window.h, frame.h.
13762 * src/composite.c: Include composite.h. Don’t include window.h,
13764 * src/data.c: Don’t include syssignal.h, termhooks.h, font.h.
13765 * src/dbusbind.c: Don’t include frame.h.
13766 * src/decompress.c: Don’t include character.h.
13767 * src/dired.c: Don’t include character.h, commands.h, charset.h.
13768 * src/dispnew.c: Don’t include character.h, indent.h, intervals.h,
13769 process.h, timespec.h. Include systime.h.
13770 * src/doc.c: Include coding.h. Don’t include keyboard.h.
13771 * src/editfns.c: Include composite.h. Don’t include frame.h.
13772 * src/emacs.c: Include fcntl.h, coding.h. Don’t include
13773 commands.h, systty.h..
13774 * src/fileio.c: Don’t include intervals.h, dispextern.h.
13775 Include composite.h.
13776 * src/filelock.c: Don’t include character.h, systime.h.
13777 * src/fns.c: Don’t include time.h, commands.h, keyboard.h,
13778 keymap.h, frame.h, blockinput.h, xterm.h. Include composite.h.
13779 * src/font.c: Include termhooks.h.
13780 * src/font.h: Don’t include ccl.h, frame.h. Add forward decls of
13781 struct composition_it, struct face, struct glyph_string.
13782 * src/fontset.c: Don’t include buffer.h, ccl.h, keyboard.h,
13783 intervals.h, window.h, termhooks.h.
13784 * src/frame.c: Don’t include character.h, commands.h, font.h.
13785 * src/frame.h: Don’t include dispextern.h.
13786 * src/fringe.c: Don’t include character.h.
13787 * src/ftcrfont.c: Don’t include dispextern.h, frame.h,
13788 character.h, charset.h, fontset.h.
13789 * src/ftfont.c: Don’t include frame.h, blockinput.h, coding.h,
13791 * src/ftxfont.c: Don’t include dispextern.h, character.h,
13792 charset.h, fontset.h.
13793 * src/gfilenotify.c: Don’t include frame.h, process.h.
13794 * src/gtkutil.c: Include dispextern.h, frame.h, systime.h.
13795 Don’t include syssignal.h, buffer.h, charset.h, font.h.
13796 * src/gtkutil.h: Don’t include frame.h.
13797 * src/image.c: Include fcntl.h and stdio.h instead of sysstdio.h.
13798 Don’t include character.h.
13799 * src/indent.c: Don’t include keyboard.h, termchar.h.
13800 * src/inotify.c: Don’t include character.h, frame.h.
13801 * src/insdel.c: Include composite.h. Don’t include blockinput.h.
13802 * src/intervals.c: Don’t include character.h, keyboard.h.
13803 * src/intervals.h: Don’t include dispextern.h, composite.h.
13804 * src/keyboard.c: Don’t include sysstdio.h, disptab.h, puresize.h.
13806 * src/keyboard.h: Don’t incldue systime.h.
13807 * src/keymap.c: Don’t include charset.h, frame.h.
13808 * src/lread.c: Include dispextern.h and systime.h.
13809 Don’t include frame.h. Include systime.h.
13810 * src/macros.c: Don’t include commands.h, character.h, buffer.h.
13811 * src/menu.c: Include character.h, coding.h. Don’t include
13813 * src/menu.h: Don’t include systime.h.
13814 * src/minibuf.c: Don’t include commands.h, dispextern.h, syntax.h,
13815 intervals.h, termhooks.h.
13816 * src/print.c: Include coding.h. Don’t include keyboard.h,
13817 window.h, dispextern.h, termchar.h, termhooks.h, font.h.
13818 Add forward decl of struct terminal.
13819 * src/process.c: Don’t include termhooks.h, commands.h,
13820 dispextern.h, composite.h.
13821 * src/region-cache.c: Don’t include character.h.
13822 * src/scroll.c: Don’t include keyboard.h, window.h.
13823 * src/search.c: Don’t include category.h, commands.h.
13824 * src/sound.c: Don’t include dispextern.h.
13825 * src/syntax.c: Don’t include command.h, keymap.h.
13826 * src/sysdep.c: Don’t include window.h, dispextern.h.
13827 * src/systime.h: Use ‘#ifdef emacs’, not ‘#ifdef EMACS_LISP_H’,
13828 * src/term.c: Don’t include systty.h, intervals.h, xterm.h.
13829 * src/terminal.c: Include character.h.
13830 Don’t include charset.h, coding.h.
13831 * src/textprop.c: Don’t include character.h.
13832 * src/undo.c: Don’t include character.h, commands.h, window.h.
13833 * src/unexsol.c: Don’t include character.h, charset.h.
13834 * src/widget.c: Include widget.h. Don’t include keyboard.h,
13835 window.h, dispextern.h, blockinput.h, character.h, font.h.
13836 * src/widgetprv.h: Don’t include widget.h.
13837 * src/window.c: Don’t include character.h, menu.h, intervals.h.
13838 * src/xdisp.c: Include composite.h, systime.h. Don’t include
13839 macros.h, process.h.
13840 * src/xfaces.c: Don’t include charset.h, keyboard.h, termhooks.h,
13842 * src/xfns.c: Don’t include menu.h, character.h, intervals.h,
13843 epaths.h, fontset.h, systime.h, atimer.h, termchar.h.
13844 * src/xfont.c: Don’t include dispextern.h, fontset.h, ccl.h.
13845 * src/xftfont.c: Don’t include dispextern.h, character.h, fontset.h.
13846 * src/xgselect.c: Don’t include timespec.h, frame.h.
13848 * src/xgselect.h: Don’t include time.h.
13849 Use a forward decl to struct timespec instead.
13850 * src/xmenu.c: Don’t include keymap.h, character.h, charset.h,
13851 dispextern.h. Include systime.h.
13852 * src/xml.c: Don’t include character.h.
13853 * src/xrdb.c [USE_MOTIF]: Don’t include keyboard.h.
13854 * src/xselect.c: Don’t include dispextern.h, character.h,
13855 buffer.h, process.h.
13856 * src/xsmfns.c: Don’t include systime.h, sysselect.h.
13857 * src/xterm.c: Don’t include syssignal.h, charset.h, disptab.h,
13858 intervals.h process.h, keymap.h, xgselect.h. Include composite.h.
13860 2015-10-20 Paul Eggert <eggert@cs.ucla.edu>
13862 (/ N) now returns the reciprocal of N
13864 This is more compatible with Common Lisp and XEmacs (Bug#21690). See:
13865 http://lists.gnu.org/archive/html/emacs-devel/2015-10/msg01053.html
13866 * lisp/color.el (color-hue-to-rgb, color-hsl-to-rgb)
13867 (color-xyz-to-srgb, color-xyz-to-lab):
13868 * lisp/emacs-lisp/cl-extra.el (cl-float-limits):
13869 * lisp/net/shr-color.el (shr-color-hue-to-rgb)
13870 (shr-color-hsl-to-rgb-fractions):
13871 Exploit the change to simplify the code a bit.
13872 * lisp/emacs-lisp/bytecomp.el (byte-compile-quo):
13873 Don’t complain about single-argument calls to ‘/’.
13874 * src/data.c (arith_driver, float_arith_driver):
13875 Implement the change.
13877 2015-10-20 Dmitry Gutov <dgutov@yandex.ru>
13879 Call vc-dir-refresh after stash operations
13881 * lisp/vc/vc-git.el (vc-git-stash-apply-at-point)
13882 (vc-git-stash-pop-at-point): Call vc-dir-refresh (bug#13960).
13884 * lisp/vc/vc-dir.el (vc-dir-resynch-file): Expand FNAME as well,
13885 since it can be abbreviated (as returned by vc-find-root).
13887 2015-10-20 Dmitry Gutov <dgutov@yandex.ru>
13889 * lisp/vc/vc-svn.el:
13890 * lisp/vc/vc-mtn.el:
13891 * lisp/vc/vc-hg.el:
13892 * lisp/vc/vc-cvs.el:
13893 * lisp/vc/vc-git.el:
13894 * lisp/vc/vc-bzr.el: Don't declare vc-exec-after anymore.
13895 Its usages have been replaced with vc-run-delayed.
13897 2015-10-20 Dima Kogan <dima@secretsauce.net>
13899 Fix memory leak in fontset handling
13901 * src/font.c (copy_font_spec): Make a deep copy of the input
13902 argument FONT. (Bug#21651)
13904 2015-10-20 Michael Sperber <mike@xemacs.org>
13906 * lisp/gnus/mailcap.el (mailcap-mime-data):
13907 Conditonalize `doc-view-mode', which does not exist on XEmacs.
13909 2015-10-20 Oleh Krehel <ohwoeowho@gmail.com>
13911 Update the way directories are compressed
13913 * lisp/dired-aux.el (dired-compress-file-suffixes): Update the recipe
13914 for *.tar.gz decompression to use a pipe.
13915 Add an entry for the default directory compression (to *.tar.g).
13916 (dired-compress-file): Update.
13918 See https://lists.gnu.org/archive/html/emacs-devel/2015-10/msg00949.html.
13920 2015-10-20 Michael Sperber <mike@xemacs.org>
13922 Unbreak `group' option for `mail-sources'
13924 * lisp/gnus/nnml.el (nnml-retrieve-groups, nnml-request-scan):
13925 * lisp/gnus/nnmail.el (nnmail-get-new-mail-per-group)
13926 (nnmail-get-new-mail-1): Unbreak `group' option for `mail-sources'.
13928 2015-10-19 Nicolas Petton <nicolas@petton.fr>
13930 New function seq-position
13932 * lisp/emacs-lisp/seq.el (seq-position): New function.
13933 * test/automated/seq-tests.el: New tests for seq-position.
13934 * doc/lispref/sequences.texi: Add documentation for `seq-position'.
13936 2015-10-19 Ken Brown <kbrown@cornell.edu>
13938 Enable --with-wide-int build on 32-bit Cygwin
13940 * src/sheap.c (STATIC_HEAP_SIZE): Remove distinction between x86
13941 and x86_64 to enable --with-wide-int build on 32-bit Cygwin.
13943 2015-10-19 Glenn Morris <rgm@gnu.org>
13945 * doc/emacs/ack.texi (Acknowledgments): Small, sad, update.
13947 2015-10-19 Eli Zaretskii <eliz@gnu.org>
13949 Resurrect image loading under auto-image-file-mode
13951 * src/image.c (x_find_image_fd): Handle the case of -2 returned by
13952 'openp' specially. This special case was lost in the changes on
13953 2015-08-18. (Bug#21685)
13955 2015-10-19 Eli Zaretskii <eliz@gnu.org>
13957 Fix return value of 'set-file-extended-attributes'
13959 * lisp/files.el (set-file-extended-attributes): Return non-nil
13960 when setting either ACLs or SELinux context succeeds. Document
13961 the return value. (Bug#21699)
13963 * doc/lispref/files.texi (Changing Files): Document the return
13964 value of set-file-extended-attributes.
13966 2015-10-19 Eli Zaretskii <eliz@gnu.org>
13968 Improve documentation of functions that change files
13970 * doc/lispref/files.texi (Changing Files): Document that these
13971 functions signal an error on failure.
13973 2015-10-18 Eli Zaretskii <eliz@gnu.org>
13975 Fix doc string of 'shell-quote-argument'
13977 * lisp/subr.el (shell-quote-argument): Doc fix. (Bug#21702)
13979 2015-10-18 Michael Albinus <michael.albinus@gmx.de>
13981 Some minor Tramp changes
13983 * doc/misc/tramp.texi (Obtaining Tramp): Add http git cloning.
13985 * lisp/net/tramp.el (tramp-handle-make-auto-save-file-name):
13986 Expand `tramp-auto-save-directory'.
13988 2015-10-18 Michael Albinus <michael.albinus@gmx.de>
13990 Minor edits in Tramp
13992 * lisp/net/tramp-adb.el (directory-listing-before-filename-regexp):
13995 * lisp/net/tramp-compat.el (directory-listing-before-filename-regexp):
13996 Remove declaration.
13998 2015-10-17 Mark Oteiza <mvoteiza@udel.edu>
14000 * lisp/emacs-lisp/eldoc.el: Add back-to-indentation to the command list.
14002 2015-10-17 Eli Zaretskii <eliz@gnu.org>
14004 Avoid crashes when redisplayng a window changes faces or fonts
14006 * src/xdisp.c (redisplay_internal): If redisplaying the selected
14007 window or one of the frames turns on the frame's 'redisplay' flag,
14008 redisplay again. (Bug#21428)
14010 * src/frame.c (x_set_font): Set the frame's 'fonts_changed' flag.
14012 2015-10-17 Michael Albinus <michael.albinus@gmx.de>
14014 Solve timimg issues in file-notify-tests.el
14016 * test/automated/file-notify-tests.el (file-notify-test02-events):
14017 Rectify `attribute-change' tests. There are timing issues with
14018 gfilenotify. (Bug#21669)
14020 2015-10-16 Paul Eggert <eggert@cs.ucla.edu>
14022 Fix quoting of data within htmlfontify doc
14024 * doc/misc/htmlfontify.texi (Data Structures, Customization):
14025 Fix quoting of data structures. A Lisp quote is needed only
14026 when data appears within Lisp code.
14028 2015-10-16 Artur Malabarba <bruce.connor.am@gmail.com>
14030 * lisp/emacs-lisp/package.el: Reload archive-contents if
14032 (package--old-archive-priorities): New variable.
14033 (package-read-all-archive-contents, package-menu--refresh): Use it
14034 to decide when the `package-archive-contents' needs to be read
14037 2015-10-16 Paul Eggert <eggert@cs.ucla.edu>
14039 Make src headers idempotent and standalone
14041 Redo src/*.h so that each include file is idempotent (that is, can
14042 be included multiple times with the latter inclusions having no
14043 effect) and standalone (that is, can be included by itself,
14044 with no include file other than config.h needed as a prerequisite).
14045 This is standard practice in GNU programs nowadays.
14046 * lwlib/lwlib-widget.h, src/buffer.h, src/category.h, src/character.h:
14047 * src/charset.h, src/coding.h, src/commands.h, src/disptab.h:
14048 * src/fontset.h, src/gnutls.h, src/indent.h, src/keymap.h, src/macros.h:
14049 * src/regex.h [emacs]:
14050 * src/syntax.h, src/systty.h, src/termhooks.h:
14051 Include lisp.h, for Lisp_Object.
14052 * src/buffer.h, src/category.h, src/cm.h, src/commands.h, src/disptab.h:
14053 * src/indent.h, src/intervals.h, src/keyboard.h, src/macros.h:
14054 * src/process.h, src/puresize.h, src/region-cache.h, src/syntax.h:
14055 * src/syssignal.h, src/sysstdio.h, src/systty.h, src/termchar.h:
14056 * src/termopts.h, src/tparam.h, src/unexec.h:
14057 Protect against multiple inclusion.
14058 * src/buffer.h: Include character.h, for STRING_CHAR.
14059 * src/emacsgtkfixed.h (struct frame):
14060 * src/fontset.h (struct face):
14061 * src/region-cache.h (struct buffer):
14062 * src/termhooks.h (struct glyph):
14063 * src/xsettings.h (struct x_display_info):
14064 Add possibly-forward decl.
14065 * src/syntax.h: Include buffer.h, for BVAR.
14066 * src/sysselect.h: Include lisp.h, for eassume.
14067 * src/termchar.h: Include <stdio.h>, for FILE.
14068 * src/widget.h: Include <X11/IntrinsicP.h>, for Widget.
14069 * src/xsettings.h: Include <X11/Xlib.h>, for XEvent.
14071 2015-10-16 Jürgen Hötzel <juergen@archlinux.org>
14073 Handle symlink targets containing spaces in tramp-gvfs.el
14075 * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
14076 Handle symlink targets containing spaces.
14078 2015-10-16 Artur Malabarba <bruce.connor.am@gmail.com>
14080 * lisp/custom.el (custom-theme-load-path): Demote to defvar.
14082 `custom-theme-load-path' was a defcustom, but it shouldn't be for the
14083 same reason that `load-path' shouldn't. Setting it via the customize
14084 interface is a trap for the user.
14086 Installed themes commonly add themselves to this variable, which means
14087 its value is not fit for being saved (it will permanently remember dirs
14088 that don't exist anymore).
14090 This is aggravated by the fact that Emacs always applies the `user'
14091 theme on top of any theme that's loaded, since this will apply the old
14092 variable value and remove any new directories that had been recently
14093 added by themes themselves.
14095 Not to mention, we already have `custom-theme-directory', which is safe
14098 2015-10-16 Stefan Monnier <monnier@iro.umontreal.ca>
14100 * lisp/mpc.el: Rename the new toggling commands.
14101 (mpc-toggle-consume, mpc-toggle-repeat, mpc-toggle-single)
14102 (mpc-toggle-shuffle): Add "-toggle" in the name.
14104 2015-10-16 Eli Zaretskii <eliz@gnu.org>
14106 Improve the doc string of 'completion-boundaries'
14108 * lisp/minibuffer.el (completion-boundaries): Rename the argument
14109 TABLE to COLLECTION, for consistency with other high-level
14110 completion functions. Document how COLLECTION is called if it
14111 is a function. (Bug#21644)
14113 2015-10-16 Oleh Krehel <ohwoeowho@gmail.com>
14115 * lisp/dired-aux.el (dired-shell-command): Fix compile warning.
14117 2015-10-16 Oleh Krehel <ohwoeowho@gmail.com>
14119 Make dired-do-compress work for *.zip files
14121 * lisp/dired-aux.el (dired-check-process): Transform the top-level
14122 comment into a docstring.
14123 (dired-shell-command): New command. This mirrors
14124 `dired-check-process', but is more user-friendly for passing
14126 (dired-compress-file-suffixes): Allow to specify the command switches
14127 along with input (%i) and output (%o) inside the PROGRAM part.
14128 Add an entry for *.zip files, and update the entry for *.tar.gz files
14129 to the new style. Update the docstring.
14130 (dired-compress-file): When PROGRAM matches %i or %o, use the new
14132 (dired-update-file-line): Avoid an error when at end of buffer.
14136 2015-10-16 Eli Zaretskii <eliz@gnu.org>
14138 Minor improvement in documentation of internals
14140 * doc/lispref/internals.texi (Writing Emacs Primitives): Document QUIT.
14142 2015-10-16 Eli Zaretskii <eliz@gnu.org>
14144 Improve documentation of COLLECTION in completion functions
14146 * doc/lispref/minibuf.texi (Minibuffer Completion): Add a
14147 cross-reference to "Programmed Completion".
14149 * src/minibuf.c (Fcompleting_read): Improve the doc string.
14152 2015-10-16 Eli Zaretskii <eliz@gnu.org>
14154 Add more release info to etc/HISTORY
14156 * etc/HISTORY: Add more release information about 19.x and 20.x
14159 2015-10-15 Paul Eggert <eggert@cs.ucla.edu>
14161 New file etc/HISTORY
14163 * admin/FOR-RELEASE: Procedure for etc/HISTORY.
14164 * etc/HISTORY: New file.
14165 * etc/NEWS: Mention it.
14167 2015-10-15 Dmitry Gutov <dgutov@yandex.ru>
14169 js-mode: Don't misindent generator methods
14171 * lisp/progmodes/js.el (js--looking-at-operator-p): Distinguish
14172 generator methods from multiplication operator
14173 (https://github.com/mooz/js2-mode/issues/275).
14175 2015-10-15 Paul Eggert <eggert@cs.ucla.edu>
14177 Fix animation timeout delay calculation
14179 * lisp/image.el (image-animate-timeout):
14180 Don’t assume speed is floating-point.
14182 2015-10-15 Mark Oteiza <mvoteiza@udel.edu>
14184 Add commands for controlling MPD modes
14186 * lisp/mpc.el (mpc-cmd-consume, mpc-cmd-random, mpc-cmd-repeat)
14187 (mpc-cmd-single): New functions.
14188 (mpc-consume, mpc-repeat, mpc-single, mpc-shuffle): New commands.
14189 (mpc-mode-menu): Add new commands as menu items.
14191 2015-10-15 Dmitry Gutov <dgutov@yandex.ru>
14193 Refer to `(elisp)Basic Completion' in completing-read docstring
14195 * src/minibuf.c (Fcompleting_read): Refer to `(elisp)Basic
14196 Completion' in the docstring (bug#21644).
14198 2015-10-14 Mark Oteiza <mvoteiza@udel.edu>
14200 * lisp/mpc.el (mpc-format): Always push form to pred
14202 2015-10-14 Paul Eggert <eggert@cs.ucla.edu>
14206 * configure.ac (bitmapdir): Fix misspelling of bmd_acc.
14207 * test/automated/coding-tests.el (ert-test-coding-bogus-coding-systems):
14208 Fix misspelling of nonexistent file name.
14210 2015-10-14 Mark Oteiza <mvoteiza@udel.edu>
14212 * lisp/mpc.el (mpc-mode-menu, mpc-toggle-play): Fix docstrings
14214 2015-10-14 Michael Albinus <michael.albinus@gmx.de>
14216 Some editing fixes in Tramp
14218 * lisp/net/tramp-gvfs.el:
14219 * doc/misc/tramp.texi: "customer option" -> "custom option".
14221 * lisp/net/tramp.el (tramp-completion-function-alist): Fix docstring.
14223 2015-10-14 Jürgen Hötzel <juergen@archlinux.org>
14225 Use proper localization in tramp-gvfs.el
14227 * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
14228 Suppress localized settings in order to proper parse gfvs output.
14230 2015-10-14 Warren Lynn <wrn.lynn@gmail.com> (tiny change)
14232 * lisp/net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
14233 Quote argument in proper order. (Bug#21562)
14235 2015-10-14 Nicolas Petton <nicolas@petton.fr>
14237 Fix typos in docstrings
14239 * lisp/emacs-lisp/map.el:
14240 * lisp/emacs-lisp/seq.el: Fix typos in the docstrings of the pcase
14243 2015-10-14 Mark Oteiza <mvoteiza@udel.edu>
14245 * lisp/mpc.el (mpc-volume-refresh): Check if buffer is live.
14247 2015-10-14 Oleh Krehel <ohwoeowho@gmail.com>
14249 Make dired-jump work with tar-subfile-mode
14251 * lisp/dired-x.el (dired-jump): When in `tar-subfile-mode', instead of
14252 emitting an error, switch to `tar-superior-buffer'.
14254 2015-10-14 Juanma Barranquero <lekktu@gmail.com>
14256 * .gitignore: Add build-aux/ar-lib.
14258 2015-10-14 Nicolas Petton <nicolas@petton.fr>
14260 Better docstrings in seq.el and map.el
14262 * lisp/emacs-lisp/map.el:
14263 * lisp/emacs-lisp/seq.el: Improve the docstring for the pcase patterns.
14265 2015-10-14 Paul Eggert <eggert@cs.ucla.edu>
14270 2015-10-13 binary-io, u64, unistd: port to strict C
14271 2015-09-26 c-ctype: do not worry about EBCDIC + char signed
14272 2015-09-25 c-ctype: port better to z/OS EBCDIC
14273 2015-09-25 gnulib-common.m4: fix gl_PROG_AR_RANLIB/AM_PROG_AR clash
14274 * doc/misc/texinfo.tex, lib/binary-io.c, lib/c-ctype.h, lib/u64.c:
14275 * lib/unistd.c, m4/gnulib-common.m4, m4/gnulib-comp.m4:
14278 2015-10-14 Paul Eggert <eggert@cs.ucla.edu>
14282 * src/alloc.c (PURE_POINTER_P): Remove.
14283 All uses replaced with PURE_P.
14284 (XPNTR_OR_SYMBOL_OFFSET): New function.
14285 (XPNTR): Move here from lisp.h.
14286 Reimplement in terms of XPNTR_OR_SYMBOL_OFFSET.
14287 (mark_maybe_object, valid_lisp_object_p, survives_gc_p):
14288 Remove unnecessary cast.
14289 (purecopy): Use XPNTR_OR_SYMBOL_OFFSET instead of XPNTR,
14290 to avoid an unnecessary runtime test for symbols.
14291 * src/lisp.h (lisp_h_XPNTR, XPNTR): Remove, moving XPNTR to alloc.c.
14292 Only alloc.c needs XPNTR now.
14294 2015-10-13 Mark Oteiza <mvoteiza@udel.edu>
14296 Add MPC play/pause command
14298 * lisp/mpc.el (mpc-toggle-play): New command.
14299 (mpc-mode-map): Bind it to "s".
14300 (mpc-mode-menu): Add corresponding menu item.
14302 2015-10-13 Mark Oteiza <mvoteiza@udel.edu>
14304 Add bindings and menu items for prev and next tracks
14306 * lisp/mpc.el (mpc-mode-map): Bind ">" to mpc-next,
14308 (mpc-mode-menu): Add corresponding menu items
14310 2015-10-13 Ken Raeburn <raeburn@raeburn.org>
14312 Reduce face-related consing during frame creation.
14314 * lisp/faces.el (face--attributes-unspecified): Compute the "unspecified"
14315 attribute list once.
14316 (face-spec-reset-face): Use it instead of building the list.
14318 2015-10-13 Ken Raeburn <raeburn@permabit.com>
14320 Do process ConfigureNotify events indicating size changes.
14322 * src/xterm.c (handle_one_xevent): If consecutive ConfigureNotify
14323 events don't have the same size, process each one.
14325 2015-10-13 Mark Oteiza <mvoteiza@udel.edu>
14327 Derive mpc-mode from special-mode
14329 lisp/mpc.el (mpc-mode-map): Make from sparse keymap. Unbind g.
14330 (mpc-mode): Derive from special mode.
14331 (mpc-songs-mode-map): Don't set parent keymap.
14333 2015-10-13 Mark Oteiza <mvoteiza@udel.edu>
14335 Fix error messages for when covers are not found.
14337 The last change to mpc-format let the binding to file call
14338 mpc-file-local-copy with nil argument. Instead, employ if-let here
14339 so nil bindings don't result in needless computation and errors.
14340 * lisp/mpc.el: Require 'subr-x at compile time.
14341 * lisp/mpc.el (mpc-format): Use if-let.
14343 2015-10-13 Oleh Krehel <ohwoeowho@gmail.com>
14345 Make dired-do-compress work for *.tar.gz files
14347 * lisp/dired-aux.el (dired-compress-file-suffixes): Associate
14348 "tar -zxvf" to *.tar.gz; update docstring.
14350 (dired-compress-file): Allow to specify switches after the command in
14351 `dired-compress-file-suffixes'.
14353 2015-10-13 Oleh Krehel <ohwoeowho@gmail.com>
14355 Make dired-do-compress work for directories
14357 * lisp/dired-aux.el (dired-compress-file): When FILE is a directory,
14358 instead of emitting an error, call "tar -czf FILE.tar.gz FILE".
14359 Also convert the top comment into a docstring.
14361 2015-10-13 Stefan Monnier <monnier@iro.umontreal.ca>
14363 * lisp/mpc.el (mpc-songs-refresh): Don't side-effect `active'
14365 ... since it might come straight from the memoizing table.
14367 2015-10-13 Juanma Barranquero <lekktu@gmail.com>
14369 * src/w32fns.c (x_change_tool_bar_height): Remove unused variable frame.
14371 2015-10-13 Mark Oteiza <mvoteiza@udel.edu>
14373 Use special-mode in eww list modes
14375 * lisp/net/eww.el (eww-bookmark-mode, eww-history-mode)
14376 (eww-buffers-mode): Derive from special-mode and remove redundant
14377 setting of buffer-read-only.
14378 (eww-mode-map): Remove redundant keymap parent setting.
14379 (eww-bookmark-mode-map, eww-history-mode-map, eww-buffers-mode-map):
14380 Remove redundant keymap suppressions and mappings.
14382 2015-10-13 Martin Rudalics <rudalics@gmx.at>
14384 Allow setting frame pixel sizes from frame parameters (Bug#21415)
14386 Also fix some misfeatures in frame (re-)sizing code, add more
14387 debugging information and remove some dead code.
14389 * lisp/frame.el (frame-notice-user-settings, make-frame): Change
14390 parameter names when setting `frame-size-history'.
14391 (frame--size-history): New function.
14393 * src/frame.c (frame_inhibit_resize): If frame has not been made
14394 yet, return t if inhibit_horizontal_resize or
14395 inhibit_vertical_resize bit have been set.
14396 (adjust_frame_size): Simplify.
14397 (make_frame): Initialize inhibit_horizontal_resize,
14398 inhibit_vertical_resize, tool_bar_redisplayed, tool_bar_resized.
14399 (Fframe_after_make_frame): Reset inhibit_horizontal_resize and
14400 inhibit_vertical_resize slots.
14401 (x_set_frame_parameters): Handle `text-pixels' specification for
14402 width and height parameters. Don't consider new_height or
14403 new_width changes. Call adjust_frame_size instead of
14405 (x_figure_window_size): Two new arguments x_width and y_width
14406 returning frame's figures width and height. Calculate tool bar
14407 height before frame sizes so SET_FRAME_HEIGHT can pick it up.
14408 Handle `text-pixels' specification for width and height
14410 (Qtext_pixels, Qx_set_frame_parameters, Qset_frame_size)
14411 (Qx_set_window_size_1, Qx_set_window_size_2)
14412 (Qx_set_window_size_3, Qx_set_menu_bar_lines)
14413 (Qupdate_frame_menubar, Qfree_frame_menubar_1)
14414 (Qfree_frame_menubar_2): New symbols.
14415 * src/frame.h (structure frame): New booleans
14416 tool_bar_redisplayed, tool_bar_resized,
14417 inhibit_horizontal_resize, inhibit_vertical_resize.
14418 (x_figure_window_size): Update external declaration.
14419 * src/gtkutil.c (xg_frame_set_char_size): Set size hints before
14420 calling gtk_window_resize.
14421 (update_frame_tool_bar): Make inhibiting of frame resizing more
14422 discriminative. Set tool_bar_resized bit.
14423 * src/nsfns.m (x_set_tool_bar_lines): Make inhibiting of frame
14424 resizing more discriminative. Call adjust_frame_size instead of
14426 (Fx_create_frame): Handle x_width and x_height if
14427 set by x_figure_window_size.
14428 * src/nsterm.m (x_set_window_size): For GNUSTEP build don't
14429 subtract 3 from tool bar height.
14430 (x_set_window_size): Add frame_size_history_add call.
14431 (x_new_font): Call adjust_frame_size instead of
14433 * src/w32fns.c (x_change_tool_bar_height): Reset
14434 tool_bar_redisplayed and tool_bar_resized bits when adding tool
14435 bar. Make inhibiting of frame resizing more discriminative.
14436 (w32_wnd_proc): Remove dead code in WM_WINDOWPOSCHANGING case.
14437 (Fx_create_frame): Handle x_width and x_height if set by
14438 x_figure_window_size. Set size hints before adjusting frame size.
14439 (x_create_tip_frame): Adjust x_figure_window_size call.
14440 * src/w32term.c (x_set_window_size): Add frame_size_history_add
14442 * src/widget.c (set_frame_size): Remove dead code. Add
14443 frame_size_history_add call. When frame_resize_pixelwise is t
14444 use FRAME_PIXEL_WIDTH and FRAME_PIXEL_HEIGHT instead of
14445 pixel_width and pixel_height.
14446 (update_various_frame_slots): Remove dead code.
14447 (EmacsFrameResize): Add more information in
14448 frame_size_history_add call.
14449 (EmacsFrameQueryGeometry): Round only when frame_resize_pixelwise
14451 * src/xdisp.c (redisplay_tool_bar): Set tool_bar_redisplayed bits.
14452 * src/xfns.c (x_set_menu_bar_lines): Change argument name.
14453 (x_change_tool_bar_height): Reset tool_bar_redisplayed and
14454 tool_bar_resized bits when adding tool bar. Make inhibiting of
14455 frame resizing more discriminative.
14456 (Fx_create_frame): Handle x_width and x_height if set by
14457 x_figure_window_size. Set size hints before adjusting frame size.
14458 (x_create_tip_frame): Adjust x_figure_window_size call.
14459 * src/xmenu.c (update_frame_menubar): Don't handle Lucid specially.
14460 (set_frame_menubar): On Lucid never add core-border-width to
14461 avoid that adding XtNinternalBorderWidth adds it again.
14462 (free_frame_menubar): Handle frame_inhibit_resize true for Motif.
14463 * src/xterm.c (x_new_font): In non-toolkit case handle size
14464 change of menu bar.
14465 (x_set_window_size_1): Fix calls to frame_size_history_add.
14466 (x_wm_set_size_hint): Remove dead code. Set
14467 size_hints.min_width and size_hints.min_height to base_width and
14470 2015-10-13 Michael Albinus <michael.albinus@gmx.de>
14472 * test/automated/file-notify-tests.el (file-notify--test-timeout):
14473 Add docstring. Increase to 10 seconds for remote
14474 directories. (Bug#21669)
14476 2015-10-12 Paul Eggert <eggert@cs.ucla.edu>
14478 Unmacroize ebrowse.c and etags.c a bit
14480 * lib-src/ebrowse.c (READ_CHUNK_SIZE): Now an enum constant.
14481 (streq, filename_eq, set_flag, has_flag): Now inline functions.
14482 (set_flag): First arg is now an address, not an lvalue.
14483 All callers changed.
14484 (filename_eq, set_flag, has_flag):
14485 Rename from FILENAME_EQ, SET_FLAG, HAS_FLAG.
14486 All callers changed.
14487 * lib-src/etags.c (streq, strcaseeq, strneq, strncaseeq):
14488 Now inline functions. Remove asserts that are unnecessary these
14489 days (and in some cases were too-generous anyway).
14491 2015-10-12 Mark Oteiza <mvoteiza@udel.edu>
14493 Use highlight for current items
14495 * lisp/mpc.el (mpc-select-make-overlay, mpc-tagbrowser-all-select):
14496 Apply highlight face instead of region face.
14498 2015-10-12 Mark Oteiza <mvoteiza@udel.edu>
14500 Search for more cover image names in MPC
14502 * lisp/mpc.el (mpc-format): Also look for .folder.jpg or folder.jpg
14505 2015-10-12 Juanma Barranquero <lekktu@gmail.com>
14507 Remove or comment out unused variables
14509 * src/w32fns.c (x_set_mouse_color): Comment out variables cursor,
14510 nontext_cursor, mode_cursor, hand_cursor and count.
14511 (x_change_tool_bar_height): Remove variable old_text_height.
14512 (deliver_wm_chars): Remove variable strip_Alt.
14513 (Fw32_shell_execute): Remove variable document_a.
14514 (Fw32_frame_geometry): Remove variable fullboth.
14515 * src/w32term.c (w32_setup_relief_color): Comment out variable
14517 (w32_horizontal_scroll_bar_handle_click): Remove variables start, end.
14518 (w32_read_socket): Comment out variables rows, columns.
14519 * src/w32uniscribe.c (uniscribe_check_otf_1): Remove variable rest.
14521 2015-10-12 Juanma Barranquero <lekktu@gmail.com>
14523 * src/w32proc.c (sys_select): Fix bitwise test.
14525 2015-10-12 Eli Zaretskii <eliz@gnu.org>
14527 Minor typo corrections in doc strings
14529 * lisp/menu-bar.el (popup-menu, popup-menu-normalize-position):
14532 2015-10-12 Eli Zaretskii <eliz@gnu.org>
14534 * nt/INSTALL: Recommend MSYS Automake/Autoconf from ezwinports.
14536 2015-10-12 Eli Zaretskii <eliz@gnu.org>
14538 Attempt to avoid crashes in plist-member
14540 * src/fns.c (Fplist_member): Don't call QUIT between a CONSP test
14541 and a call to XCDR. (Bug#21655)
14543 2015-10-12 Mike FABIAN <mfabian@redhat.com>
14545 * lisp/select.el (gui-get-primary-selection): In
14546 gui-get-primary-selection use gui--selection-value-internal (Bug#20906)
14548 2015-10-12 Tassilo Horn <tsdh@gnu.org>
14550 Support RTF in doc-view
14552 * lisp/doc-view.el (doc-view-set-doc-type): Add entry for RTF extension.
14554 2015-10-12 Juanma Barranquero <lekktu@gmail.com>
14556 * src/w32fns.c (get_wm_chars): Increment counter, not pointer.
14558 2015-10-11 Nicolas Petton <nicolas@petton.fr>
14560 Replace the usage of an obsolete function in auth-source.el
14562 * lisp/gnus/auth-source.el (auth-source-epa-make-gpg-token):
14563 Replace an usage of `epg-context-set-armor' with `setf'.
14565 2015-10-11 Nicolas Petton <nicolas@petton.fr>
14567 * lisp/gnus/auth-source.el: Use sharp-quoting with functions.
14569 2015-10-11 Jay Belanger <jay.p.belanger@gmail.com>
14571 Have calc-yank recognize numbers in different bases.
14573 * lisp/calc/calc-yank.el (math-number-regexp): New function.
14574 (calc-yank): Use `math-number-regexp' to recognize numbers.
14576 2015-10-11 Ken Raeburn <raeburn@raeburn.org>
14578 Handle an opaque-move X11 window manager operation more efficiently
14580 * src/xterm.c (handle_one_xevent): If a ConfigureNotify event is
14581 followed by more ConfigureNotify events for the same window, process
14584 2015-10-11 Ken Raeburn <raeburn@raeburn.org>
14586 Fix cursor setting for tip frame; re-enable cursor generation
14588 * src/xfns.c (x_create_tip_frame): Include the cursor in the window
14589 attributes sent when creating the new X window. Don't skip setting
14590 the pointerColor parameter.
14592 2015-10-11 Ken Raeburn <raeburn@raeburn.org>
14594 Rewrite x_set_mouse_color to sync less
14596 We can track serial numbers of X requests and correlate error events
14597 with the associated requests. This way we can identify errors for
14598 specific calls without having to use XSync after every one.
14600 * src/xfns.c (enum mouse_cursor): New type.
14601 (struct mouse_cursor_types, struct mouse_cursor_data): New types.
14602 (mouse_cursor_types): New array listing the Lisp variables and default
14603 cursor appearances for each cursor type.
14604 (x_set_mouse_color_handler): New function; checks error event serial
14605 number against submitted requests.
14606 (x_set_mouse_color): Updated to use the new error handler callback,
14607 and to be more table-driven, to simplify repetitious code.
14609 2015-10-11 Ken Raeburn <raeburn@raeburn.org>
14611 Add x_catch_errors_with_handler
14613 * src/xterm.c (struct x_error_message_stack): Add new fields for a
14614 callback function and associated data pointer.
14615 (x_error_catcher): If the callback function is set, call it after
14616 saving the error message string.
14617 (x_catch_errors_with_handler): Renamed from x_catch_errors but now
14618 accepts a callback function and data pointer.
14619 (x_catch_errors): Now a wrapper function.
14620 * src/xterm.h (x_special_error_handler): New typedef.
14621 (x_catch_errors_with_handler): Declare.
14623 2015-10-11 Ken Raeburn <raeburn@raeburn.org>
14625 Introduce x_uncatch_errors_after_check to reduce XSync calls
14627 Both x_had_errors_p and x_check_errors call XSync, so if they're
14628 immediately followed by x_uncatch_errors, its XSync call will be
14629 redundant, resulting in a wasted round trip to the X server.
14631 * src/xterm.c (x_uncatch_errors_after_check): New routine; a copy of
14632 x_uncatch_errors without the XSync call.
14633 (XTmouse_position, x_wm_supports):
14634 * src/xfns.c (x_set_mouse_color):
14635 * src/xmenu.c (Fx_menu_bar_open_internal):
14636 * src/xselect.c (x_own_selection, x_get_foreign_selection):
14637 (Fx_get_atom_name): Call it instead of x_uncatch_errors.
14638 * src/xterm.h (x_uncatch_errors_after_check): Declare.
14640 2015-10-10 Jay Belanger <jay.p.belanger@gmail.com>
14642 Document the optional prefix to `calc-yank'
14644 * doc/misc/calc.texi (Yanking into the Stack): Document the optional
14645 prefix to `calc-yank'.
14646 * lisp/calc/calc-yank.el (calc-yank): Ensure that things killed from
14647 the Calc buffer are yanked back unchanged.
14649 2015-10-10 Mark Oteiza <mvoteiza@udel.edu>
14651 * lisp/calendar/calendar.el: Display buffer before executing body.
14653 In each use of this macro, the modeline is derived from a window width
14654 calculation, which will be wrong if (display-buffer) splits the window
14657 2015-10-10 Paul Eggert <eggert@cs.ucla.edu>
14659 Use ‘echo’ safely with ‘\’ or leading ‘-’
14661 POSIX says that ‘echo FOO’ produces implementation-defined output
14662 if FOO contains leading ‘-’, or ‘\’ anywhere, so don’t assume GNU
14663 behavior in that case.
14664 * Makefile.in (removenullpaths): Remove.
14665 (epaths-force): Rewrite to avoid the need for ‘echo’.
14666 (install-etc): Be clearer about escaping the shell metacharacters
14668 * Makefile.in (install-arch-indep, install-etcdoc):
14669 * admin/charsets/mapconv, admin/merge-gnulib, admin/merge-pkg-config:
14670 * admin/quick-install-emacs, build-aux/gitlog-to-emacslog:
14671 * configure.ac, lib-src/rcs2log, make-dist:
14672 * src/Makefile.in (lisp.mk):
14673 Don’t assume ‘echo’ outputs ‘\’ and leading ‘-’ unscathed.
14674 For example, use ‘printf '%s\n' "$foo"’ rather than ‘echo "$foo"’
14675 if $foo can contain arbitrary characters.
14676 * lisp/Makefile.in (TAGS): Use ‘ls’, not ‘echo’, to avoid ‘\’ issues.
14677 * doc/lispref/two-volume.make (vol1.pdf):
14678 * test/etags/make-src/Makefile (web ftp publish):
14679 Use ‘printf’ rather than ‘echo -e’.
14681 2015-10-10 Kaushal Modi <kaushal.modi@gmail.com>
14683 Allow numbers with different radixes to be yanked.
14685 * lisp/calc/calc-yank.el (calc-yank): Allow radixes besides the
14688 2015-10-10 Paul Eggert <eggert@cs.ucla.edu>
14690 Improve CHECK_IMPURE and PURE_P speedup
14692 * src/data.c (Faset): Use XVECTOR and XSTRING rather than XPNTR.
14694 2015-10-10 Jay Belanger <jay.p.belanger@gmail.com>
14696 Use events instead of chars to keep track of steps.
14698 * lisp/calc/calc-prog.el (calc-kbd-loop): Use events instead of chars
14699 to keep track of steps.
14701 2015-10-10 Paul Eggert <eggert@cs.ucla.edu>
14703 Fix --enable-gcc-warnings problem with older GCC
14705 * src/puresize.h: Add INLINE_HEADER_BEGIN, INLINE_HEADER_END.
14706 This is for building with --enable-gcc-warnings with
14707 GCC 4.6 through 5.0.
14709 2015-10-10 Eli Zaretskii <eliz@gnu.org>
14711 Fix vertical-motion in truncated lines that end in a stretch
14713 * src/indent.c (Fvertical_motion): Expect overshoot when point is
14714 beyond window margin and lines are truncated, even if we have a
14715 stretch at point. (Bug#21468)
14717 2015-10-10 Eli Zaretskii <eliz@gnu.org>
14719 Avoid link-time errors due to inline functions
14721 * src/emacs.c: Include puresize.h, to avoid link-time errors in
14722 unoptimized builds due to PURE_P and CHECK_IMPURE, which are now
14725 2015-10-10 Andreas Schwab <schwab@linux-m68k.org>
14727 * src/data.c (Faset): Fix last change.
14729 2015-10-10 Paul Eggert <eggert@cs.ucla.edu>
14731 CHECK_IMPURE and PURE_P speedup
14733 * src/intervals.c (create_root_interval):
14734 Do CHECK_IMPURE only for strings; not needed for buffers.
14735 Prefer ! STRINGP to BUFFERP, for a tad more speed.
14736 * src/puresize.h (CHECK_IMPURE, PURE_P):
14737 Now inline functions instead of macros.
14738 (PURE_P): Don’t use XPNTR; that is now the caller’s responsibility.
14739 All callers changed.
14740 (CHECK_IMPURE): New argument PTR, to save us the work of running XPNTR.
14741 All callers changed.
14743 2015-10-09 Noah Friedman <friedman@splode.com>
14745 (tramp-open-connection-setup-interactive-shell): Send -onlcr as well.
14747 2015-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
14749 * lisp/progmodes/cc-mode.el (c-after-font-lock-init): Only *move*
14751 our after-change-function, rather than re-adding it if it was removed.
14753 2015-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
14755 * lisp/cedet/ede: Silence some compiler warnings
14757 * lisp/cedet/ede.el: Require cl-lib. Silence some compiler warnings.
14758 (ede-menu-obj-of-class-p): Use cl-some rather than `eval'.
14759 (ede-apply-object-keymap, ede-reset-all-buffers)
14760 (ede-auto-add-to-target): Use dolist.
14761 (ede-new, ede-flush-deleted-projects, ede-global-list-sanity-check):
14762 Use field names rather than initarg names in `oref'.
14763 (ede-load-project-file): Remove unused var `file'.
14764 (ede-map-any-target-p): Use cl-some rather than ede-map-targets.
14765 (ede-set): Remove unused var `a'.
14767 * lisp/cedet/ede/emacs.el: Silence some compiler warnings.
14768 (ede-project-autoload): Avoid the old-style "name" argument.
14769 (ede-emacs-find-matching-target): Use field names rather than initarg
14772 * lisp/cedet/ede/linux.el: Silence some compiler warnings.
14773 (ede-linux-load, ede-project-autoload): Avoid the old-style
14775 (ede-linux-find-matching-target): Use field names rather than initarg
14778 2015-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
14780 * lisp/textmodes/reftex.el: Silence byte-compiler warnings.
14782 2015-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
14784 * lisp/progmodes/prolog.el (prolog-smie-rules): Try and avoid
14785 indenting too far after ":-".
14787 2015-10-09 Eli Zaretskii <eliz@gnu.org>
14789 Update case-table and categories of recently added characters
14791 * lisp/international/characters.el: Update information about Latin
14792 Extended-C, Latin Extended-D, Latin Extended-E, Cyrillic Extended,
14793 Georgian, Glagolitic, Deseret, Old Hungarian, and Warang Citi
14794 blocks. (Byug#21654)
14796 2015-10-09 Martin Rudalics <rudalics@gmx.at>
14798 * src/frame.c (adjust_frame_size): In minibuffer-only windows
14799 don't count minibuffer height twice. (Bug#21643)
14801 2015-10-09 Eli Zaretskii <eliz@gnu.org>
14803 Avoid inflooping in font-lock
14805 * lisp/font-lock.el (font-lock-extend-region-wholelines): Bind
14806 inhibit-field-text-motion around the call to
14807 line-beginning-position, to avoid inflooping. (Bug#21615)
14809 2015-10-09 Tassilo Horn <tsdh@gnu.org>
14811 Refactor duplicated code; ensure default is in completions
14813 * lisp/textmodes/reftex-cite.el (reftex--query-search-regexps):
14815 (reftex-extract-bib-entries): Use it.
14816 (reftex-extract-bib-entries-from-thebibliography): Use it.
14818 2015-10-09 Vincent Belaïche <vincentb1@users.sourceforge.net>
14820 * doc/misc/autotype.texi (Skeletons as Abbrevs): "if" -> "ifst"
14823 2015-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
14825 * lisp/calc/calc.el: Silence byte-compiler warnings.
14826 (calc-scan-for-dels): Use ignore-errors.
14827 (calc-dispatch, calc-do-dispatch): Make unused arg optional.
14828 (calc-read-key-sequence): Remove unused var `prompt2'.
14829 (calc-kill-stack-buffer): Remove unused var `buflist'.
14830 (calc): Remove unused var `oldbuf'.
14831 (calc-refresh): Use inhibit-read-only.
14832 (calc-can-abbrev-vectors): Declare.
14833 (calc-record): Remove unused var `mainbuf'.
14834 (math-sub-bignum): Remove unused var `sum'.
14835 (math-svo-c, math-svo-wid, math-svo-off): Declare.
14837 2015-10-08 Daiki Ueno <ueno@gnu.org>
14839 Use g_clear_error instead of g_error_free
14841 * src/image.c: Define g_clear_error instead of g_error_free.
14842 (init_svg_functions): Resolve symbol g_clear_error instead of
14844 (svg_load_image): Use g_clear_error instead of g_error_free, to
14845 suppress GLib warnings when ERR is not set. See bug#21641.
14847 2015-10-08 Paul Eggert <eggert@cs.ucla.edu>
14849 * src/image.c (image_size_error): Simplify.
14851 2015-10-08 Paul Eggert <eggert@cs.ucla.edu>
14853 Fix problems caught with --enable-gcc-warnings
14855 * src/image.c (lookup_rgb_color):
14856 * src/xfns.c (x_defined_color):
14857 * src/xterm.c (x_parse_color):
14858 Remove unused locals.
14860 2015-10-08 Jay Belanger <jay.p.belanger@gmail.com>
14862 * lisp/calc/calc.el (calc-digit-start-entry): Fix typo.
14864 2015-10-08 Jay Belanger <jay.p.belanger@gmail.com>
14866 Format initial input uniformly
14868 * lisp/calc/calc.el (calc-digit-start-entry): New function.
14869 * lisp/calc/calc.el (calcDigit-start):
14870 * lisp/calc/calc-aent.el (calc-alg-digit-entry):
14871 Use `calc-digit-start-entry' to format input.
14873 2015-10-08 Ken Raeburn <raeburn@raeburn.org>
14875 Disable non-working pointerColor setting for X tooltip frame
14877 It generates a bunch of server traffic, but there's some bug wherein
14878 the new mouse cursor settings don't seem to get used. In most
14879 situations the cursor isn't likely to be seen anyway, so it's not
14882 * src/xfns.c (x_create_tip_frame): Don't set pointerColor.
14884 2015-10-08 Ken Raeburn <raeburn@raeburn.org>
14886 Reduce some unnecessary X calls
14888 * src/xfns.c (x_real_pos_and_offsets): Remove a redundant XGetGeometry
14889 call. If border width is wanted, get it from the XGetGeometry call
14890 instead of calling XGetWindowAttributes on the same window. Skip some
14891 X calls if we've already detected an error from the X server.
14892 * src/xterm.c (x_wm_supports): Delete x_sync before x_had_errors_p.
14893 (handle_one_xevent): Delete XSync call before x_uncatch_errors.
14895 2015-10-08 Ken Raeburn <raeburn@raeburn.org>
14897 Reduce color allocation/query traffic in the TrueColor case
14899 When working with an X visual with TrueColor class, pixel values can
14900 be generated from the RGB values according to mask value provided by
14901 the server on connection. Some of the image-handling code was already
14904 * src/xterm.h (x_make_truecolor_pixel): New function; code taken from
14906 (x_mutable_colormap): New function.
14907 * src/image.c (lookup_rgb_color): Move pixel composition code to
14908 x_make_truecolor_pixel.
14909 (x_kill_gs_process): Call x_mutable_colormap.
14910 * src/xfaces.c (x_free_colors, x_free_dpy_colors): Call
14911 x_mutable_colormap.
14912 * src/xftfont.c (xftfont_get_colors): Call x_query_colors.
14913 * src/xterm.c (x_query_colors): For a TrueColor display, decompose the
14914 pixel value into RGB values directly, and don't send a request to the
14916 (x_alloc_nearest_color): For a TrueColor display, construct the pixel
14917 value with x_make_truecolor_pixel.
14918 (x_copy_color): For an immutable color map, just return the provided
14921 2015-10-08 Ken Raeburn <raeburn@raeburn.org>
14923 Cache XParseColor results in the X display info structure
14925 With repeated lookups of foreground and background colors for multiple
14926 faces per frame, we issue a lot of redundant color name lookups to the
14927 X server, waiting every time for the response. On a remote network
14928 with, say, 30ms round-trip time, this can add nearly a full second to
14929 creation of a new frame.
14931 * src/gtkutil.c (xg_check_special_colors): Call x_parse_color.
14932 * src/image.c (get_spec_bg_or_alpha_as_argb):
14933 (xpm_init_color_cache, xpm_lookup_color):
14934 * src/xfns.c (x_defined_color):
14935 * src/xterm.c (x_parse_color): New function; caches color names not
14936 starting with "#" in the display-info structure.
14937 (x_delete_display): Delete the cache content.
14938 * src/xterm.h (struct color_name_cache_entry): New type.
14939 (x_parse_color): Declare.
14940 (struct x_display_info): Add a new field for the cache.
14942 2015-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
14944 * src/syntax.c (syms_of_syntax): Make syntax-propertize--done local.
14946 2015-10-07 Eli Zaretskii <eliz@gnu.org>
14948 Fix segfault in image_size_error
14950 * src/image.c (image_size_error): Pass a Lisp string to
14951 image_error, not a C string. (Bug#21641)
14953 2015-10-07 Simen Heggestøyl <simenheg@gmail.com>
14955 Highlight CSS variable definitions
14957 * lisp/textmodes/css-mode.el (css-nmstart-re): Tweak regexp to accept
14958 CSS variables. (Bug#21638)
14960 2015-10-07 Artur Malabarba <bruce.connor.am@gmail.com>
14962 * test/automated/tabulated-list-test.el: New file.
14963 Test bug#21639 and some basic functionality.
14965 2015-10-07 Artur Malabarba <bruce.connor.am@gmail.com>
14967 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-sort):
14968 Check if column can be sorted before trying. (Bug#21639)
14970 2015-10-07 Nicolas Richard <youngfrog@members.fsf.org>
14972 Add test for `self-insert-command' (bug#21633)
14974 * test/automated/cmds-tests.el: New file.
14976 2015-10-07 Martin Rudalics <rudalics@gmx.at>
14978 * src/window.c (resize_frame_windows): Don't set root window's
14979 top position when resizing horizontally.
14981 2015-10-07 Artur Malabarba <bruce.connor.am@gmail.com>
14983 * lisp/progmodes/prog-mode.el (prettify-symbols-alist):
14984 Document more possible values.
14986 2015-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
14988 * lisp/textmodes/tex-mode.el: Use lexical-binding.
14990 2015-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
14992 * lisp/indent.el (indent--default-inside-comment): New function.
14993 (indent-for-tab-command): Use it for `noindent' indentation.
14995 2015-10-06 Paul Eggert <eggert@cs.ucla.edu>
14997 Fix bug in GC_CHECK_MARKED_OBJECTS check
14999 * src/alloc.c (mark_object): Fix bug in checking code.
15000 When GC_CHECK_MARKED_OBJECTS is defined, the bug caused
15001 CHECK_ALLOCATED_AND_LIVE_SYMBOL to repeatedly do the
15002 CHECK_ALLOCATED and CHECK_LIVE tests for the first symbol in each
15003 bucket. The bug did not affect behavior either in the normal case
15004 where GC_CHECK_MARKED_OBJECTS is not defined, or where Emacs does
15005 not have an internal error that a properly-written
15006 CHECK_ALLOCATED_AND_LIVE_SYMBOL would detect.
15008 2015-10-06 Tassilo Horn <tsdh@gnu.org>
15010 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-alist):
15011 Add prettified version for \\Bbb{Q}.
15013 2015-10-06 Artur Malabarba <bruce.connor.am@gmail.com>
15015 * test/automated/package-test.el (package-test-install-single):
15016 Add a test for bug#21625.
15018 2015-10-06 Aaron Ecay <aaronecay@gmail.com>
15020 * lisp/emacs-lisp/package.el (package-install): Fix error when pkg is
15021 not a package-desc object. Also clarify documentation. (Bug#21625)
15023 2015-10-06 Eli Zaretskii <eliz@gnu.org>
15025 Fix display of characters adjacent to ZWJ and ZWNJ
15027 * src/bidi.c (bidi_resolve_neutral): Treat all Bn (a.k.a. "control")
15028 characters the same as directional formatting controls.
15029 (bidi_level_of_next_char): Include all Bn characters in rule L1,
15030 as mandated by the UBA.
15032 2015-10-06 Andreas Schwab <schwab@suse.de>
15034 * src/cmds.c (Fself_insert_command): Don't use XFASTINT on a negative
15035 number. (Bug#21633)
15037 2015-10-05 Xue Fuqiao <xfq.free@gmail.com>
15039 * doc/lispref/objects.texi (Window Type): Add a cross reference.
15041 * src/buffer.c (syms_of_buffer): Typo fix. (Bug#21622)
15043 2015-10-05 Eli Zaretskii <eliz@gnu.org>
15045 * lisp/language/misc-lang.el (composition-function-table):
15046 Fix entries for Arabic and Syriac.
15048 2015-10-05 Damien Cassou <damien@cassou.me>
15050 Add first unit tests for auth-source.el
15052 * test/automated/auth-source-tests.el: New file.
15054 2015-10-05 Eli Zaretskii <eliz@gnu.org>
15056 Remove redundant redisplay code
15058 * src/xdisp.c (redisplay_internal, try_cursor_movement)
15059 (try_window_reusing_current_matrix, try_window_id): Remove
15060 redundant restrictions on redisplay optimizations based on the
15061 frame's 'redisplay' flag. See
15062 http://osdir.com/ml/general/2015-10/msg02110.html for the relevant
15065 2015-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
15067 * src/xdisp.c (windows_or_buffers_changed): Improve docstring.
15069 2015-10-04 Xue Fuqiao <xfq.free@gmail.com>
15071 Update tutorials/TUTORIAL.cn
15073 * etc/tutorials/TUTORIAL.cn: Improve translation.
15075 2015-10-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
15077 * src/macfont.m (macfont_encode_char, syms_of_macfont):
15078 Remove unused vars.
15080 2015-10-04 Stefan Merten <stefan@merten-home.de>
15082 Pull in version numbers from rst.el upstream release.
15084 * lisp/textmodes/rst.el (rst-cvs-header, rst-svn-rev)
15085 (rst-svn-timestamp, rst-official-version)
15086 (rst-official-cvs-rev, rst-package-emacs-version-alist):
15087 Update version numbers.
15089 2015-10-04 Eli Zaretskii <eliz@gnu.org>
15091 * test/automated/coding-tests.el: New file.
15093 2015-10-04 Michael Albinus <michael.albinus@gmx.de>
15095 Improve XEmacs compatibility of Tramp
15097 * lisp/net/tramp-compat.el (directory-listing-before-filename-regexp):
15098 Declare if it doesn't exist.
15099 (file-remote-p): Remove defalias, which was necessary for GNU Emacs 21.
15100 (redisplay): Make it an alias if it doesn't exist.
15102 * lisp/net/tramp.el (tramp-get-remote-tmpdir): Don't use
15103 `file-remote-p' (due to XEmacs compatibility).
15105 * lisp/net/trampver.el (locate-dominating-file)
15106 (tramp-compat-replace-regexp-in-string): Autoload.
15107 (tramp-repository-get-version): Do not dupe byte-compiler.
15109 2015-09-02 K. Handa <handa@gnu.org>
15111 fix for the case that M17N_FLT_USE_NEW_FEATURE is not defined
15113 * src/ftfont.c (ftfont_drive_otf) [not M17N_FLT_USE_NEW_FEATURE]:
15114 Adjusted for the change of type of elements in the array
15115 MFLTGlyphString.glyphs.
15117 2015-10-04 Eli Zaretskii <eliz@gnu.org>
15118 Michael Heerdegen <michael_heerdegen@web.de>
15120 shr: fix too long lines in rendered buffers (Bug#21012)
15122 * lisp/net/shr.el (shr-insert-document, shr-fill-text):
15123 Correct calculation of available width.
15124 (shr-find-fill-point): Don't overflow window edge if shr-kinsoku-shorten
15127 2015-10-04 Vincent Belaïche <vincentb1@users.sourceforge.net>
15129 Restore blank line before next section, erroneously erased
15130 in my previous commit
15132 * etc/compilation.txt (symbol ant): Add an additional trailing blank
15133 line to this section, so that there are two of them immediately before
15136 2015-10-04 Vincent Belaïche <vincentb1@users.sourceforge.net>
15138 Support MSW filename style for ant compilation error regexp
15140 * etc/compilation.txt (symbol ant):
15141 * lisp/progmodes/compile.el (compilation-error-regexp-alist-alist):
15142 Support MSW filename style.
15144 2015-10-03 Paul Eggert <eggert@cs.ucla.edu>
15146 * nt/INSTALL: Minor spelling and quote fixes.
15148 * lisp/ibuffer.el: Fix docstring length (Bug#21541).
15150 2015-10-03 Simen Heggestøyl <simenheg@gmail.com>
15152 Maintain ordering of JSON object keys by default
15154 * lisp/json.el (json-object-type): Mention order handling in doc-string.
15155 (json--plist-reverse): New utility function.
15156 (json-read-object): Maintain ordering for alists and plists.
15157 (json-pretty-print): Ensure that ordering is maintained.
15159 * test/automated/json-tests.el (test-json-plist-reverse): New test for
15160 `json--plist-reverse'.
15161 (json-read-simple-alist): Update test to accommodate for changes in
15162 `json-read-object'.
15164 * etc/NEWS: Document the new behavior of the pretty printing functions.
15166 2015-10-03 Andreas Schwab <schwab@linux-m68k.org>
15168 * src/coding.c (complement_process_encoding_system): Revert last change.
15170 2015-10-03 Ulf Jasper <ulf.jasper@web.de>
15172 * admin/MAINTAINERS: Add entry for Ulf Jasper.
15174 2015-10-03 Xue Fuqiao <xfq.free@gmail.com>
15176 Doc fix for `defmacro'
15178 * doc/lispref/objects.texi (Macro Type): `defmacro' is a macro now.
15180 2015-10-03 Andreas Schwab <schwab@linux-m68k.org>
15182 More validatation of coding systems
15184 * src/fileio.c (Finsert_file_contents): Remove redundant
15185 coding-system check.
15186 (choose_write_coding_system): Likewise.
15187 * src/coding.c (complement_process_encoding_system): Check argument
15188 for valid coding system.
15190 2015-10-03 Eli Zaretskii <eliz@gnu.org>
15192 Avoid crashes in coding_inherit_eol_type
15194 * src/coding.c (coding_inherit_eol_type): Check the validity of
15195 the arguments. Suggested by Andreas Schwab <schwab@linux-m68k.org>.
15198 2015-10-03 Eli Zaretskii <eliz@gnu.org>
15200 More validatation of coding system in 'write-region'
15202 * src/coding.c (choose_write_coding_system): More validation of
15203 coding-system from various sources. Suggested by Andreas Schwab
15204 <schwab@linux-m68k.org>. (Bug#21602)
15206 2015-10-03 Eli Zaretskii <eliz@gnu.org>
15208 Avoid crashes due to invalid coding-system
15210 * src/fileio.c (choose_write_coding_system)
15211 (Finsert_file_contents): Check validity of coding-system-for-write
15212 and coding-system-for-read bound by the caller. (Bug#21602)
15214 2015-10-03 Tassilo Horn <tsdh@gnu.org>
15216 Adapt to new prettify-symbols-unprettify-at-point default
15218 * etc/NEWS: Mention that unprettication of symbol at point is off
15221 2015-10-03 Tassilo Horn <tsdh@gnu.org>
15223 Revert my two recent process.c changes
15225 Revert "Improve last commit to process.c" and "Remove callback-handled
15226 channels from Available set" because they did not fix bug#21313.
15228 This reverts commits bfa1aa8e2bdaf14adbbf1e9e824051d3f740694c and
15229 27f871907cc24f33a7d12ac3a4ab71a88f0bc554.
15231 2015-10-02 Markus Triska <triska@metalevel.at>
15233 * lisp/progmodes/prolog.el: Update and extend operator table.
15234 (prolog-smie-grammar): Add multifile, public etc.
15236 2015-10-02 Paul Eggert <eggert@cs.ucla.edu>
15238 Allow autogen even when Git is not installed
15240 * autogen.sh: Test ‘git status’ before trying to use Git.
15242 2015-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
15244 * lisp/vc/vc-git.el (vc-git-region-history): Handle local changes.
15245 Adjust lto/lfrom when we have uncommitted changes.
15247 2015-10-02 Paul Eggert <eggert@cs.ucla.edu>
15249 Fix problems found by clang 3.5.0
15251 * src/cmds.c (Fdelete_char): Don’t assume XINT returns int.
15252 * src/font.c (font_parse_family_registry):
15253 Use &"str"[X] instead of "str"+X, to pacify clang -Wstring-plus-int.
15255 2015-10-02 Eli Zaretskii <eliz@gnu.org>
15257 * nt/INSTALL: Update instructions for running autogen.sh.
15259 * nt/INSTALL: Point to ezwinports for libXpm binaries.
15261 2015-10-02 Daniel Colascione <dancol@dancol.org>
15263 Fix winner in cl-lib not loaded case
15265 * lisp/winner.el (winner-change-fun): Don't use cl-lib functions
15266 without requiring CL
15268 2015-10-02 Paul Eggert <eggert@cs.ucla.edu>
15270 Fix a few problems with directed quotes
15272 This is in response to a problem report by Kaushal Modi in:
15273 http://bugs.gnu.org/21588#25
15274 * lisp/cedet/mode-local.el (describe-mode-local-overload):
15275 * lisp/emacs-lisp/bytecomp.el (byte-compile-fix-header):
15276 * lisp/info-xref.el (info-xref-check-all-custom):
15277 * lisp/mail/emacsbug.el (report-emacs-bug-hook):
15278 Prefer directed to undirected single quotes in diagnostics.
15280 2015-10-01 Eli Zaretskii <eliz@gnu.org>
15282 Revert "Attempt to fix slow redisplay caused by last changes"
15284 * src/xdisp.c (try_window_id, try_window_reusing_current_matrix)
15285 (try_cursor_movement): Don't relax requirements for redisplay
15286 optimizations for the selected frame. (Bug#21597)
15288 This reverts commit c4c1fb97727ff52bcfa83ad5ed94a64a93d12e59.
15290 2015-10-01 Eli Zaretskii <eliz@gnu.org>
15292 Fix slow redisplay when daemon frame exists
15294 * src/xdisp.c (redisplay_internal): Don't consider daemon frames
15295 when looking for frames that need to be redisplayed. (Bug#21597)
15297 2015-10-01 Eli Zaretskii <eliz@gnu.org>
15299 Attempt to fix slow redisplay caused by last changes
15301 * src/xdisp.c (try_window_id, try_window_reusing_current_matrix)
15302 (try_cursor_movement): Relax requirements for redisplay
15303 optimizations for the selected frame. (Bug#21597)
15305 2015-10-01 Stephen Leake <stephen_leake@stephe-leake.org>
15307 * src/dired.c (Ffile_name_completion, Ffile_name_all_completions):
15308 Improve doc string.
15310 2015-10-01 Stephen Leake <stephen_leake@stephe-leake.org>
15312 * lisp/minibuffer.el (minibuffer-completion-help):
15313 Set default base-size, in case completion table does not set it.
15315 2015-10-01 Eli Zaretskii <eliz@gnu.org>
15317 Fix GUD display of GDB output with non-ASCII text
15319 * lisp/progmodes/gdb-mi.el (gdb-mi-decode-strings): New defcustom.
15320 (gdb-mi-decode): New function.
15321 (gud-gdbmi-marker-filter): If gdb-mi-decode-strings is non-nil,
15322 decode octal escapes in GDB output. (Bug#21572)
15324 2015-10-01 Eli Zaretskii <eliz@gnu.org>
15326 * nt/INSTALL: Document where to find XPM support files.
15328 2015-10-01 Tassilo Horn <tsdh@gnu.org>
15330 Un- and re-prettification are not exclusive
15332 * lisp/progmodes/prog-mode.el (prettify-symbols--post-command-hook):
15333 Re-apply prettification to previous symbol also when unprettifying
15336 2015-10-01 Tassilo Horn <tsdh@gnu.org>
15338 Don't unprettify symbol at point by default
15340 * lisp/progmodes/prog-mode.el (prettify-symbols-unprettify-at-point):
15341 Default to disabled (nil).
15343 2015-09-30 Artur Malabarba <bruce.connor.am@gmail.com>
15345 * lisp/progmodes/prog-mode.el (prettify-symbols-unprettify-at-point):
15346 Support unprettifying when point is after a symbol.
15348 * etc/NEWS: Document `prettify-symbols-unprettify-at-point'.
15350 2015-09-30 Eli Zaretskii <eliz@gnu.org>
15352 Avoid assertion violations in push_prefix_prop
15354 * src/xdisp.c (push_prefix_prop): Avoid assertion violations when
15355 a line that has a line-prefix defined starts with an image. (Bug#21428)
15357 2015-09-30 Eli Zaretskii <eliz@gnu.org>
15359 Disable some display optimizations when frames need redisplay
15361 These optimizations were previously disabled by the
15362 windows_or_buffers_changed flag, which now is not set
15363 when only some frames need to be redrawn.
15364 * src/xdisp.c (redisplay_internal): Redisplay any frame whose
15365 'redisplay' flag is set.
15366 (try_window_reusing_current_matrix, try_window_id)
15367 (try_cursor_movement): Disable these optimizations when the
15368 frame's 'redisplay' flag is set.
15370 2015-09-30 Tassilo Horn <tsdh@gnu.org>
15372 Don't modify buffer by unprettification
15374 * lisp/progmodes/prog-mode.el (prettify-symbols--compose-symbol):
15375 (prettify-symbols--post-command-hook, prettify-symbols-mode): Don't
15376 modify buffer when setting/removing custom prettify-symbols-start/end
15377 text properties. Add them to font-lock-extra-managed-props, too.
15379 2015-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
15381 Try to avoid redisplaying all frames when creating a new one
15383 * src/xfns.c (x_set_menu_bar_lines, x_change_tool_bar_height):
15384 * src/xfaces.c (Finternal_make_lisp_face, Finternal_copy_lisp_face)
15385 (Finternal_set_lisp_face_attribute, update_face_from_frame_parameter):
15386 * src/frame.c (x_set_screen_gamma): Set the specific frame's
15387 `redisplay' bit rather than windows_or_buffers_changed.
15389 * src/window.c (apply_window_adjustment): Remove redundant setting of
15390 windows_or_buffers_changed.
15392 * src/xdisp.c (redisplay_internal): Set the specific frame's
15393 `redisplay' bit rather than update_mode_lines in response to
15394 cursor_type_changed.
15395 (syms_of_xdisp): Use hash-tables for redisplay_*_cause tables.
15396 (AINC): Adjust accordingly.
15398 2015-09-30 Tassilo Horn <tsdh@gnu.org>
15400 Implement unprettification of symbol at point
15402 * lisp/progmodes/prog-mode.el: Implement feature for unprettifying the
15404 (prettify-symbols--current-symbol-bounds): New variable.
15405 (prettify-symbols--post-command-hook): New function.
15406 (prettify-symbols-unprettify-at-point): New defcustom.
15407 (prettify-symbols-mode): Use it.
15408 (prettify-symbols--compose-symbol): Use them.
15410 2015-09-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
15412 * src/macfont.m (mac_font_descriptor_supports_languages):
15413 Regard "zh" as synonym of "zh-Hans".
15415 2015-09-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
15417 Work around crash when displaying etc/HELLO on OS X 10.11
15419 * src/macfont.m (mac_font_get_weight)
15420 (mac_font_descriptor_get_adjusted_weight): New functions.
15421 (macfont_store_descriptor_attributes): Adjust weight.
15423 2015-09-30 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
15425 * src/macfont.m: Fix compilation with USE_CT_GLYPH_INFO.
15427 2015-09-30 Nicolas Petton <nicolas@petton.fr>
15429 * lisp/arc-mode.el (archive-rar-summarize): Better alignment
15432 2015-09-30 Nicolas Petton <nicolas@petton.fr>
15434 Use unar and lsar to handle RAR archives in arc-mode
15436 * lisp/arc-mode.el (archive-rar-extract, archive-extract-by-file): Rely
15437 on unar and lsar instead of unrar-free for RAR archives (bug#17663).
15439 2015-09-30 Wieland Hoffmann <themineo@gmail.com> (tiny change)
15441 Clarify :create in auth-source's docs
15443 * lisp/gnus/auth-source.el (auth-source-search):
15444 Clarify :create's meaning.
15446 2015-09-30 Phil Sainty <psainty@orcon.net.nz>
15448 Avoid empty -path arguments in rgrep
15450 * lisp/progmodes/grep.el (rgrep-default-command): Remove nil from
15451 the list produced according to grep-find-ignored-directories,
15452 before passing it to Find/Grep invocation. (Bug#21548)
15454 2015-09-30 Eli Zaretskii <eliz@gnu.org>
15456 Clarify documentation of pos-visible-in-window-p
15458 * src/window.c (Fpos_visible_in_window_p): Clarify the meaning of
15460 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg01040.html
15461 for the original report.
15463 * doc/lispref/windows.texi (Window Start and End): Clarify the
15464 meaning of t for the POSITION argument of pos-visible-in-window-p.
15466 2015-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
15468 * lisp/progmodes/prolog.el: Fix various indentation cases.
15469 (prolog-operator-chars): New const (add \\).
15470 (prolog-smie-forward-token, prolog-smie-backward-token): Use it.
15471 (prolog-smie-rules): Add rules according to bug#21526.
15473 2015-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
15475 * lisp/progmodes/sh-script.el: Old "dumb" continued line indent.
15476 (sh-indent-after-continuation): Add new value `always' (bug#17620)
15477 (sh-smie-sh-rules): Remove old handling of continued lines.
15478 (sh-smie--indent-continuation): New function.
15479 (sh-set-shell): Use it.
15481 2015-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
15483 * lisp/progmodes/octave.el: Use grammar more; Handle enumeration fun.
15484 Remove redundant :group keyword args.
15485 (octave-begin-keywords, octave-else-keywords, octave-end-keywords):
15487 (octave-operator-table, octave-smie-bnf-table): Use let-when-compile to
15488 turn them into compile-time variables.
15489 Auto-generate the "foo ... end" rules from the "foo ... endfoo" rules.
15490 Add rules for break, continue, return, global, and persistent.
15491 Refine the rule for "until".
15492 (octave-smie--funcall-p, octave-smie--end-index-p)
15493 (octave-smie--in-parens-p): New functions.
15494 (octave-smie-backward-token, octave-smie-forward-token): Use them to
15495 distinguish the "enumeration" function and the "end" index from
15496 their corresponding keywords.
15497 (octave--block-offset-keywords): New constant.
15498 (octave-smie-rules): Use it. Adjust rules for new global/persistent
15500 (octave-reserved-words): Redefine using octave-smie-grammar.
15501 (octave-font-lock-keywords): Use octave-smie--funcall-p and
15502 octave-smie--end-index-p.
15504 2015-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
15506 * lisp/emacs-lisp/lisp-mode.el (let-when-compile): Work like let*.
15508 2015-09-29 Eli Zaretskii <eliz@gnu.org>
15510 * nt/INSTALL: Remove references to GTK site.
15511 That site no longer offers Windows downloads.
15513 2015-09-29 Eli Zaretskii <eliz@gnu.org>
15515 * nt/INSTALL: Add instructions for installing Git.
15517 2015-09-29 Katsumi Yamaoka <yamaoka@jpl.org>
15519 * lisp/net/shr.el (shr-colorize-region): Allow 88-color tty to
15520 use colors. Suggested by Eli Zaretskii.
15522 2015-09-28 Katsumi Yamaoka <yamaoka@jpl.org>
15524 * lisp/net/shr.el (shr-colorize-region): Don't do it on a system
15525 not supporting 256 above colors (bug#21557).
15527 2015-09-28 Dmitry Gutov <dgutov@yandex.ru>
15529 Revert "Don't rely on defaults in decoding UTF-8 encoded Lisp files"
15531 This reverts commit db828f62f6f17414fbbc3206dac123dc73dd6055.
15533 2015-09-28 Nicolas Petton <nicolas@petton.fr>
15535 Add documentation for seq.el
15537 * doc/lispref/sequences.texi: Add documentation regarding extending
15538 seq.el, as well as missing documentation for seq-elt, seq-length, seq-p,
15539 seq-do and seq-map.
15541 2015-09-28 Nicolas Petton <nicolas@petton.fr>
15543 Better documentation for seq-some
15545 * doc/lispref/sequences.texi:
15546 * lisp/emacs-lisp/seq.el: Update the documentation of seq-some to
15547 guarantee that the returned value is the first non-nil value that
15548 resulted from applying the predicate.
15550 2015-09-28 Nicolas Petton <nicolas@petton.fr>
15552 * lisp/arc-mode.el: Sharp-quote function arguments.
15554 2015-09-28 Eli Zaretskii <eliz@gnu.org>
15556 Avoid redisplay error in ediff-regions-wordwise
15558 * lisp/vc/ediff-util.el
15559 (ediff-clone-buffer-for-region-comparison): Make sure the mark is
15560 set before activating it. (Bug#21567)
15562 2015-09-28 Eli Zaretskii <eliz@gnu.org>
15564 Another attempt to fix crashes due to prematurely freed faces
15566 * src/xdisp.c (redisplay_internal): Inhibit freeing of realized
15567 faces for as long as we might have desired matrices that reference
15568 those faces. (Bug#21428)
15570 2015-09-28 Tassilo Horn <tsdh@gnu.org>
15572 Add auctex development list email address
15574 2015-09-28 Tassilo Horn <tsdh@gnu.org>
15576 * admin/MAINTAINERS: Add entries for AUCTeX team and myself.
15578 2015-09-28 Arash Esbati <esbati@gmx.de> (tiny change)
15580 Improve wrapfig package support and caption parsing
15582 * lisp/textmodes/reftex-vars.el (reftex-label-alist-builtin):
15583 Correct description string and add wraptable environment.
15584 (reftex-default-context-regexps): Improve caption regexp.
15586 2015-09-28 Anders Lindgren <andlind@gmail.com>
15588 Respect value of frame_resize_pixelwise when handling fullscreen state
15590 * src/nsterm.m (handleFS): Respect frame_resize_pixelwise when
15591 setting size increments.
15593 2015-09-27 Michael Albinus <michael.albinus@gmx.de>
15595 * src/gfilenotify.c (Fgfile_add_watch): Cleanup temporary variable.
15597 2015-09-27 Simen Heggestøyl <simenheg@gmail.com>
15599 Add prettify-symbols-alist for js-mode
15601 * lisp/progmodes/js.el (js--prettify-symbols-alist): New defconst.
15604 2015-09-27 Eli Zaretskii <eliz@gnu.org>
15606 * nt/subdirs.el: File deleted (no longer used).
15608 2015-09-26 Alan Mackenzie <acm@muc.de>
15610 Fix follow-scroll-up/down, making them replacements for scroll-up/down
15612 1. Allow point to move between follow windows in scroll operations.
15613 2. Fix bug where `right-char' just before EOB caused spurious scrolling,
15614 when EOB was isolated in the last follow window.
15616 * lisp/follow.el (follow-fixed-window): New variable.
15617 (follow-get-scrolled-point): New function.
15618 (follow-scrol-up, follow-scroll-down): Add autoload cookies.
15619 Reformulate the code. Put `scroll-command' properties on the functions.
15620 Correct minor errors in ...-down's doc string and code.
15621 (follow-calc-win-end): Amend incomplete doc string. Use
15622 `pos-visible-in-window-p' to check whether EOB is in the window.
15623 (follow-estimate-first-window-start): Correct an off-by-1 error.
15624 (follow-adjust-window): Add handling for explicit scrolling operations.
15626 2015-09-26 Paul Eggert <eggert@cs.ucla.edu>
15628 * admin/MAINTAINERS: Add self, plus list some more files
15631 2015-09-26 Zachary Kanfer <zkanfer@gmail.com> (tiny change)
15633 New DWIM commands for changing letter-case
15635 * lisp/simple.el (upcase-dwim, downcase-dwim, capitalize-dwim):
15636 New functions. (Bug#21501)
15638 2015-09-26 Eli Zaretskii <eliz@gnu.org>
15640 * etc/PROBLEMS: Document problems with pasting on MS-Windows.
15642 2015-09-26 Eli Zaretskii <eliz@gnu.org>
15644 Make face realization be more frame-specific
15646 * src/frame.h (struct f): New flag face_change.
15647 * src/xfaces.c (Finternal_make_lisp_face)
15648 (Finternal_copy_lisp_face, Finternal_set_lisp_face_attribute)
15649 (update_face_from_frame_parameter): Set the face_change flag only
15650 for the frame whose faces are affected.
15651 * src/xdisp.c (init_iterator): If a frame's face_change flag is
15652 set, free faces only on that frame.
15653 (redisplay_internal): Disable "display optimization 1" if the
15654 frame's face_change flag is set.
15655 (redisplay_window): Don't allow skipping a window's redisplay if
15656 its frame's face_change flag is set.
15657 * src/frame.c (x_set_screen_gamma): Instead of calling
15658 Fclear_face_cache, call clear_face_cache and set
15659 windows_or_buffers_changed to a non-zero value. This avoids
15660 setting the global face_change flag that triggers face realization
15661 on all frames and thorough redisplay of all of them.
15663 * lisp/term/tty-colors.el (tty-register-default-colors): Don't
15664 clear face cache if the selected frame is a GUI frame.
15666 2015-09-26 Tassilo Horn <tsdh@gnu.org>
15668 Remove font-latex specific check
15670 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-compose-p):
15671 Use syntax-ppss data to identify verbatim contents.
15673 2015-09-25 Tassilo Horn <tsdh@gnu.org>
15675 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-compose-p):
15676 Fix some false negatives.
15678 2015-09-25 Eli Zaretskii <eliz@gnu.org>
15680 Reorder Windows version in Emacs manifests
15682 * nt/emacs-x64.manifest:
15683 * nt/emacs-x86.manifest: Reorder Windows version from lowest to
15686 2015-09-25 Eli Zaretskii <eliz@gnu.org>
15688 Update Emacs manifest files for Windows 10
15690 * nt/emacs-x86.manifest:
15691 * nt/emacs-x64.manifest: Declare compatibility with Windows 10.
15693 2015-09-25 Eli Zaretskii <eliz@gnu.org>
15695 Avoid non-ASCII decoding errors in C src files
15700 * src/doprnt.c: Add 'coding' cookies -- these files include
15701 Unicode characters and should be decoded as UTF-8.
15703 2015-09-25 Alan Mackenzie <acm@muc.de>
15705 Resurrect edebug-set-initial-mode, repurposing it to set the global mode
15707 * lisp/emacs-lisp/edebug.el (edebug-initial-mode-alist): Uncomment, and
15708 amend to match current modes and functions.
15709 (edebug-set-initial-mode): Uncomment and change from setting a defun's
15710 `edebug-initial-mode''s property to setting the variable
15711 `edebug-initial-mode'.
15712 (top level): Create new binding C-x C-a C-m for
15713 `edebug-set-initial-mode'.
15715 * doc/lispref/edebug.texi (Edebug Execution Modes): Document
15716 `edebug-set-initial-mode' and its new key binding.
15717 (Edebug Options): Mention the new command in the pertinent place.
15719 * etc/NEWS: Write entry for this change.
15721 2015-09-25 Eli Zaretskii <eliz@gnu.org>
15723 Avoid non-ASCII decoding errors in Texinfo files
15725 * doc/misc/tramp.texi:
15726 * doc/lispref/strings.texi:
15727 * doc/lispref/positions.texi:
15728 * doc/lispref/help.texi:
15729 * doc/lispref/functions.texi:
15730 * doc/lispintro/emacs-lisp-intro.texi:
15731 * doc/emacs/text.texi:
15732 * doc/emacs/modes.texi:
15733 * doc/emacs/mini.texi:
15734 * doc/emacs/display.texi:
15735 * doc/emacs/custom.texi:
15736 * doc/emacs/basic.texi: Add 'coding' cookies -- these files use
15737 Unicode characters and should be decoded as UTF-8.
15738 * doc/lispref/frames.texi (Size Parameters): Don't use a non-ASCII
15739 apostrophe unnecessarily.
15741 2015-09-25 Paul Eggert <eggert@cs.ucla.edu>
15746 2015-09-25 c-ctype: rewrite to use inline functions
15747 2015-09-24 maint: add coding cookies to non-ASCII sources
15748 2015-09-24 gitlog-to-changelog: trim only trailing whitespaces
15749 * build-aux/gitlog-to-changelog, doc/misc/texinfo.tex:
15750 * lib/acl-internal.c, lib/acl-internal.h, lib/c-ctype.c:
15751 * lib/c-ctype.h, lib/get-permissions.c, lib/qcopy-acl.c:
15752 * lib/set-permissions.c:
15755 2015-09-24 Paul Eggert <eggert@cs.ucla.edu>
15757 Update publicsuffix.txt from upstream
15759 * etc/publicsuffix.txt: Update from
15760 https://publicsuffix.org/list/effective_tld_names.dat
15761 dated 2015-09-24 17:29:21 UTC.
15763 2015-09-24 Eli Zaretskii <eliz@gnu.org>
15765 Prevent timers from messing up TTY menus
15767 * src/term.c (tty_menu_activate): Inhibit redisplay for as long as
15768 the TTY menu is open. (Bug#21530)
15770 2015-09-24 Paul Eggert <eggert@cs.ucla.edu>
15772 No need to mention K&R C in c-mode intro
15774 2015-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
15776 Fix recent bootstrap problems
15778 * src/syntax.c (parse_sexp_propertize): Fix last fix.
15779 * lisp/nxml/nxml-mode.el (nxml-comment-quote-nested): Fix paren typo.
15780 * lisp/emacs-lisp/lisp-mode.el: Require cl-lib for cl-progv.
15782 2015-09-24 Michael Albinus <michael.albinus@gmx.de>
15784 * src/gfilenotify.c (Fgfile_add_watch): Decrease polling rate.
15786 2015-09-23 Ivan Andrus <darthandrus@gmail.com>
15788 Properly quote nested xml comments (Bug#6267) (Bug#20001)
15790 * lisp/nxml/nxml-mode.el (nxml-comment-quote-nested): New function.
15791 (nxml-mode): Set comment-quote-nested-function.
15793 2015-09-23 Ivan Andrus <darthandrus@gmail.com>
15795 Allow major-modes full control over quoting nested comments
15797 * lisp/newcomment.el (comment-quote-nested-function): New variable.
15798 (comment-quote-nested-default): New function.
15799 (comment-quote-nested): Use `comment-quote-nested-function'.
15801 2015-09-23 Paul Eggert <eggert@cs.ucla.edu>
15803 Prefer CALLN in a few more places
15805 * src/macfont.m (macfont_set_family_cache):
15806 * src/nsterm.m (append2):
15807 * src/xterm.c (x_cr_export_frames):
15808 Prefer CALLN to allocating the arg arrays by hand.
15810 2015-09-23 Michael Albinus <michael.albinus@gmx.de>
15812 Adapt file-notify-test02-events test case
15814 * test/automated/file-notify-tests.el (file-notify-test02-events):
15815 Create a new watch for every test.
15817 2015-09-23 Michael Albinus <michael.albinus@gmx.de>
15819 Continue gfilenotify.c implementation of missing parts
15821 * lisp/filenotify.el (file-notify-add-watch): Append `flags' to
15822 `gfile-add-watch' call.
15823 (file-notify-rm-watch): Modify `file-notify-descriptors' only
15824 after calling the low level functions.
15826 * src/gfilenotify.c (dir_monitor_callback): Check, whether
15827 event_type is expected.
15828 (Fgfile_add_watch): Allow also `change'and `attribute-change' for FLAGS.
15829 (Fgfile_rm_watch): Fix typo.
15830 (syms_of_gfilenotify): Declare Qchange and Qattribute_change.
15832 2015-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
15834 * src/syntax.c (parse_sexp_propertize): Handle spurious
15835 e_property_truncated flag.
15836 (update_syntax_table_forward): Remove invalid assertion.
15838 2015-09-23 Eli Zaretskii <eliz@gnu.org>
15840 * src/xdisp.c (produce_stretch_glyph): Support ':relative-width'
15841 space display spec on text-mode terminals, by calling
15842 PRODUCE_GLYPHS instead of x_produce_glyphs. Remove the
15843 HAVE_WINDOW_SYSTEM guards from the supporting code, as well as the
15844 test for a GUI frame.
15846 2015-09-23 Oleh Krehel <ohwoeowho@gmail.com>
15848 Move let-when-compile to lisp-mode.el
15850 This fixes the bootstrapping problem of `let-when-compile' using
15851 `cl-progv' while being in subr.el (i.e. before cl stuff was loaded).
15853 2015-09-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
15855 * lisp/url/url-http.el (url-http-parse-headers): Do not
15856 automatically include Authorization header in redirect.
15859 2015-09-22 Eli Zaretskii <eliz@gnu.org>
15861 Clarify documentation of ':relative-width'
15863 * doc/lispref/display.texi (Specified Space): Document that
15864 ':relative-width' is only supported on GUI frames.
15866 2015-09-22 Eli Zaretskii <eliz@gnu.org>
15868 Fix 'current-column' in presence of :relative-width
15870 * src/indent.c (check_display_width): Support ':relative-width'
15871 in a display spec that specifies a stretch glyph. (Bug#21533)
15873 2015-09-22 Ken Manheimer <ken.manheimer@gmail.com>
15875 Reformat the pdbtrack remote-file fix ChangeLog.2 entry
15877 ... to conform better to CONTRIBUTE guidelines.
15879 2015-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
15881 * lisp/progmodes/prolog.el: Fix indentation of empty line
15883 * lisp/emacs-lisp/smie.el (smie-rules-function): Document new
15884 `empty-line-token' element.
15885 (smie-indent-empty-line): New function.
15886 (smie-indent-functions): Add it.
15888 * lisp/progmodes/prolog.el (prolog-smie-rules): Fix :list-intro
15889 behavior and use the new `empty-line-token' element (bug#21526).
15890 (prolog-mode-variables): Fix comment-start-skip setting to match
15893 * test/indent/prolog.prolog: Add nested indentation tests.
15895 * lisp/newcomment.el (comment-normalize-vars): Fix default value of
15896 comment-start-skip not to misuse submatch 1.
15898 2015-09-22 Alan Mackenzie <acm@muc.de>
15900 Make description of `edebug-initial-mode' user friendly
15904 * doc/lispref/edebug.texi (Edebug Execution Modes): Change the
15905 description of `edebug-initial-mode' from that of its implementation
15906 to that of its visual effect and use. Move the paragraph higher up.
15908 2015-09-22 Eli Zaretskii <eliz@gnu.org>
15910 lisp/progmodes/gud.el (gud-format-command): Fix last commit
15912 * lisp/progmodes/gud.el (gud-format-command): Don't use Tramp internal
15913 functions 'tramp-file-name-localname' and 'tramp-dissect-file-name'.
15915 2015-09-22 Tassilo Horn <tsdh@gnu.org>
15917 Improve last commit to process.c
15919 2015-09-22 Michael Albinus <michael.albinus@gmx.de>
15921 Implement gfile-valid-p
15923 * lisp/filenotify.el (file-notify-callback): Fix typo.
15924 (gfile-valid-p): Remove defalias.
15926 * src/gfilenotify.c (dir_monitor_callback): Cancel the monitor if
15927 the file or directory to be watched is deleted.
15928 (Fgfile_add_watch): Make watch_object a triple.
15929 (Fgfile_rm_watch): Check, whether watch is cancelled already.
15930 (Fgfile_valid_p): New defun.
15931 (syms_of_gfilenotify): Declare Sgfile_valid_p.
15933 2015-09-22 Tassilo Horn <tsdh@gnu.org>
15935 Remove callback-handled channels from Available set
15937 * src/process.c (wait_reading_process_output): Remove channel from
15938 Available set if it is handled by a callback, e.g., dbus or
15939 inotify (bug#21313).
15941 2015-09-21 Mark Oteiza <mvoteiza@udel.edu>
15943 Use lunate epsilon for TeX \epsilon
15945 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-alist):
15946 Add \varepsilon using GREEK SMALL LETTER EPSILON, and change
15947 \epsilon to use GREEK LUNATE EPSILON SYMBOL
15949 2015-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
15951 * lisp/progmodes/prolog.el: Fix nested electric if-then-else
15953 * lisp/progmodes/prolog.el (prolog-find-unmatched-paren): Use innermost
15954 rather than outermost paren (bug#21526).
15956 2015-09-21 Paul Eggert <eggert@cs.ucla.edu>
15958 Improve git diff hunk headers for .el, .texi
15960 Problem reported by Alan Mackenzie in:
15961 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00826.html
15962 * .gitattributes (*.el, *.texi): New patterns.
15963 * autogen.sh: Configure diff.elisp.xfuncname and
15964 diff.texinfo.xfuncname if using Git.
15966 2015-09-21 Eli Zaretskii <eliz@gnu.org>
15968 Don't rely on defaults in decoding UTF-8 encoded Lisp files
15971 * lisp/textmodes/rst.el:
15972 * lisp/whitespace.el: Add an explicit UTF-8 encoding tag.
15974 2015-09-21 Paul Eggert <eggert@cs.ucla.edu>
15976 Clarify or replace a few \u escapes
15978 * doc/lispref/nonascii.texi (Character Properties)
15979 More-detailed commentary for \u escapes.
15980 * lisp/progmodes/python.el (python--prettify-symbols-alist):
15981 * lisp/replace.el (query-replace-from-to-separator):
15982 * lisp/textmodes/rst.el (rst-bullets, rst-re-alist-def)
15983 (rst-mode-syntax-table):
15984 * lisp/whitespace.el (whitespace-display-mappings):
15985 Prefer actual character to \u escape when this makes the code
15986 easier to follow in the usual case where Unicode chars can be
15989 2015-09-21 Paul Eggert <eggert@cs.ucla.edu>
15991 Pacify GCC -Wmaybe-uninitialized in xdisp.c
15993 * src/xdisp.c (face_before_or_after_it_pos): Use do-while rather
15994 than while loop to avoid GCC -Wmaybe-uninitialized diagnostic with
15995 charpos. The loop should always execute at least once anyway.
15997 2015-09-21 Tassilo Horn <tsdh@gnu.org>
15999 Signal error on invalid regexp
16001 * lisp/textmodes/reftex-cite.el (reftex-extract-bib-entries):
16002 Signal an error when the user tries searching with a regexp
16003 matching the empty string.
16005 2015-09-21 Eli Zaretskii <eliz@gnu.org>
16007 Another fix of file-notify-tests for w32notify
16009 * test/automated/file-notify-tests.el (file-notify-test02-events):
16010 Further adaptation for w32notify: reduce the number of expected
16011 'changed' events. (Bug#21435)
16013 2015-09-21 Michael Albinus <michael.albinus@gmx.de>
16015 Adapt tests and manual for w32notify
16017 * doc/lispref/os.texi (File Notifications): w32notify does not
16018 send `attribute-changed' events.
16020 * test/automated/file-notify-tests.el (file-notify--test-with-events):
16021 Simplify parameters. Adapt all callees.
16022 (file-notify-test02-events): w32notify does not send
16023 `attribute-changed' events.
16024 (file-notify-test04-file-validity, file-notify-test05-dir-validity):
16025 Do not skip in case of w32notify. Simply ignore this part of the test.
16027 2015-09-21 Dima Kogan <dima@secretsauce.net>
16029 Fix setting breakpoints when remote-debugging
16031 * lisp/progmodes/gud.el (gud-format-command): Send localized file
16032 names to the debugger running on the remote. (Bug#13304)
16034 2015-09-21 Nicolas Petton <nicolas@petton.fr>
16036 Better docstring and parameter name for seq-find
16038 * lisp/emacs-lisp/seq.el (seq-find): Improve the docstring and rename
16039 the parameter `sentinel' to `default'.
16041 * doc/lispref/sequences.texi (Sequence Functions): Update the
16042 documentation for `seq-find' accordingly.
16044 2015-09-21 Eli Zaretskii <eliz@gnu.org>
16046 Avoid infinite recursion while displaying box face
16048 * src/xdisp.c (face_before_or_after_it_pos): Fix calculation of
16049 the previous string/buffer character position under bidi
16050 iteration. (Bug#21428)
16052 2015-09-21 Anders Lindgren <andlind@gmail.com>
16054 Keep upper edge unchanged when changing size of NS frame
16056 * src/nsterm.m (x_set_window_size): Keep upper frame edge unchanged
16059 2015-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
16061 * lisp/progmodes/prolog.el: Improve handling of if/then/else.
16062 (prolog-smie-rules): Accommodate standard if/then/else special
16064 (prolog-mode): Add . to electric-indent-chars.
16065 (prolog-electric--if-then-else): Re-indent the line before adding space
16066 after the new char (bug#21526).
16068 2015-09-20 Mark Oteiza <mvoteiza@udel.edu>
16070 Add prettify symbols to python-mode
16072 * lisp/progmodes/python.el (python-prettify-symbols-alist):
16074 (python-mode): Use it
16076 2015-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
16078 * lisp/emacs-lisp/syntax.el (syntax-begin-function): Make obsolete.
16080 2015-09-20 Jostein Kjønigsen <jostein@secure.kjonigsen.net> (tiny change)
16082 (compilation-error-regexp-alist-alist): Tone down guile-file
16084 * lisp/progmodes/compile.el (compilation-error-regexp-alist-alist):
16085 Make guile-file a bit less enthusiastic (bug#21496).
16087 2015-09-20 Drew Csillag <drew@thecsillags.com>
16089 * lisp/progmodes/m4-mode.el (m4-font-lock-keywords):
16090 Fix m4_* highlighting.
16092 * lisp/progmodes/m4-mode.el (m4-font-lock-keywords): Fix recognition
16093 of commands when they have a "m4_" prefix.
16095 2015-09-20 Paul Eggert <eggert@cs.ucla.edu>
16097 '.' -> `.' in doc string
16099 * lisp/emacs-lisp/timer.el (run-at-time): In doc string, quote
16100 individual chars with grave quotes instead of straight quotes, as
16101 this works better when they are translated to curved quotes.
16103 2015-09-20 Michael Albinus <michael.albinus@gmx.de>
16105 Improve file notifications, especially for Tramp
16107 * doc/lispref/files.texi (Magic File Names):
16108 Mention `file-notify-valid-p'.
16110 * doc/lispref/os.texi (File Notifications):
16111 Describe `file-notify-valid-p'.
16113 * etc/NEWS: Add `file-notify-valid-p'.
16115 * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch):
16116 Improve implementation.
16117 (tramp-gvfs-monitor-file-process-filter): Rename from
16118 `tramp-gvfs-file-gvfs-monitor-file-process-filter'. Delete
16119 process if appropriate.
16121 * lisp/net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
16122 Improve implementation.
16123 (tramp-sh-gvfs-monitor-dir-process-filter): Rename from
16124 `tramp-sh-file-gvfs-monitor-dir-process-filter'. Delete process
16126 (tramp-sh-inotifywait-process-filter): Rename from
16127 `tramp-sh-file-inotifywait-process-filter'. Delete process if
16130 * lisp/net/tramp.el (tramp-handle-file-notify-rm-watch):
16131 Use `delete-process'.
16132 (tramp-handle-file-notify-valid-p): Check also, that file or
16133 directory to be watched still exists.
16135 * test/automated/file-notify-tests.el (file-notify--test-timeout):
16136 New defun. Use it at all places a timeout is needed.
16137 (file-notify--test-cleanup): Delete directories recursively.
16138 Cleanup also Tramp connections.
16139 (file-notify-test02-events): Add tests for `attribute-change'.
16140 (file-notify-test04-file-validity, file-notify-test05-dir-validity):
16141 Add tests for `file-notify-rm-watch'.
16143 2015-09-20 Paul Eggert <eggert@cs.ucla.edu>
16145 Use %s to format strings instead of splicing them
16147 If FOO might contain quotes that are part of a file or variable
16148 name, the quotes should not be translated when showing FOO’s name
16149 in a diagnostic. So, for example, (message (concat (FOO ": bar")))
16150 is not quite right, as it would translate FOO’s quotes.
16151 Change it to (message "%s: bar" FOO) instead.
16152 * lisp/allout.el (allout-process-exposed):
16153 * lisp/calc/calc-ext.el (calc-do-prefix-help):
16154 * lisp/calc/calc-store.el (calc-store-into):
16155 * lisp/calendar/todo-mode.el (todo-category-completions):
16156 * lisp/cedet/semantic/complete.el (semantic-completion-message):
16157 * lisp/org/ob-latex.el (convert-pdf):
16158 * lisp/org/org-crypt.el (org-crypt-check-auto-save):
16159 * lisp/org/ox-latex.el (org-latex-compile):
16160 * lisp/org/ox-man.el (org-man-compile):
16161 * lisp/org/ox-odt.el (org-odt--export-wrap):
16162 * lisp/org/ox-texinfo.el (org-texinfo-compile):
16163 * lisp/progmodes/ruby-mode.el (ruby-in-ppss-context-p):
16164 * lisp/progmodes/verilog-mode.el (verilog-batch-execute-func)
16165 (verilog-signals-combine-bus, verilog-read-defines)
16166 (verilog-getopt-file, verilog-expand-dirnames)
16167 (verilog-modi-lookup, verilog-modi-modport-lookup-one):
16168 * lisp/term/ns-win.el (ns-spi-service-call):
16169 Use %s to avoid translating quotes of file names etc. in diagnostics.
16171 2015-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
16173 * lisp/progmodes/js.el (js--syntax-begin-function): Remove.
16174 (js-mode): Don't set syntax-begin-function.
16176 2015-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
16178 * lisp/font-lock.el (font-lock-compile-keywords): Don't assume
16179 syntax-begin-function is a symbol.
16181 2015-09-20 Eli Zaretskii <eliz@gnu.org>
16183 Improve documentation of 'run-at-time'
16184 * lisp/emacs-lisp/timer.el (run-at-time): Improve the doc string.
16185 In particular, don't refer to 'diary-entry-time', because it is
16186 unavailable until diary-lib is loaded. Also, refer to
16187 'timer-duration-words', not 'timer-duration', as the latter's doc
16188 string says nothing about the accepted strings.
16190 2015-09-19 Jay Belanger <jay.p.belanger@gmail.com>
16192 * lisp/calc/calc-ext.el (calc-do-prefix-help): Tidy up error message.
16194 2015-09-19 Ken Manheimer <ken.manheimer@gmail.com>
16196 Repair pdbtrack remote file tracking
16197 * lisp/progmodes/python.el (python-pdbtrack-set-tracked-buffer):
16198 Rectify pdbtrack so it follows transitions from one remote source
16201 2015-09-19 Artur Malabarba <bruce.connor.am@gmail.com>
16203 * lisp/emacs-lisp/timer.el (run-at-time): Docstring formatting.
16205 2015-09-19 Eli Zaretskii <eliz@gnu.org>
16207 Adapt vc-src to the old-new vc-checkin API
16208 * lisp/vc/vc-src.el (vc-src-checkin): Accept and ignore an
16209 additional optional parameter.
16211 2015-09-19 Simen Heggestøyl <simenheg@gmail.com>
16213 Add overflow module to CSS property list
16214 * lisp/textmodes/css-mode.el (css-property-ids): Add properties
16215 from CSS Overflow Module Level 3.
16217 2015-09-19 Eli Zaretskii <eliz@gnu.org>
16219 Fix documentation of "C-u C-x v v"
16220 * doc/emacs/maintaining.texi (Advanced C-x v v): Make the
16221 documentation of "C-u C-x v v" match what the code does.
16223 Resurrect the ability to specify a revision in vc-next-action
16224 * lisp/vc/vc-bzr.el (vc-bzr-checkin):
16225 * lisp/vc/vc-dav.el (vc-dav-checkin):
16226 * lisp/vc/vc-git.el (vc-git-checkin):
16227 * lisp/vc/vc-hg.el (vc-hg-checkin):
16228 * lisp/vc/vc-mtn.el (vc-mtn-checkin): Accept and silently ignore
16229 an additional optional argument, the revision to checkin.
16230 * lisp/vc/vc-sccs.el (vc-sccs-checkin):
16231 * lisp/vc/vc-cvs.el (vc-cvs-checkin):
16232 * lisp/vc/vc-rcs.el (vc-rcs-checkin): Allow to optionally specify
16233 a revision to checkin.
16234 * lisp/vc/vc.el (vc-next-action): Allow to optionally specify the
16235 revision when checking in files.
16236 See http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00688.html
16239 2015-09-18 Wilson Snyder <wsnyder@wsnyder.org>
16241 * lisp/progmodes/verilog-mode.el (verilog-forward-sexp-function)
16242 (verilog-decls-princ, verilog-modport-princ)
16243 (verilog-modi-modport-lookup-one): Fix checkdoc warnings.
16245 2015-09-17 Jay Belanger <jay.p.belanger@gmail.com>
16247 Fix the routine for help on Calc's prefixes
16248 * lisp/calc/calc-ext.el (calc-prefix-help-retry): New variable.
16249 (calc-do-prefix-help): Use `read-char' to determine the next Calc
16252 2015-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
16254 * lisp/font-lock.el (font-lock-beginning-of-syntax-function): Remove.
16255 (font-lock-fontify-block): Don't let-bind it.
16256 (font-lock-compile-keywords): Don't use it.
16257 (font-lock-set-defaults): Don't set it. Allow the variable alist to
16258 start one slot earlier, instead.
16259 * lisp/emacs-lisp/syntax.el (font-lock-beginning-of-syntax-function):
16261 (syntax-ppss): Don't use it either.
16262 * lisp/font-core.el (font-lock-defaults): Remove SYNTAX-BEGIN
16264 * doc/emacs/display.texi (Font Lock): Don't mention
16265 font-lock-beginning-of-syntax-function.
16266 * doc/lispref/modes.texi (Font Lock Basics): Update description of
16267 font-lock-defaults.
16268 (Syntactic Font Lock): Remove font-lock-beginning-of-syntax-function.
16269 * lisp/loadhist.el (unload-feature-special-hooks):
16270 Remove font-lock-beginning-of-syntax-function.
16271 * lisp/obsolete/lazy-lock.el (lazy-lock-fontify-region):
16272 * lisp/emacs-lisp/lisp.el (beginning-of-defun-raw): Don't let-bind
16273 font-lock-beginning-of-syntax-function.
16275 2015-09-17 Paul Eggert <eggert@cs.ucla.edu>
16277 Backslash cleanup in Elisp source files
16278 This patch should not change behavior. It typically omits backslashes
16279 where they are redundant (e.g., in the string literal "^\$").
16280 In a few places, insert backslashes where they make regular
16281 expressions clearer: e.g., replace "^\*" (equivalent to "^*") with
16282 "^\\*", which has the same effect as a regular expression.
16283 Also, use ‘\ %’ instead of ‘\%’ when avoiding confusion with SCCS IDs,
16284 and similarly use ‘\ $’ instead of ‘\$’ when avoiding confusion with
16285 RCS IDs, as that makes it clearer that the backslash is intended.
16287 Some more minor backslash fixes
16288 * test/automated/compile-tests.el (compile-tests--test-regexps-data):
16289 * test/automated/info-xref.el (info-xref-test-write-file):
16290 Double backslashes in strings.
16292 Fix several backslash typos in Elisp strings
16293 * lisp/calendar/todo-mode.el (todo-files, todo-rename-file)
16294 (todo-find-filtered-items-file, todo-reset-nondiary-marker)
16295 (todo-reset-done-string, todo-reset-comment-string)
16296 (todo-reset-highlight-item):
16297 * lisp/erc/erc-networks.el (erc-networks-alist):
16298 * lisp/gnus/gnus-art.el (gnus-button-handle-library):
16299 * lisp/gnus/gnus-group.el (gnus-read-ephemeral-gmane-group-url):
16300 * lisp/gnus/nntp.el (nntp-via-shell-prompt)
16301 (nntp-telnet-shell-prompt):
16302 * lisp/gnus/spam-report.el (spam-report-gmane-regex):
16303 * lisp/image-dired.el (image-dired-rotate-original):
16304 (image-dired-get-exif-file-name):
16305 * lisp/international/latin1-disp.el (latin1-display-ucs-per-lynx):
16306 * lisp/mail/undigest.el (rmail-digest-parse-rfc1153strict):
16307 * lisp/mh-e/mh-letter.el (mh-file-is-vcard-p):
16308 * lisp/mh-e/mh-mime.el (mh-file-mime-type-substitutions):
16309 * lisp/net/shr-color.el (shr-color->hexadecimal):
16310 * lisp/org/org-bibtex.el (org-bibtex-fields):
16311 * lisp/org/org-docview.el (org-docview-export):
16312 * lisp/org/org-entities.el (org-entities):
16313 * lisp/org/ox-icalendar.el (org-icalendar-cleanup-string):
16314 * lisp/progmodes/cperl-mode.el (cperl-indent-exp):
16315 * lisp/progmodes/ebnf2ps.el (ebnf-file-suffix-regexp)
16316 (ebnf-style-database):
16317 * lisp/progmodes/idlw-help.el (idlwave-do-context-help1):
16318 * lisp/progmodes/ruby-mode.el (ruby-imenu-create-index-in-block):
16319 * lisp/progmodes/sql.el (sql-product-alist):
16320 * lisp/progmodes/verilog-mode.el (verilog-error-regexp-emacs-alist)
16321 (verilog-error-font-lock-keywords)
16322 (verilog-assignment-operator-re):
16323 * lisp/progmodes/vhdl-mode.el (vhdl-compiler-alist):
16324 * lisp/textmodes/reftex-parse.el (reftex-parse-from-file):
16325 * lisp/vc/add-log.el (change-log-version-number-regexp-list):
16326 Fix typo by replacing ‘\’ with ‘\\’ in a string literal.
16327 For example, to get the regular expression ‘\.’ use the string
16328 literal "\\.", not "\." (which is equivalent to ".").
16329 * lisp/emulation/viper-util.el (viper-glob-unix-files):
16330 Remove stray ‘\j’ from string.
16331 * lisp/gnus/nntp.el (nntp-via-shell-prompt)
16332 (nntp-telnet-shell-prompt):
16333 Treat > like $ when matching a shell prompt.
16334 * lisp/progmodes/make-mode.el (makefile-browse):
16335 Properly quote a diagnostic.
16337 Fix minor quoting problems in diagnostics
16338 * lisp/tutorial.el (tutorial--describe-nonstandard-key):
16339 * lisp/vc/ediff-ptch.el (ediff-fixup-patch-map):
16340 Follow text-quoting-style in diagnostic, and quote a file name.
16342 2015-09-17 Eli Zaretskii <eliz@gnu.org>
16344 * doc/lispref/frames.texi (Cursor Parameters):
16345 Document 'x-stretch-cursor'.
16347 2015-09-16 Paul Eggert <eggert@cs.ucla.edu>
16349 Omit unnecessary \ before paren in C docstrings
16350 Although \( is needed in docstrings in Elisp code, it is not needed in
16351 docstrings in C code, since C function definitiions do not start with
16352 a parenthesis. The backslashes made the docstrings a bit harder to
16353 read and to format in columns. Also, some C docstrings had ( in
16354 column 1 and this did not appear to be causing any problems. So,
16355 simplify C docstrings by replacing \( with ( and \) with ).
16357 A few more minor quoting fixes in a script and a text file
16359 Minor quoting fixes in scripts and doc
16360 Prefer straight quotes in random script files, as they are not
16361 converted. Prefer grave quotes in a couple of places in the manual
16362 that were missed earlier, as these quotes are converted.
16364 Minor backslash fixes in manuals and scripts
16365 * Makefile.in (install-arch-indep):
16366 * admin/charsets/compact.awk:
16367 * admin/charsets/gb180302.awk (gb_to_index):
16368 * admin/charsets/gb180304.awk (gb_to_index):
16369 Avoid undefined behavior in Awk regular expression backslashes.
16370 * doc/misc/efaq.texi (Matching parentheses):
16371 Omit unnecessary backslashes.
16372 * doc/misc/gnus-faq.texi (FAQ 5-8):
16373 Avoid undefined behavior in suggested sed backslash usage.
16375 Add -Wswitch to --enable-gcc-warnings
16376 Make --enable-gcc-warnings a bit pickier, by also using -Wswitch.
16377 * configure.ac (WERROR_CFLAGS): Don’t add -Wno-switch.
16378 * lib-src/etags.c (main, consider_token, C_entries):
16379 * src/coding.c (encode_invocation_designation):
16380 * src/data.c (Ftype_of):
16381 * src/eval.c (Fdefvaralias, default_toplevel_binding)
16382 (Fbacktrace__locals, mark_specpdl):
16383 * src/lisp.h (record_xmalloc):
16384 * src/syntax.c (scan_lists, scan_sexps_forward):
16385 * src/window.c (window_relative_x_coord):
16386 * src/xdisp.c (push_it, pop_it):
16387 * src/xterm.c (xg_scroll_callback, x_check_fullscreen):
16388 Error out or do nothing (as appropriate) if a switch statement
16389 with an enum value does not cover all of the enum.
16390 * src/dispextern.h (struct iterator_stack_entry.u.comp):
16391 Remove unused member discovered by using -Wswitch.
16392 * src/lisp.h (record_xmalloc): Add a ‘+ 0’ to pacify -Wswitch.
16393 * src/vm-limit.c (check_memory_limits):
16394 Simplify warning-diagnostic computation by using a table.
16396 etags ‘fatal’ function is now printf-like
16397 * lib-src/etags.c (fatal): Now printf-like. All callers changed.
16398 Also, now static; not clear why it needed to be extern.
16399 (verror): New function, with most of the old contents of ‘error’.
16400 (fatal, error): Use it.
16402 2015-09-16 Eli Zaretskii <eliz@gnu.org>
16404 More adaptations in file-notify-tests.el
16405 * test/automated/file-notify-tests.el
16406 (file-notify-test05-dir-validity): Skip for w32notify in
16407 batch-mode. (Bug#21432)
16409 2015-09-16 Michael Albinus <michael.albinus@gmx.de>
16411 Adapt test in file-notify-tests.el
16412 * test/automated/file-notify-tests.el
16413 (file-notify-test04-file-validity): Skip for w32notify in
16414 batch-mode. Add test lost last commit.
16416 2015-09-16 Dima Kogan <dima@secretsauce.net>
16418 winner no longer holds on to dead frames
16419 * lisp/winner.el (winner-change-fun): Cull dead frames.
16420 This prevents a potentially massive memory leak. See:
16421 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00619.html
16423 2015-09-16 Michael Albinus <michael.albinus@gmx.de>
16425 Use common report_file_notify_error function
16426 * src/fileio.c (report_file_notify_error): New function.
16427 * src/inotify.c (report_inotify_error): Remove function.
16428 (inotify_callback, symbol_to_inotifymask, Finotify_add_watch)
16429 (Finotify_rm_watch): Use report_file_notify_error.
16430 * src/lisp.h (report_file_notify_error): Declare external function.
16431 * src/w32notify.c (report_w32notify_error): Remove function.
16432 (Fw32notify_add_watch, Fw32notify_rm_watch):
16433 Use report_file_notify_error.
16435 2015-09-16 Jay Belanger <jay.p.belanger@gmail.com>
16438 * doc/misc/calc.texi (Single-Variable Statistics): Fix the wording of
16439 the documentation of the root mean square.
16441 2015-09-16 Martin Rudalics <rudalics@gmx.at>
16443 Remove tool_bar_redisplayed_once and associated code.
16444 * src/frame.h (tool_bar_redisplayed_once): Remove slot.
16445 * src/frame.c (make_frame, x_set_font): Remove initialization of
16446 f->tool_bar_redisplayed_once.
16447 * src/w32fns.c (x_change_tool_bar_height):
16448 * src/xfns.c (x_change_tool_bar_height): Don't check for
16449 f->tool_bar_redisplayed_once.
16450 * src/xdisp.c (redisplay_internal): Remove handling of
16451 f->tool_bar_redisplayed_once.
16453 2015-09-16 Eli Zaretskii <eliz@gnu.org>
16455 Restore some of the quoting in the manuals
16456 * doc/lispref/windows.texi (Coordinates and Windows)
16457 (Coordinates and Windows):
16458 * doc/lispref/variables.texi (Lexical Binding)
16459 (File Local Variables):
16460 * doc/lispref/text.texi (Format Properties):
16461 * doc/lispref/symbols.texi (Symbol Components):
16462 * doc/lispref/strings.texi (Creating Strings):
16463 * doc/lispref/sequences.texi (Sequence Functions):
16464 * doc/lispref/searching.texi (Regexp Special, Regexp Search)
16465 (Search and Replace):
16466 * doc/lispref/processes.texi (Bindat Spec):
16467 * doc/lispref/os.texi (Idle Timers):
16468 * doc/lispref/objects.texi (Basic Char Syntax):
16469 * doc/lispref/numbers.texi (Float Basics, Random Numbers):
16470 * doc/lispref/nonascii.texi (Character Properties):
16471 * doc/lispref/modes.texi (Major Mode Conventions, Mode Hooks)
16472 (Mode Line Variables):
16473 * doc/lispref/minibuf.texi (Text from Minibuffer):
16474 * doc/lispref/loading.texi (Autoload):
16475 * doc/lispref/keymaps.texi (Controlling Active Maps):
16476 * doc/lispref/frames.texi (Frame Layout, Size and Position)
16477 (Size Parameters, Implied Frame Resizing):
16478 * doc/lispref/files.texi (Changing Files, Magic File Names):
16479 * doc/lispref/eval.texi (Self-Evaluating Forms):
16480 * doc/lispref/display.texi (Progress, Abstract Display)
16481 (Abstract Display Example, Bidirectional Display):
16482 * doc/lispref/commands.texi (Event Mod):
16483 * doc/emacs/windows.texi (Displaying Buffers):
16484 * doc/emacs/trouble.texi (Bug Criteria, Checklist):
16485 * doc/emacs/text.texi (Enriched Text):
16486 * doc/emacs/programs.texi (MixedCase Words):
16487 * doc/emacs/picture-xtra.texi (Insert in Picture)
16489 * doc/emacs/misc.texi (Emacs Server, Printing):
16490 * doc/emacs/mini.texi (Minibuffer History):
16491 * doc/emacs/maintaining.texi (Old Revisions, VC Change Log)
16492 (Pulling / Pushing):
16493 * doc/emacs/killing.texi (Yanking, Cut and Paste, Clipboard):
16494 * doc/emacs/help.texi (Help, Help Echo):
16495 * doc/emacs/glossary.texi (Glossary):
16496 * doc/emacs/frames.texi (Mouse Commands, Creating Frames)
16498 * doc/emacs/files.texi (Reverting, Saving, Directories):
16499 * doc/emacs/entering.texi (Exiting):
16500 * doc/emacs/emacs.texi (Top):
16501 * doc/emacs/cmdargs.texi (Window Size X, Icons X):
16502 * doc/emacs/anti.texi (Antinews): Restore quoting of text where
16503 appropriate or replace quoting with @dfn.
16504 * doc/misc/ediff.texi (Window and Frame Configuration):
16505 * doc/lispref/processes.texi (Network Feature Testing):
16506 * doc/lispref/display.texi (Display Margins): Quote the phrase
16507 after "a.k.a." where appropriate.
16509 2015-09-16 Tassilo Horn <tsdh@gnu.org>
16511 Clarify reftex-extra-bindings docs
16512 * lisp/textmodes/reftex-vars.el (reftex-extra-bindings):
16513 * doc/misc/reftex.texi (Key Bindings): Document that the variable
16514 only has an effect at load-time.
16516 2015-09-16 Daniel McClanahan <danieldmcclanahan@gmail.com> (tiny change)
16518 * lisp/emacs-lisp/lisp-mode.el (lisp--el-match-keyword): Fix
16519 search argument. (Bug#21492) (Bug#21493)
16521 2015-09-16 Tassilo Horn <tsdh@gnu.org>
16523 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-alist):
16524 Add pretty symbols for \qquad and \varrho.
16526 2015-09-15 Jay Belanger <jay.p.belanger@gmail.com>
16528 Add new functions for the root mean square of a (Calc) vector
16529 * lisp/calc/calc-stat.el (calcFunc-rms, calc-vector-rms):
16531 * lisp/calc/calc-ext.el (calc-init-extensions): Add keybinding for
16532 `calc-vector-rms', add autoloads for `calc-vector-rms' and
16534 * lisp/calc/calc-map.el (calc-u-oper-keys): Add entry for
16536 * lisp/calc/calc-menu.el (calc-vectors-menu): Add entry for
16538 * doc/misc/calc.texi (Single-Variable Statistics): Document the rms
16541 2015-09-15 Stephen Leake <stephen_leake@stephe-leake.org>
16543 Add monotone EDE generic project
16544 * lisp/cedet/ede/generic.el (ede-enable-generic-projects):
16545 Add monotone generic project.
16547 Revert premature commit
16548 * doc/lispref/files.texi: Revert premature commit of change to
16549 file-name-all-completions.
16551 Fix a bug in elisp--xref-find-definitions related to cl-generic defaults
16552 * lisp/progmodes/elisp-mode.el (elisp--xref-find-definitions): Fix bug
16553 with cl-generic defaults.
16554 (elisp--xref-find-references): Add doc string.
16555 * test/automated/elisp-mode-tests.el (xref-elisp-generic-*): Improve
16558 Fix bugs in eieio-oref-default related to class symbols
16559 * lisp/emacs-lisp/eieio-core.el (class-p): Handle symbol properly.
16560 (eieio-oref-default): Handle class properly.
16562 2015-09-15 Paul Eggert <eggert@cs.ucla.edu>
16564 Quote “fullboth” when defining it
16565 * doc/lispref/frames.texi (Size Parameters): Use @dfn for “fullboth”
16566 and rewrite the containing paragraph, which was awkward. (Bug#21472).
16568 2015-09-15 Eli Zaretskii <eliz@gnu.org>
16570 Minor doc fix in emacs/ack.texi
16571 * doc/emacs/ack.texi (Acknowledgments): Fix an xref missing the
16574 2015-09-15 Michael Albinus <michael.albinus@gmx.de>
16576 Adapt tests in auto-revert-tests.el
16577 * test/automated/auto-revert-tests.el (auto-revert--timeout):
16578 Make it a defconst.
16579 (auto-revert--wait-for-revert): New defun.
16580 (auto-revert-test00-auto-revert-mode)
16581 (auto-revert-test01-auto-revert-tail-mode)
16582 (auto-revert-test02-auto-revert-mode-dired): Use it.
16584 2015-09-15 Stefan Monnier <monnier@iro.umontreal.ca>
16586 * lisp/emacs-lisp/lisp-mode.el (lisp-mode-symbol-regexp): New const.
16587 Use it everywhere "\\(\\sw\\|\\s_\\|\\\\.\\)+" was used.
16588 (cl-lib-fdefs): Add defgeneric.
16589 (cl-kw): Add all elements of eieio-kw and cl-lib-kw.
16590 (eieio-kw, cl-lib-kw, el-kw): Remove.
16592 2015-09-15 Paul Eggert <eggert@cs.ucla.edu>
16594 Quote less in manuals
16595 The manuals often used quotes ``...'' when it is better to use @dfn or
16596 @code or capitalized words or no quoting at all. For example, there is
16597 no need for the `` and '' in “if a variable has one effect for
16598 @code{nil} values and another effect for ``non-@code{nil}'' values”.
16599 Reword the Emacs, Lisp intro, and Lisp reference manuals to eliminate
16600 unnecessary quoting like this, and to use @dfn etc. instead when called
16603 2015-09-15 Mark Oteiza <mvoteiza@udel.edu>
16605 * lisp/custom.el (load-theme): Only compute hash when needed.
16607 2015-09-15 Paul Eggert <eggert@cs.ucla.edu>
16609 Pacify --enable-gcc-warnings
16610 * src/inotify.c (report_inotify_error): Declare it _Noreturn.
16612 2015-09-15 Michael Albinus <michael.albinus@gmx.de>
16614 Improve error reports in inotify.c
16615 * src/inotify.c (report_inotify_error): New function. Clone of
16616 report_w32notify_error.
16617 (inotify_callback, symbol_to_inotifymask, Finotify_add_watch)
16618 (Finotify_rm_watch): Use it.
16620 2015-09-15 Eli Zaretskii <eliz@gnu.org>
16622 Fix the file-notify tests for watch validation on w32
16623 * test/automated/file-notify-tests.el
16624 (file-notify-test04-file-validity): Move the directory deletion
16625 out of the file-notify--test-with-events macro.
16626 (file-notify-test04-file-validity)
16627 (file-notify-test05-dir-validity): Enlarge the timeout of
16628 read-event to 0.5, as 0.1 is borderline on w32. (Bug#21432)
16630 2015-09-15 Tassilo Horn <tsdh@gnu.org>
16632 Use OPEN BOX instead of space for \quad.
16633 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-alist): Use OPEN BOX
16634 character for \quad instead of a space.
16636 2015-09-15 Eli Zaretskii <eliz@gnu.org>
16638 Add missing *.pbm images
16639 * etc/images/connect.pbm: New file.
16640 * etc/images/custom/down-pushed.pbm: New file.
16641 * etc/images/custom/down.pbm: New file.
16642 * etc/images/custom/right-pushed.pbm: New file.
16643 * etc/images/custom/right.pbm: New file.
16644 * etc/images/describe.pbm: New file.
16645 * etc/images/disconnect.pbm: New file.
16646 * etc/images/ezimage/bits.pbm: New file.
16647 * etc/images/ezimage/bitsbang.pbm: New file.
16648 * etc/images/ezimage/box-minus.pbm: New file.
16649 * etc/images/ezimage/box-plus.pbm: New file.
16650 * etc/images/ezimage/box.pbm: New file.
16651 * etc/images/ezimage/checkmark.pbm: New file.
16652 * etc/images/ezimage/dir-minus.pbm: New file.
16653 * etc/images/ezimage/dir-plus.pbm: New file.
16654 * etc/images/ezimage/dir.pbm: New file.
16655 * etc/images/ezimage/doc-minus.pbm: New file.
16656 * etc/images/ezimage/doc-plus.pbm: New file.
16657 * etc/images/ezimage/doc.pbm: New file.
16658 * etc/images/ezimage/info.pbm: New file.
16659 * etc/images/ezimage/key.pbm: New file.
16660 * etc/images/ezimage/label.pbm: New file.
16661 * etc/images/ezimage/lock.pbm: New file.
16662 * etc/images/ezimage/mail.pbm: New file.
16663 * etc/images/ezimage/page-minus.pbm: New file.
16664 * etc/images/ezimage/page-plus.pbm: New file.
16665 * etc/images/ezimage/page.pbm: New file.
16666 * etc/images/ezimage/tag-gt.pbm: New file.
16667 * etc/images/ezimage/tag-minus.pbm: New file.
16668 * etc/images/ezimage/tag-plus.pbm: New file.
16669 * etc/images/ezimage/tag-type.pbm: New file.
16670 * etc/images/ezimage/tag-v.pbm: New file.
16671 * etc/images/ezimage/tag.pbm: New file.
16672 * etc/images/ezimage/unlock.pbm: New file.
16673 * etc/images/gnus/important.pbm: New file.
16674 * etc/images/gnus/mail-send.pbm: New file.
16675 * etc/images/gnus/receipt.pbm: New file.
16676 * etc/images/gnus/toggle-subscription.pbm: New file.
16677 * etc/images/gnus/unimportant.pbm: New file.
16678 * etc/images/gud/all.pbm: New file.
16679 * etc/images/gud/rcont.pbm: New file.
16680 * etc/images/gud/recstart.pbm: New file.
16681 * etc/images/gud/recstop.pbm: New file.
16682 * etc/images/gud/rfinish.pbm: New file.
16683 * etc/images/gud/rnext.pbm: New file.
16684 * etc/images/gud/rnexti.pbm: New file.
16685 * etc/images/gud/rstep.pbm: New file.
16686 * etc/images/gud/rstepi.pbm: New file.
16687 * etc/images/gud/thread.pbm: New file.
16688 * etc/images/lock-broken.pbm: New file.
16689 * etc/images/lock-ok.pbm: New file.
16690 * etc/images/lock.pbm: New file.
16691 * etc/images/mail/copy.pbm: New file.
16692 * etc/images/mail/forward.pbm: New file.
16693 * etc/images/mail/not-spam.pbm: New file.
16694 * etc/images/mail/outbox.pbm: New file.
16695 * etc/images/mail/preview.pbm: New file.
16696 * etc/images/mail/save-draft.pbm: New file.
16697 * etc/images/mh-logo.pbm: New file.
16698 * etc/images/mpc/add.pbm: New file.
16699 * etc/images/mpc/ffwd.pbm: New file.
16700 * etc/images/mpc/next.pbm: New file.
16701 * etc/images/mpc/pause.pbm: New file.
16702 * etc/images/mpc/play.pbm: New file.
16703 * etc/images/mpc/prev.pbm: New file.
16704 * etc/images/mpc/rewind.pbm: New file.
16705 * etc/images/mpc/stop.pbm: New file.
16706 * etc/images/redo.pbm: New file.
16707 * etc/images/smilies/braindamaged.pbm: New file.
16708 * etc/images/smilies/cry.pbm: New file.
16709 * etc/images/smilies/dead.pbm: New file.
16710 * etc/images/smilies/evil.pbm: New file.
16711 * etc/images/smilies/forced.pbm: New file.
16712 * etc/images/smilies/grin.pbm: New file.
16713 * etc/images/smilies/indifferent.pbm: New file.
16714 * etc/images/sort-ascending.pbm: New file.
16715 * etc/images/sort-column-ascending.pbm: New file.
16716 * etc/images/sort-criteria.pbm: New file.
16717 * etc/images/sort-descending.pbm: New file.
16718 * etc/images/sort-row-ascending.pbm: New file.
16719 * etc/images/unchecked.pbm: New file.
16720 * etc/images/zoom-in.pbm: New file.
16721 * etc/images/README: Update instructions for PBM files.
16723 Add separator.pbm tool-bar image
16724 * etc/images/separator.pbm: New file. Having it avoids the side
16725 effect of changing the tool-bar height when the default font's size
16726 changes and XPM image support is not available, due to the SPC
16727 characters that are left in the Lisp string used to display the tool
16728 bar, because there are no images to display instead of those SPC
16731 Make show-paren-match face visible on mono-color displays
16732 * lisp/faces.el (show-paren-match): Use the underline face for
16733 mono-color displays. (Bug#21481)
16735 2015-09-14 Paul Eggert <eggert@cs.ucla.edu>
16737 Don’t double-encode non-ASCII mail clipboard
16738 * lisp/mail/mailclient.el (mailclient-send-it):
16739 Also fix the case when mailclient-place-body-on-clipboard-flag
16740 is non-nil. Problem reported by Eli Zaretskii (Bug#21471#37).
16742 2015-09-14 Michael Albinus <michael.albinus@gmx.de>
16744 Adapt file-notify-tests.el test cases
16745 * lisp/filenotify.el (file-notify-rm-watch): Ignore `file-notify-error'.
16746 * src/inotify.c (Finotify_valid_p): Adapt docstring.
16747 * test/automated/file-notify-tests.el
16748 (file-notify-test03-autorevert)
16749 (file-notify-test04-file-validity)
16750 (file-notify-test04-file-validity-remote)
16751 (file-notify-test05-dir-validity)
16752 (file-notify-test05-dir-validity-remote): Adapt docstring.
16753 (file-notify-test04-file-validity): Let events arrive before
16754 calling final `file-notify-valid-p'. Do not ignore errors.
16755 (file-notify-test05-dir-validity): Do not manipulate
16756 `temporary-file-directory', it isn't necessary. Let events arrive
16757 before calling final `file-notify-valid-p'. Do not ignore errors.
16759 2015-09-14 Paul Eggert <eggert@cs.ucla.edu>
16761 Don’t double-encode non-ASCII for mail client
16762 * lisp/mail/mailclient.el (mailclient-encode-string-as-url):
16763 Use RFC 6068’s list of unreserved characters.
16764 (mailclient-send-it): When encoding the body as a URL,
16765 first decode it as per Content-Type: and Content-Transfer-Encoding:,
16766 as URLs must use percent-encoded UTF-8 (Bug#21471).
16767 * doc/misc/url.texi (mailto): Update RFC number.
16769 2015-09-14 Stefan Monnier <monnier@iro.umontreal.ca>
16771 * lisp/progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use dolist.
16773 2015-09-14 Alan Mackenzie <acm@muc.de>
16775 Replace `cadar' with `cadr/car', since `cadar' is problematic on Emacs
16776 (c-make-init-lang-vars-fun): Replace two occurrences of `cadar' with
16779 2015-09-14 Eli Zaretskii <eliz@gnu.org>
16781 Clarify documentation of char-table extra slots
16782 * doc/lispref/sequences.texi (Char-Tables): Clarify that extra
16783 slot numbers are zero-based. (Bug#21467)
16785 2015-09-14 Alan Mackenzie <acm@muc.de>
16787 Elisp mode: Make font-lock and imenu handle escaped characters in symbols
16789 * lisp/emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression)
16790 (lisp--el-match-keyword, lisp-el-font-lock-keywords-1)
16791 (lisp-cl-font-lock-keywords-1, lisp-el-font-lock-keywords-2)
16792 (lisp-cl-font-lock-keywords-2, lisp-string-in-doc-position-p):
16793 Insert "\\|\\\\." into regexps which match symbols.
16795 2015-09-14 Eli Zaretskii <eliz@gnu.org>
16797 Improve the doc string of w32notify-valid-p
16798 * src/w32notify.c (Fw32notify_valid_p): Mention in the doc string
16799 that removing a watch makes its object invalid.
16801 2015-09-14 Tassilo Horn <tsdh@gnu.org>
16803 Fix tests for file-notify-valid-p
16804 * test/automated/file-notify-tests.el (file-notify--test-cleanup):
16805 Use delete-directory to delete file-notify--test-tmpfile if it is
16806 a directory. Likewise for file-notify--test-tmpfile1.
16807 (file-notify-test04-file-validity)
16808 (file-notify-test05-dir-validity): Delete the parent directory of
16809 the test. Ignore errors when cleaning up after the test.
16811 2015-09-14 Eli Zaretskii <eliz@gnu.org>
16813 Report file-notify-error in w32notify.c
16814 * src/w32notify.c (report_w32notify_error): New function.
16815 (Fw32notify_add_watch, Fw32notify_rm_watch): Use it to report
16816 errors, instead of calling report_file_error. (Bug#21432)
16818 Implement w32notify-valid-p
16819 * src/w32notify.c (Fw32notify_valid_p): New function. (Bug#21432)
16820 * lisp/filenotify.el (w32notify-valid-p): No longer an alias for
16823 2015-09-14 Tassilo Horn <tsdh@gnu.org>
16825 Test file-notify-valid-p
16826 * test/automated/file-notify-tests.el
16827 (file-notify-test04-file-validity, file-notify-test05-dir-validity):
16830 2015-09-13 Eli Zaretskii <eliz@gnu.org>
16832 Fix markup in ELisp manual
16833 * doc/lispref/frames.texi (Font and Color Parameters): Fix markup
16834 of the 'alpha' parameter value. (Bug#21470)
16836 2015-09-13 Michael Albinus <michael.albinus@gmx.de>
16838 Introduce `file-notify-valid-p'
16839 * lisp/filenotify.el (file-notify-valid-p): New defun.
16840 (gfile-valid-p, w32notify-valid-p): Make them an alias to `identity'.
16841 * lisp/net/tramp-adb.el (tramp-adb-file-name-handler-alist)
16842 * lisp/net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
16843 * lisp/net/tramp-sh.el (tramp-sh-file-name-handler-alist)
16844 * lisp/net/tramp-smb.el (tramp-smb-file-name-handler-alist)
16845 <file-notify-valid-p>: Add handler.
16846 * lisp/net/tramp.el (tramp-file-name-for-operation):
16847 Add `file-notify-valid-p'.
16848 (tramp-handle-file-notify-valid-p): New defun.
16849 * src/inotify.c (Finotify_valid_p): New defun.
16850 (syms_of_inotify): Declare Sinotify_valid_p.
16852 2015-09-13 Paul Eggert <eggert@cs.ucla.edu>
16854 Port Unicode char detection to FreeBSD+svgalib
16855 Problem reported by Ashish SHUKLA in:
16856 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00531.html
16857 * configure.ac: Check for struct unipair.unicode instead of for
16858 <linux/kd.h>, since that’s more specific to what the code
16860 * src/terminal.c: Use HAVE_STRUCT_UNIPAIR_UNICODE, not HAVE_LINUX_KD_H.
16862 * src/indent.c (Fvertical_motion): Simplify bugfix (Bug#21468).
16864 2015-09-13 Eli Zaretskii <eliz@gnu.org>
16866 Fix vertical cursor motion across overlay strings with newlines
16867 * src/indent.c (Fvertical_motion): Don't leave point in the middle
16868 of an overlay string with newlines, as that will position the
16869 cursor after the string at whatever column is there. (Bug#21468)
16871 2015-09-12 Michael Albinus <michael.albinus@gmx.de>
16873 Fix tests in file-notify-tests.el
16874 * test/automated/file-notify-tests.el: Remove Tramp declarations.
16875 (file-notify-test00-availability): Print remote command w/o Tramp
16876 internal functions.
16877 (file-notify-test02-events, file-notify-test02-events-remote):
16879 (file-notify-test03-autorevert): Use `format-message' when
16880 inspecting *Messages* buffer.
16882 2015-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
16884 Bind inhibit-modification-hooks rather than a/b-c-f
16885 * lisp/wid-edit.el (widget-editable-list-insert-before)
16886 (widget-editable-list-delete-at):
16887 * lisp/progmodes/cperl-mode.el (cperl-find-pods-heres)
16888 (cperl-font-lock-unfontify-region-function):
16889 * lisp/progmodes/antlr-mode.el (save-buffer-state-x):
16890 * lisp/obsolete/longlines.el (longlines-mode):
16891 * lisp/obsolete/fast-lock.el (save-buffer-state):
16892 * lisp/mouse.el (mouse-save-then-kill-delete-region):
16893 * lisp/gnus/message.el (message-hide-headers):
16894 * lisp/eshell/esh-mode.el (eshell-send-input, eshell-output-filter):
16895 * lisp/ibuffer.el (ibuffer-update-title-and-summary)
16896 (ibuffer-redisplay-engine): Bind inhibit-modification-hooks to t rather
16897 than after/before-change-functions to nil.
16899 2015-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
16901 (jit-lock-deferred-fontify): Pay attention to skipped redisplays
16902 * lisp/jit-lock.el (jit-lock-deferred-fontify): Make sure we refresh
16903 the buffers, even if the forced redisplay is interrupted.
16905 * lisp/emacs-lisp/eieio-core.el (class-p): Accept class objects
16907 Merge syntax-propertize--done and parse-sexp-propertize-done
16908 * lisp/emacs-lisp/syntax.el (syntax-propertize--done): Remove.
16909 (syntax-propertize): Set syntax-propertize--done even if
16910 syntax-propertize-function is nil. Avoid recursive invocations.
16911 (syntax-propertize-chunks): New var.
16912 (internal--syntax-propertize): Use it. Rename from syntax--jit-propertize.
16914 (parse-sexp-propertize-function): Don't set any more.
16915 * src/syntax.c (SETUP_SYNTAX_TABLE): Call parse_sexp_propertize as needed.
16916 (parse_sexp_propertize): Don't assume charpos is not yet propertized.
16917 Call Qinternal__syntax_propertize instead of
16918 Vparse_sexp_propertize_function. Truncate e_property if needed.
16919 (update_syntax_table_forward): Streamline.
16920 (syms_of_syntax): Define Qinternal__syntax_propertize.
16921 (syntax_propertize__done): Rename from parse_sexp_propertize_done.
16923 2015-09-11 Paul Eggert <eggert@cs.ucla.edu>
16925 Prefer straight quoting in some text files
16926 Mostly this just changes ` to ' in static text. Some exceptions:
16927 * INSTALL.REPO: Use curved quotes, as the diagnostic in question
16928 typically does that now.
16929 * admin/quick-install-emacs (TRY, top level):
16930 Use straight quoting in diagnostics.
16931 * src/README: Fix working-directory confusion.
16933 * CONTRIBUTE: Move send-email here from git-workflow.
16935 2015-09-11 Michael Albinus <michael.albinus@gmx.de>
16937 Improve file notifications in Tramp
16938 * lisp/net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
16939 Set proper events to watch for.
16940 (tramp-sh-file-gvfs-monitor-dir-process-filter): Report only
16943 2015-09-11 Eli Zaretskii <eliz@gnu.org>
16945 Fix NS build with --enable-checking='glyphs'
16946 * src/nsfns.m (unwind_create_frame): Make the preprocessor
16947 conditionals for referencing 'dpyinfo' consistent throughout the
16948 function. (Bug#21426)
16950 2015-09-10 Nicolas Petton <nicolas@petton.fr>
16953 This function is similar to `seq-some' but returns the found element.
16954 In the cases where nil can be the found element, a sentinel optional
16955 argument can be provided to avoid ambiguities.
16956 * lisp/emacs-lisp/seq.el (seq-find): New function.
16957 * test/automated/seq-tests.el (test-seq-find): Add tests for `seq-find'.
16958 * doc/lispref/sequences.texi (Sequence Functions): Add documentation for
16961 2015-09-10 Tassilo Horn <tsdh@gnu.org>
16963 Document file-notify--test-with-events.
16964 * test/automated/file-notify-tests.el (file-notify--test-with-events):
16967 2015-09-10 Michael Albinus <michael.albinus@gmx.de>
16969 Report used native library in file-notify-tests.el
16970 * test/automated/file-notify-tests.el
16971 (tramp-get-remote-gvfs-monitor-dir)
16972 (tramp-get-remote-inotifywait): Declare them.
16973 (file-notify-test00-availability): Print used native library.
16975 2015-09-10 Mark Oteiza <mvoteiza@udel.edu>
16977 * lisp/mpc.el (mpc--proc-connect): Use file-name-absolute-p.
16978 (mpc-file-local-copy): Check for absolute path. Check more config
16981 2015-09-10 Eli Zaretskii <eliz@gnu.org>
16983 Improve documentation of categories
16984 * doc/lispref/syntax.texi (Categories): Clarify the example of
16985 using define-category and modify-category-entry. (Bug#21448)
16987 2015-09-10 Paul Eggert <eggert@cs.ucla.edu>
16989 Revert some stray curved quotes I missed earlier
16990 Problem reported by David Kastrup in:
16991 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00440.html
16992 * lisp/international/mule-cmds.el (leim-list-header):
16993 Use format-message with an ASCII-only format.
16995 Prefer NUMBERP to spelling it out
16996 * src/editfns.c (styled_format):
16997 * src/frame.h (NUMVAL):
16998 * src/image.c (parse_image_spec):
16999 * src/lisp.h (CHECK_NUMBER_OR_FLOAT)
17000 (CHECK_NUMBER_OR_FLOAT_COERCE_MARKER):
17001 * src/process.c (Fsignal_process):
17002 * src/xdisp.c (calc_pixel_width_or_height, on_hot_spot_p):
17003 * src/xfaces.c (check_lface_attrs):
17004 * src/xselect.c (x_fill_property_data, x_send_client_event):
17005 Use NUMBERP rather than INTEGERP || FLOATP.
17007 2015-09-10 Tassilo Horn <tsdh@gnu.org>
17009 Improve file-notify-tests
17010 * test/automated/file-notify-tests.el: Use lexical-binding.
17011 (file-notify--test-cleanup): New function.
17012 (file-notify-test00-availability, file-notify-test01-add-watch)
17013 (file-notify-test02-events, file-notify-test03-autorevert): Use it.
17014 (file-notify--test-with-events): New macro.
17015 (file-notify-test02-events): Use it.
17017 2015-09-10 Paul Eggert <eggert@cs.ucla.edu>
17019 Add patch-sending instructions to git-workflow
17020 From a suggestion by Mitchel Humpherys in:
17021 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00421.html
17022 * admin/notes/git-workflow (Sending patches): New section.
17024 Port to GIFLIB 5.0.6 and later
17025 Problem reported by Mitchel Humpherys in:
17026 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00420.html
17027 * src/image.c (HAVE_GIFERRORSTRING) [HAVE_GIF]: New macro.
17028 (GifErrorString, init_gif_functions) [HAVE_GIF && WINDOWSNT]:
17029 (gif_load) [HAVE_GIF]: Use it.
17031 2015-09-10 Glenn Morris <rgm@gnu.org>
17033 * lisp/cedet/ede/auto.el (ede-project-autoload): Doc fix.
17035 2015-09-09 Glenn Morris <rgm@gnu.org>
17037 * test/automated/file-notify-tests.el (file-notify-test02-events):
17040 2015-09-09 Paul Eggert <eggert@cs.ucla.edu>
17042 Refix movemail GCC pacification
17043 Problem reported by Ken Brown in:
17044 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00406.html
17045 * lib-src/movemail.c (main): Fix previous change.
17047 2015-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
17049 * lisp/calendar/time-date.el (time-to-seconds, time-less-p):
17050 Mark unused vars with underscore.
17052 * src/syntax.c (SETUP_SYNTAX_TABLE): Move truncation...
17053 (parse_sexp_propertize): ...from here.
17055 * lisp/filenotify.el: Use lexical-binding
17056 (file-notify-add-watch): Avoid add-to-list.
17058 2015-09-09 Tassilo Horn <tsdh@gnu.org>
17060 Start checking event types in file-notify tests
17061 * test/automated/file-notify-tests.el (file-notify--test-events):
17063 (file-notify--test-event-handler): Append received event to
17064 file-notify--test-events for later analysis.
17065 (file-notify-test02-events): Assert that the expected notifications have
17066 arrived in the expected order.
17068 2015-09-09 Paul Eggert <eggert@cs.ucla.edu>
17070 Merge from gnulib and texinfo
17072 2015-08-03 Improve port of stdalign to C++11
17073 * lib/stdalign.in.h: Copy from gnulib.
17074 * doc/misc/texinfo.tex: Copy from texinfo.
17076 2015-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
17078 Make syntax.c call syntax-propertize on demand
17079 * lisp/emacs-lisp/syntax.el (syntax--jit-propertize): New function.
17080 (parse-sexp-propertize-function): Use it.
17081 (syntax-propertize): Disable parse-sexp-propertize-function.
17082 * src/syntax.c (parse_sexp_propertize, update_syntax_table_forward):
17084 (syms_of_syntax): New vars `parse-sexp-propertize-done' and
17085 `parse-sexp-propertize-function'.
17086 * src/syntax.h (struct gl_state_s): Add `e_property_truncated' field.
17087 (UPDATE_SYNTAX_TABLE_FORWARD): Use update_syntax_table_forward.
17088 (SETUP_BUFFER_SYNTAX_TABLE): Set e_property_truncated.
17089 * lisp/progmodes/elisp-mode.el (elisp-byte-code-syntax-propertize):
17090 Don't assume `point' is set.
17092 2015-09-09 Eli Zaretskii <eliz@gnu.org>
17094 Fix indentation of an @example in ELisp manual
17095 * doc/lispref/syntax.texi (Categories): Untabify the example.
17098 2015-09-09 Paul Eggert <eggert@cs.ucla.edu>
17100 Define internal-char-font even if --without-x
17101 The function is used now even in non-graphical environments.
17102 Problem reported by Glenn Morris in:
17103 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00401.html
17104 * src/font.c (Finternal_char_font): Move here ...
17105 * src/fontset.c (Finternal_char_font): ... from here.
17107 2015-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
17109 * lisp/emacs-lisp/cl-generic.el (cl-generic-generalizers):
17112 2015-09-09 Eli Zaretskii <eliz@gnu.org>
17114 Fix display of complex local data types in GDB-MI
17115 * lisp/progmodes/gdb-mi.el (gdb-locals-handler-custom): If a
17116 variable has no value, display "<complex data type>" as a
17117 placeholder, instead of a confusing "nil". (Bug#21438)
17119 2015-09-09 Oleh Krehel <ohwoeowho@gmail.com>
17121 Remove redundant redefinition of seq-drop-while from seq.el
17122 * lisp/emacs-lisp/seq.el (seq-drop-while): Define only once.
17124 2015-09-09 Phil Sainty <psainty@orcon.net.nz>
17126 * lisp/emacs-lisp/package.el (package--ensure-init-file):
17127 More robust check for `package-initialize' calls in init file.
17128 This function accepts an optional argument, but calls passing
17129 an argument would not have been detected.
17131 2015-09-09 Paul Eggert <eggert@cs.ucla.edu>
17133 Port movemail to RHEL 6 with --enable-gcc-warnings
17134 * lib-src/movemail.c (main): Declare local only if needed.
17136 Port recent Linux console changes to RHEL 6
17137 * src/terminal.c [HAVE_LINUX_KD_H]: Include <sys/ioctl.h>.
17139 Improvements for curved quotes on Linux consule
17140 This should help Emacs work better out-of-the-box on Linux consoles,
17141 which have only limited support for displaying Unicode characters.
17142 Also, undo the recent change that caused text-quoting-style to
17143 affect quote display on terminals, so that the two features are
17144 independent. See Alan Mackenzie in:
17145 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00244.html
17146 Finally, add a style parameter to startup--setup-quote-display,
17147 so that this function can also be invoked after startup, with
17148 different styles depending on user preference at the time.
17149 * configure.ac: Check for linux/kd.h header.
17150 * doc/emacs/display.texi (Text Display): Document quote display.
17151 * doc/lispref/display.texi (Active Display Table):
17153 * lisp/startup.el (startup--setup-quote-display, command-line):
17154 text-quoting-style no longer affects quote display.
17155 * doc/lispref/frames.texi (Terminal Parameters): Fix typo.
17156 * lisp/international/mule-util.el (char-displayable-p):
17157 * lisp/startup.el (startup--setup-quote-display):
17158 On a text terminal supporting glyph codes, use the reported
17159 glyph codes instead of the terminal coding system, as this
17160 is more accurate on the Linux console.
17161 * lisp/startup.el (startup--setup-quote-display):
17162 New optional arg STYLE.
17163 * src/fontset.c (Finternal_char_font):
17164 Report glyph codes for a text terminal, if they are available.
17165 Currently this is supported only for the Linux console.
17166 * src/termhooks.h (struct terminal): New member glyph-code-table.
17167 * src/terminal.c [HAVE_LINUX_KD_H]: Include <errno.h>, <linux/kd.h>.
17168 (calculate_glyph_code_table) [HAVE_LINUX_KD_H]: New function.
17169 (terminal_glyph_code): New function.
17171 2015-09-08 Juri Linkov <juri@linkov.net>
17173 * lisp/info.el (Info-fontify-node): Don't stop at the non-title
17174 underline. (Bug#21433)
17176 2015-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
17178 * lisp/calendar/time-date.el (with-decoded-time-value): Fix debug spec.
17180 2015-09-08 Tassilo Horn <tsdh@gnu.org>
17182 Fix double-reporting of rename events with inotify
17183 * lisp/filenotify.el (file-notify-callback): Fix double-reporting
17184 of rename events with inotify (bug#21435).
17186 2015-09-08 Vasilij Schneidermann <v.schneidermann@gmail.com> (tiny change)
17188 * lisp/play/tetris.el (tetris-move-down): New command (bug#21360).
17189 (tetris-mode-map): Use it.
17191 2015-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
17193 Remove a few simple cases of global redisplay
17194 * src/dispnew.c (redraw_frame): Don't redisplay all frames.
17195 * src/xdisp.c (echo_area_display): Set the frame's `redisplay' bit
17196 rather than returning a "resized_p" boolean.
17197 (redisplay_internal): Adjust call accordingly.
17198 * src/xfaces.c (free_realized_faces): Don't redisplay all frames.
17199 (free_all_realized_faces): Set windows_or_buffers_changed so as to ease
17200 tracking of this undesirable situation.
17202 * src/process.c (status_notify): Avoid global redisplay (bug#11822)
17203 * src/process.c (status_notify): Only set the update_mode_line on the
17204 relevant buffers rather than setting it globally.
17206 2015-09-07 Stefan Monnier <monnier@iro.umontreal.ca>
17208 * lisp/electric.el (electric-quote-post-self-insert-function):
17209 Don't use syntax-ppss if comment-use-syntax is nil (e.g. message-mode).
17210 (electric-quote-mode): Activate everywhere in message-mode.
17212 2015-09-07 Paul Eggert <eggert@cs.ucla.edu>
17214 Go back to grave quoting in source-code docstrings etc.
17215 This reverts almost all my recent changes to use curved quotes
17216 in docstrings and/or strings used for error diagnostics.
17217 There are a few exceptions, e.g., Bahá’í proper names.
17218 * admin/unidata/unidata-gen.el (unidata-gen-table):
17219 * lisp/abbrev.el (expand-region-abbrevs):
17220 * lisp/align.el (align-region):
17221 * lisp/allout.el (allout-mode, allout-solicit-alternate-bullet)
17222 (outlineify-sticky):
17223 * lisp/apropos.el (apropos-library):
17224 * lisp/bookmark.el (bookmark-default-annotation-text):
17225 * lisp/button.el (button-category-symbol, button-put)
17226 (make-text-button):
17227 * lisp/calc/calc-aent.el (math-read-if, math-read-factor):
17228 * lisp/calc/calc-embed.el (calc-do-embedded):
17229 * lisp/calc/calc-ext.el (calc-user-function-list):
17230 * lisp/calc/calc-graph.el (calc-graph-show-dumb):
17231 * lisp/calc/calc-help.el (calc-describe-key)
17232 (calc-describe-thing, calc-full-help):
17233 * lisp/calc/calc-lang.el (calc-c-language)
17234 (math-parse-fortran-vector-end, math-parse-tex-sum)
17235 (math-parse-eqn-matrix, math-parse-eqn-prime)
17236 (calc-yacas-language, calc-maxima-language, calc-giac-language)
17237 (math-read-giac-subscr, math-read-math-subscr)
17238 (math-read-big-rec, math-read-big-balance):
17239 * lisp/calc/calc-misc.el (calc-help, report-calc-bug):
17240 * lisp/calc/calc-mode.el (calc-auto-why, calc-save-modes)
17241 (calc-auto-recompute):
17242 * lisp/calc/calc-prog.el (calc-fix-token-name)
17243 (calc-read-parse-table-part, calc-user-define-invocation)
17244 (math-do-arg-check):
17245 * lisp/calc/calc-store.el (calc-edit-variable):
17246 * lisp/calc/calc-units.el (math-build-units-table-buffer):
17247 * lisp/calc/calc-vec.el (math-read-brackets):
17248 * lisp/calc/calc-yank.el (calc-edit-mode):
17249 * lisp/calc/calc.el (calc, calc-do, calc-user-invocation):
17250 * lisp/calendar/appt.el (appt-display-message):
17251 * lisp/calendar/diary-lib.el (diary-check-diary-file)
17252 (diary-mail-entries, diary-from-outlook):
17253 * lisp/calendar/icalendar.el (icalendar-export-region)
17254 (icalendar--convert-float-to-ical)
17255 (icalendar--convert-date-to-ical)
17256 (icalendar--convert-ical-to-diary)
17257 (icalendar--convert-recurring-to-diary)
17258 (icalendar--add-diary-entry):
17259 * lisp/calendar/time-date.el (format-seconds):
17260 * lisp/calendar/timeclock.el (timeclock-mode-line-display)
17261 (timeclock-make-hours-explicit, timeclock-log-data):
17262 * lisp/calendar/todo-mode.el (todo-prefix, todo-delete-category)
17263 (todo-item-mark, todo-check-format)
17264 (todo-insert-item--next-param, todo-edit-item--next-key)
17266 * lisp/cedet/ede/pmake.el (ede-proj-makefile-insert-dist-rules):
17267 * lisp/cedet/mode-local.el (describe-mode-local-overload)
17268 (mode-local-print-binding, mode-local-describe-bindings-2):
17269 * lisp/cedet/semantic/complete.el (semantic-displayor-show-request):
17270 * lisp/cedet/srecode/srt-mode.el (srecode-macro-help):
17271 * lisp/cus-start.el (standard):
17272 * lisp/cus-theme.el (describe-theme-1):
17273 * lisp/custom.el (custom-add-dependencies, custom-check-theme)
17274 (custom--sort-vars-1, load-theme):
17275 * lisp/descr-text.el (describe-text-properties-1, describe-char):
17276 * lisp/dired-x.el (dired-do-run-mail):
17277 * lisp/dired.el (dired-log):
17278 * lisp/emacs-lisp/advice.el (ad-read-advised-function)
17279 (ad-read-advice-class, ad-read-advice-name, ad-enable-advice)
17280 (ad-disable-advice, ad-remove-advice, ad-set-argument)
17281 (ad-set-arguments, ad--defalias-fset, ad-activate)
17283 * lisp/emacs-lisp/byte-opt.el (byte-compile-inline-expand)
17284 (byte-compile-unfold-lambda, byte-optimize-form-code-walker)
17285 (byte-optimize-while, byte-optimize-apply):
17286 * lisp/emacs-lisp/byte-run.el (defun, defsubst):
17287 * lisp/emacs-lisp/bytecomp.el (byte-compile-lapcode)
17288 (byte-compile-log-file, byte-compile-format-warn)
17289 (byte-compile-nogroup-warn, byte-compile-arglist-warn)
17290 (byte-compile-cl-warn)
17291 (byte-compile-warn-about-unresolved-functions)
17292 (byte-compile-file, byte-compile--declare-var)
17293 (byte-compile-file-form-defmumble, byte-compile-form)
17294 (byte-compile-normal-call, byte-compile-check-variable)
17295 (byte-compile-variable-ref, byte-compile-variable-set)
17296 (byte-compile-subr-wrong-args, byte-compile-setq-default)
17297 (byte-compile-negation-optimizer)
17298 (byte-compile-condition-case--old)
17299 (byte-compile-condition-case--new, byte-compile-save-excursion)
17300 (byte-compile-defvar, byte-compile-autoload)
17301 (byte-compile-lambda-form)
17302 (byte-compile-make-variable-buffer-local, display-call-tree)
17303 (batch-byte-compile):
17304 * lisp/emacs-lisp/cconv.el (cconv-convert, cconv--analyze-use):
17305 * lisp/emacs-lisp/chart.el (chart-space-usage):
17306 * lisp/emacs-lisp/check-declare.el (check-declare-scan)
17307 (check-declare-warn, check-declare-file)
17308 (check-declare-directory):
17309 * lisp/emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine)
17310 (checkdoc-message-text-engine):
17311 * lisp/emacs-lisp/cl-extra.el (cl-parse-integer)
17312 (cl--describe-class):
17313 * lisp/emacs-lisp/cl-generic.el (cl-defgeneric)
17314 (cl--generic-describe, cl-generic-generalizers):
17315 * lisp/emacs-lisp/cl-macs.el (cl--parse-loop-clause, cl-tagbody)
17316 (cl-symbol-macrolet):
17317 * lisp/emacs-lisp/cl.el (cl-unload-function, flet):
17318 * lisp/emacs-lisp/copyright.el (copyright)
17319 (copyright-update-directory):
17320 * lisp/emacs-lisp/edebug.el (edebug-read-list):
17321 * lisp/emacs-lisp/eieio-base.el (eieio-persistent-read):
17322 * lisp/emacs-lisp/eieio-core.el (eieio--slot-override)
17324 * lisp/emacs-lisp/eieio-opt.el (eieio-help-constructor):
17325 * lisp/emacs-lisp/eieio-speedbar.el:
17326 (eieio-speedbar-child-make-tag-lines)
17327 (eieio-speedbar-child-description):
17328 * lisp/emacs-lisp/eieio.el (defclass, change-class):
17329 * lisp/emacs-lisp/elint.el (elint-file, elint-get-top-forms)
17330 (elint-init-form, elint-check-defalias-form)
17331 (elint-check-let-form):
17332 * lisp/emacs-lisp/ert.el (ert-get-test, ert-results-mode-menu)
17333 (ert-results-pop-to-backtrace-for-test-at-point)
17334 (ert-results-pop-to-messages-for-test-at-point)
17335 (ert-results-pop-to-should-forms-for-test-at-point)
17336 (ert-describe-test):
17337 * lisp/emacs-lisp/find-func.el (find-function-search-for-symbol)
17338 (find-function-library):
17339 * lisp/emacs-lisp/generator.el (iter-yield):
17340 * lisp/emacs-lisp/gv.el (gv-define-simple-setter):
17341 * lisp/emacs-lisp/lisp-mnt.el (lm-verify):
17342 * lisp/emacs-lisp/macroexp.el (macroexp--obsolete-warning):
17343 * lisp/emacs-lisp/map-ynp.el (map-y-or-n-p):
17344 * lisp/emacs-lisp/nadvice.el (advice--make-docstring)
17345 (advice--make, define-advice):
17346 * lisp/emacs-lisp/package-x.el (package-upload-file):
17347 * lisp/emacs-lisp/package.el (package-version-join)
17348 (package-disabled-p, package-activate-1, package-activate)
17349 (package--download-one-archive)
17350 (package--download-and-read-archives)
17351 (package-compute-transaction, package-install-from-archive)
17352 (package-install, package-install-selected-packages)
17353 (package-delete, package-autoremove, describe-package-1)
17354 (package-install-button-action, package-delete-button-action)
17355 (package-menu-hide-package, package-menu--list-to-prompt)
17356 (package-menu--perform-transaction)
17357 (package-menu--find-and-notify-upgrades):
17358 * lisp/emacs-lisp/pcase.el (pcase-exhaustive, pcase--u1):
17359 * lisp/emacs-lisp/re-builder.el (reb-enter-subexp-mode):
17360 * lisp/emacs-lisp/ring.el (ring-previous, ring-next):
17361 * lisp/emacs-lisp/rx.el (rx-check, rx-anything)
17362 (rx-check-any-string, rx-check-any, rx-check-not, rx-=)
17363 (rx-repeat, rx-check-backref, rx-syntax, rx-check-category)
17365 * lisp/emacs-lisp/smie.el (smie-config-save):
17366 * lisp/emacs-lisp/subr-x.el (internal--check-binding):
17367 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-put-tag):
17368 * lisp/emacs-lisp/testcover.el (testcover-1value):
17369 * lisp/emacs-lisp/timer.el (timer-event-handler):
17370 * lisp/emulation/viper-cmd.el (viper-toggle-parse-sexp-ignore-comments)
17371 (viper-toggle-search-style, viper-kill-buffer)
17372 (viper-brac-function):
17373 * lisp/emulation/viper-macs.el (viper-record-kbd-macro):
17374 * lisp/env.el (setenv):
17375 * lisp/erc/erc-button.el (erc-nick-popup):
17376 * lisp/erc/erc.el (erc-cmd-LOAD, erc-handle-login, english):
17377 * lisp/eshell/em-dirs.el (eshell/cd):
17378 * lisp/eshell/em-glob.el (eshell-glob-regexp)
17379 (eshell-glob-entries):
17380 * lisp/eshell/em-pred.el (eshell-parse-modifiers):
17381 * lisp/eshell/esh-opt.el (eshell-show-usage):
17382 * lisp/facemenu.el (facemenu-add-new-face)
17383 (facemenu-add-new-color):
17384 * lisp/faces.el (read-face-name, read-face-font, describe-face)
17385 (x-resolve-font-name):
17386 * lisp/files-x.el (modify-file-local-variable):
17387 * lisp/files.el (locate-user-emacs-file, find-alternate-file)
17388 (set-auto-mode, hack-one-local-variable--obsolete)
17389 (dir-locals-set-directory-class, write-file, basic-save-buffer)
17390 (delete-directory, copy-directory, recover-session)
17391 (recover-session-finish, insert-directory)
17392 (file-modes-char-to-who, file-modes-symbolic-to-number)
17393 (move-file-to-trash):
17394 * lisp/filesets.el (filesets-add-buffer, filesets-remove-buffer):
17395 * lisp/find-cmd.el (find-generic, find-to-string):
17396 * lisp/finder.el (finder-commentary):
17397 * lisp/font-lock.el (font-lock-fontify-buffer):
17398 * lisp/format.el (format-write-file, format-find-file)
17399 (format-insert-file):
17400 * lisp/frame.el (get-device-terminal, select-frame-by-name):
17401 * lisp/fringe.el (fringe--check-style):
17402 * lisp/gnus/nnmairix.el (nnmairix-widget-create-query):
17403 * lisp/help-fns.el (help-fns--key-bindings)
17404 (help-fns--compiler-macro, help-fns--parent-mode)
17405 (help-fns--obsolete, help-fns--interactive-only)
17406 (describe-function-1, describe-variable):
17407 * lisp/help.el (describe-mode)
17408 (describe-minor-mode-from-indicator):
17409 * lisp/image.el (image-type):
17410 * lisp/international/ccl.el (ccl-dump):
17411 * lisp/international/fontset.el (x-must-resolve-font-name):
17412 * lisp/international/mule-cmds.el (prefer-coding-system)
17413 (select-safe-coding-system-interactively)
17414 (select-safe-coding-system, activate-input-method)
17415 (toggle-input-method, describe-current-input-method)
17416 (describe-language-environment):
17417 * lisp/international/mule-conf.el (code-offset):
17418 * lisp/international/mule-diag.el (describe-character-set)
17419 (list-input-methods-1):
17420 * lisp/mail/feedmail.el (feedmail-run-the-queue):
17421 * lisp/mouse.el (minor-mode-menu-from-indicator):
17422 * lisp/mpc.el (mpc-playlist-rename):
17423 * lisp/msb.el (msb--choose-menu):
17424 * lisp/net/ange-ftp.el (ange-ftp-shell-command):
17425 * lisp/net/imap.el (imap-interactive-login):
17426 * lisp/net/mairix.el (mairix-widget-create-query):
17427 * lisp/net/newst-backend.el (newsticker--sentinel-work):
17428 * lisp/net/newst-treeview.el (newsticker--treeview-load):
17429 * lisp/net/rlogin.el (rlogin):
17430 * lisp/obsolete/iswitchb.el (iswitchb-possible-new-buffer):
17431 * lisp/obsolete/otodo-mode.el (todo-more-important-p):
17432 * lisp/obsolete/pgg-gpg.el (pgg-gpg-process-region):
17433 * lisp/obsolete/pgg-pgp.el (pgg-pgp-process-region):
17434 * lisp/obsolete/pgg-pgp5.el (pgg-pgp5-process-region):
17435 * lisp/org/ob-core.el (org-babel-goto-named-src-block)
17436 (org-babel-goto-named-result):
17437 * lisp/org/ob-fortran.el (org-babel-fortran-ensure-main-wrap):
17438 * lisp/org/ob-ref.el (org-babel-ref-resolve):
17439 * lisp/org/org-agenda.el (org-agenda-prepare):
17440 * lisp/org/org-clock.el (org-clock-notify-once-if-expired)
17441 (org-clock-resolve):
17442 * lisp/org/org-ctags.el (org-ctags-ask-rebuild-tags-file-then-find-tag):
17443 * lisp/org/org-feed.el (org-feed-parse-atom-entry):
17444 * lisp/org/org-habit.el (org-habit-parse-todo):
17445 * lisp/org/org-mouse.el (org-mouse-popup-global-menu)
17446 (org-mouse-context-menu):
17447 * lisp/org/org-table.el (org-table-edit-formulas):
17448 * lisp/org/ox.el (org-export-async-start):
17449 * lisp/proced.el (proced-log):
17450 * lisp/progmodes/ada-mode.el (ada-get-indent-case)
17451 (ada-check-matching-start, ada-goto-matching-start):
17452 * lisp/progmodes/ada-prj.el (ada-prj-display-page):
17453 * lisp/progmodes/ada-xref.el (ada-find-executable):
17454 * lisp/progmodes/ebrowse.el (ebrowse-tags-apropos):
17455 * lisp/progmodes/etags.el (etags-tags-apropos-additional):
17456 * lisp/progmodes/flymake.el (flymake-parse-err-lines)
17457 (flymake-start-syntax-check-process):
17458 * lisp/progmodes/python.el (python-shell-get-process-or-error)
17459 (python-define-auxiliary-skeleton):
17460 * lisp/progmodes/sql.el (sql-comint):
17461 * lisp/progmodes/verilog-mode.el (verilog-load-file-at-point):
17462 * lisp/progmodes/vhdl-mode.el (vhdl-widget-directory-validate):
17463 * lisp/recentf.el (recentf-open-files):
17464 * lisp/replace.el (query-replace-read-from)
17465 (occur-after-change-function, occur-1):
17466 * lisp/scroll-bar.el (scroll-bar-columns):
17467 * lisp/server.el (server-get-auth-key):
17468 * lisp/simple.el (execute-extended-command)
17469 (undo-outer-limit-truncate, list-processes--refresh)
17470 (compose-mail, set-variable, choose-completion-string)
17471 (define-alternatives):
17472 * lisp/startup.el (site-run-file, tty-handle-args, command-line)
17474 * lisp/subr.el (noreturn, define-error, add-to-list)
17475 (read-char-choice, version-to-list):
17476 * lisp/term/common-win.el (x-handle-xrm-switch)
17477 (x-handle-name-switch, x-handle-args):
17478 * lisp/term/x-win.el (x-handle-parent-id, x-handle-smid):
17479 * lisp/textmodes/reftex-ref.el (reftex-label):
17480 * lisp/textmodes/reftex-toc.el (reftex-toc-rename-label):
17481 * lisp/textmodes/two-column.el (2C-split):
17482 * lisp/tutorial.el (tutorial--describe-nonstandard-key)
17483 (tutorial--find-changed-keys):
17484 * lisp/type-break.el (type-break-noninteractive-query):
17485 * lisp/wdired.el (wdired-do-renames, wdired-do-symlink-changes)
17486 (wdired-do-perm-changes):
17487 * lisp/whitespace.el (whitespace-report-region):
17488 Prefer grave quoting in source-code strings used to generate help
17490 * lisp/faces.el (face-documentation):
17491 No need to convert quotes, since the result is a docstring.
17492 * lisp/info.el (Info-virtual-index-find-node)
17493 (Info-virtual-index, info-apropos):
17494 Simplify by generating only curved quotes, since info files are
17495 typically that ways nowadays anyway.
17496 * lisp/international/mule-diag.el (list-input-methods):
17497 Don’t assume text quoting style is curved.
17498 * lisp/org/org-bibtex.el (org-bibtex-fields):
17499 Revert my recent changes, going back to the old quoting style.
17501 2015-09-07 Artur Malabarba <bruce.connor.am@gmail.com>
17503 * lisp/emacs-lisp/package.el: Reduce autoloading before compiling.
17504 (package--autoloads-file-name)
17505 (package--activate-autoloads-and-load-path): New function.
17506 (package-activate-1): Delegate autoloading and load-path
17507 configuration to `package--activate-autoloads-and-load-path'.
17508 (package--compile): Before compilation, call
17509 `package--activate-autoloads-and-load-path' instead of
17510 `package-activate-1'.
17512 2015-09-07 Stefan Monnier <monnier@iro.umontreal.ca>
17514 * src/keyboard.c (read_key_sequence): Complete last fix (bug#21403).
17516 2015-09-07 Eli Zaretskii <eliz@gnu.org>
17518 Fix deletion of symlinks to directories on MS-Windows
17519 * src/w32.c (sys_unlink): If 'unlink' fails, and the argument is a
17520 symlink to a directory, try again with 'rmdir'.
17521 (is_symlink): If the argument is a symlink to a directory, set a
17522 bit in the return value to indicate that fact.
17524 2015-09-07 Artur Malabarba <bruce.connor.am@gmail.com>
17526 * lisp/emacs-lisp/package.el (package-initialize): Set enable-at-startup
17527 When `package-initialize' is called as part of loading the init file,
17528 the user probably doesn't want it to be called again afterwards.
17529 In this situation, `package-initialize' now sets
17530 `package-enable-at-startup' to nil to prevent that. The user can have
17531 the old behavior by setting this variable to t after the call to
17532 `package-initialize'. (Bug#21423)
17533 * doc/emacs/package.texi (Package Installation): Document it.
17534 * doc/lispref/package.texi (Packaging Basics): Document it.
17535 * etc/NEWS: Document it.
17537 2015-09-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
17539 Bump version of ntlm.el to 2.00
17540 * lisp/net/ntlm.el: Bump version to 2.00. New maintainer.
17543 2015-09-06 Adam Sjøgren <asjo@koldfront.dk>
17545 * doc/misc/gnus.texi (Mail Source Specifiers):
17546 Allow :mailbox to be a list.
17548 2015-09-06 Dmitry Gutov <dgutov@yandex.ru>
17550 * lisp/progmodes/etags.el (etags-tags-completion-table):
17551 Allow even one non-regular character before the implicit tag name.
17552 Reported at http://emacs.stackexchange.com/questions/15269/.
17554 2015-09-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
17556 Add support for NTLMv2 authentication
17557 * lisp/net/ntlm.el (ntlm): New customization group.
17558 (ntlm-compatibility-level): New defcustom.
17559 (ntlm-compute-timestamp): New function.
17560 (ntlm-generate-nonce): Likewise.
17561 (ntlm-build-auth-response): Add support for NTLMv2 authentication.
17563 2015-09-06 Artur Malabarba <bruce.connor.am@gmail.com>
17565 * lisp/emacs-lisp/package.el: Rename custom faces.
17566 All of the recently introduced faces, like `package-name-face', have
17567 been renamed to no end in `-face' to comply with the convention
17568 described in (info "(elisp) Defining Faces").
17569 (package-name, package-description)
17570 (package-status-built-in, package-status-external)
17571 (package-status-available, package-status-new)
17572 (package-status-held, package-status-disabled)
17573 (package-status-installed, package-status-dependency)
17574 (package-status-unsigned, package-status-incompat)
17575 (package-status-avail-obso): New faces.
17576 (package-menu--print-info-simple): Use them.
17578 2015-09-06 Adam Sjøgren <asjo@koldfront.dk>
17580 mail-source.el: Make the imap mail-source's :mailbox handle a list
17581 * lisp/gnus/mail-source.el (mail-source-fetch-imap):
17582 Allow :mailbox to be a list.
17584 2015-09-06 Eric Abrahamsen <eric@ericabrahamsen.net>
17586 nnimap.el: Handle nil arg to nnimap-request-group
17587 * lisp/gnus/nnimap.el (nnimap-request-group): Handle nil "info" arg.
17588 This arg isn't always passed in, check it's not nil before making it
17589 into a list. The active arg will also be nil if the group is new,
17592 2015-09-06 Michael Albinus <michael.albinus@gmx.de>
17594 File notifications: Support renaming over directory boundaries
17595 * lisp/filenotify.el (file-notify-handle-event):
17596 (file-notify--pending-event): Adapt docstring.
17597 (file-notify--descriptor, file-notify-callback): Reimplement in
17598 order to support renaming over directory boundaries.
17599 (file-notify-add-watch): Adapt `file-notify--descriptor' call.
17600 * doc/lispref/os.texi (File Notifications): Remove limitation of
17601 file renaming to the same directory.
17603 2015-09-05 Paul Eggert <eggert@cs.ucla.edu>
17605 Spelling fix (Bug#21420)
17607 2015-09-05 Nicolas Petton <nicolas@petton.fr>
17609 Improve the semantic of map-some
17610 Update map-some to return the returned by the predicate, similar to
17612 * lisp/emacs-lisp/map.el (map-some): Update the function to return the
17613 return value of the predicate.
17614 * test/automated/map-tests.el (test-map-some): Update the test to check
17615 for non-nil values only.
17617 Rename map-contains-key-p and map-some-p
17618 Remove the "-p" suffix from both function names.
17619 * lisp/emacs-lisp/map.el (map-contains-key, map-some):
17620 Rename the functions.
17621 * test/automated/map-tests.el (test-map-contains-key, test-map-some):
17622 Update both test functions.
17624 Improve the semantic of seq-some
17625 Update seq-some to return non-nil if the predicate returns non-nil for
17626 any element of the seq, in which case the returned value is the one
17627 returned by the predicate.
17628 * lisp/emacs-lisp/seq.el (seq-some): Update the function and its
17630 * test/automated/seq-tests.el (test-seq-some): Add a regression test.
17631 * doc/lispref/sequences.texi (Sequence Functions): Update the
17632 documentation for seq-some.
17634 Rename seq-some-p to seq-some and seq-contains-p to seq-contains
17635 * lisp/emacs-lisp/seq.el (seq-some, seq-contains): Rename the functions
17636 without the "-p" prefix.
17637 * test/automated/seq-tests.el (test-seq-some, test-seq-contains): Update
17638 the tests accordingly.
17639 * doc/lispref/sequences.texi (Sequence Functions): Update the
17640 documentation for seq.el.
17642 2015-09-05 Paul Eggert <eggert@Penguin.CS.UCLA.EDU>
17644 text-quoting-style for usage of fn names with ‘’
17645 * lisp/help.el (help--docstring-quote): Don’t assume
17646 text-quoting-style is ‘curve’ when generating usage strings for
17647 functions whose names contain curved quotes.
17649 2015-09-05 Paul Eggert <eggert@cs.ucla.edu>
17651 Fix fix for describe-function keybinding confusion
17652 This fixes a bug introduced by the previous patch.
17653 * lisp/help-fns.el (help-fns--signature):
17654 Last arg of help-fns--signature is now a buffer, or nil if a
17655 raw signature is wanted. All callers changed.
17656 (describe-function-1): Use this to do the right thing with signatures.
17658 2015-09-05 Johan Bockgård <bojohan@gnu.org>
17660 * doc/lispref/frames.texi (Mouse Tracking): Fix typo.
17662 Use PAT rather than UPAT in pcase macros
17663 * lisp/emacs-lisp/cl-macs.el (cl-struct) <pcase-defmacro>:
17664 * lisp/emacs-lisp/eieio.el (eieio) <pcase-defmacro>: Use PAT rather
17667 2015-09-05 Paul Eggert <eggert@cs.ucla.edu>
17669 Fix describe-function keybinding confusion
17670 * lisp/help-fns.el (describe-function-1): Compute signature
17671 in the original buffer, not in standard-output, so that
17672 substitute-command-keys uses the proper keybindings.
17673 This fixes Bug#21412, introduced in commit
17674 2015-06-11T10:23:46-0700!eggert@cs.ucla.edu.
17676 2015-09-05 Xue Fuqiao <xfq.free@gmail.com>
17678 * doc/emacs/programs.texi (Program Modes): Remove an index entry.
17680 2015-09-05 Robert Pluim <rpluim@gmail.com> (tiny change)
17682 Avoid read error messages from 'inotify'
17683 * src/process.c (wait_reading_process_output): Add a
17684 'tls_available' set and manipulate it instead of 'Available' when
17685 checking TLS inputs. Assign the value to 'Available' only if we
17686 find any TLS data waiting to be read. This avoids error messages
17687 from 'inotify' that tries to read data it shouldn't. (Bug#21337)
17689 2015-09-05 Eli Zaretskii <eliz@gnu.org>
17691 Avoid errors in thing-at-point with 2nd argument non-nil
17692 * lisp/thingatpt.el (thing-at-point): Only call 'length' on
17693 sequences. (Bug#21391)
17695 2015-09-05 Philip <pipcet@gmail.com> (tiny change)
17697 Fix segfaults due to using a stale face ID
17698 * src/xdisp.c (forget_escape_and_glyphless_faces): New function.
17699 (display_echo_area_1, redisplay_internal): Call it to avoid
17700 reusing stale face IDs for 'escape-glyph' and 'glyphless-char'
17701 faces, which could case a segfault if the frame's face cache was
17702 freed since the last redisplay. (Bug#21394)
17703 * src/xfaces.c (free_realized_faces):
17704 Call forget_escape_and_glyphless_faces.
17705 * src/dispextern.h (forget_escape_and_glyphless_faces): Add prototype.
17707 2015-09-04 Paul Eggert <eggert@cs.ucla.edu>
17709 Fix minor problems with " in manual
17711 2015-09-04 Michael Albinus <michael.albinus@gmx.de>
17713 * doc/misc/tramp.texi (Frequently Asked Questions): New item for ad-hoc
17716 2015-09-04 Paul Eggert <eggert@cs.ucla.edu>
17718 Support automated ‘make check’ in non-C locale
17719 This lets the builder optionally test Emacs behavior in other locales.
17720 The C locale is still the default for tests.
17721 * test/automated/Makefile.in (TEST_LOCALE): New macro.
17723 * test/automated/flymake-tests.el (flymake-tests--current-face):
17724 Use C locale for subprocesses so that tests behave as expected.
17725 * test/automated/python-tests.el:
17726 (python-shell-prompt-validate-regexps-1)
17727 (python-shell-prompt-validate-regexps-2)
17728 (python-shell-prompt-validate-regexps-3)
17729 (python-shell-prompt-validate-regexps-4)
17730 (python-shell-prompt-validate-regexps-5)
17731 (python-shell-prompt-validate-regexps-6)
17732 (python-shell-prompt-set-calculated-regexps-1):
17733 Adjust expected output to match locale.
17734 * test/automated/tildify-tests.el (tildify-test--test)
17735 (tildify-space-test--test, tildify-space-undo-test--test):
17736 This test assumes UTF-8 encoding.
17738 2015-09-03 Paul Eggert <eggert@cs.ucla.edu>
17740 Fix some more docstring etc. quoting problems
17741 Mostly these fixes prevent the transliteration of apostrophes
17742 that should stay apostrophes. Also, prefer curved quotes in
17743 Bahá’í proper names, as that’s the preferred Bahá’í style and
17744 these names are chock-full of non-ASCII characters anyway.
17745 * lisp/emacs-lisp/eieio-core.el (eieio-defclass-autoload)
17746 (eieio-defclass-internal):
17747 * lisp/emacs-lisp/eieio.el (defclass):
17748 * lisp/hi-lock.el (hi-lock-mode):
17749 Don’t transliterate Lisp apostrophes when generating a
17750 doc string or diagnostic.
17751 * lisp/international/mule-diag.el (list-coding-systems-1):
17752 * lisp/international/ogonek.el (ogonek-jak, ogonek-how):
17753 * lisp/mail/sendmail.el (sendmail-query-user-about-smtp):
17754 * lisp/vc/ediff-mult.el (ediff-redraw-registry-buffer):
17755 * lisp/vc/ediff-ptch.el (ediff-fixup-patch-map):
17756 Substitute quotes before putting them in the help buffer.
17758 2015-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
17760 Re-add the notion of echo_prompt lost in the translation
17761 * src/keyboard.h (struct kboard): Replace echo_after_prompt with new
17762 echo_prompt which contains the actual string. Update all uses.
17763 * src/keyboard.c (kset_echo_prompt): New function.
17764 (echo_update): Add echo_prompt at the very beginning.
17765 (read_char): Remove workaround for bug#19875, not needed any more.
17766 (read_key_sequence): Set echo_prompt rather than echo_string
17768 (mark_kboards): Mark echo_prompt.
17770 Fix disassembly of non-compiled lexical functions (bug#21377)
17771 * lisp/emacs-lisp/bytecomp.el (byte-compile): Handle `closure' arg.
17772 * lisp/emacs-lisp/disass.el: Use lexical-binding.
17773 (disassemble): Recognize `closure's as well.
17774 (disassemble-internal): Use indirect-function and
17775 help-function-arglist, and accept `closure's.
17776 (disassemble-internal): Use interactive-form.
17777 (disassemble-1): Use functionp.
17779 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-compose-p):
17780 Don't compose inside verbatim blocks!
17782 2015-09-03 Mark Oteiza <mvoteiza@udel.edu>
17784 * lisp/thingatpt.el (thing-at-point-uri-schemes): Add "man:"
17787 * lisp/mpc.el (mpc--proc-connect): Handle unix sockets (bug#19394).
17789 2015-09-03 Dmitry Gutov <dgutov@yandex.ru>
17791 vc-git-mode-line-string: Explicitly re-apply the face
17792 * lisp/vc/vc-git.el (vc-git-mode-line-string): Explicitly re-apply
17793 the face (bug#21404).
17795 2015-09-02 Paul Eggert <eggert@cs.ucla.edu>
17797 Treat initial-scratch-message as a doc string
17798 * doc/emacs/building.texi (Lisp Interaction):
17799 * doc/lispref/os.texi (Startup Summary):
17800 * etc/NEWS: Document this.
17801 * lisp/startup.el (initial-scratch-message):
17802 Look up find-file’s key rather than hardcoding it.
17803 (command-line-1): Substitute the doc string.
17804 This also substitutes the quotes, which will help test display
17805 quoting at startup.
17807 Fix describe-char bug with glyphs on terminals
17808 * lisp/descr-text.el (describe-char): Terminals can have glyphs in
17809 buffers too, so don’t treat them differently from graphic displays.
17810 Without this fix, describe-char would throw an error on a terminal
17811 if given a glyph with a non-default face.
17813 Follow text-quoting-style in display table init
17814 This attempts to fix a problem reported by Alan Mackenzie in:
17815 http://lists.gnu.org/archive/html/emacs-devel/2015-09/msg00112.html
17816 * doc/lispref/display.texi (Active Display Table):
17817 Mention how text-quoting-style affects it.
17818 * doc/lispref/help.texi (Keys in Documentation):
17819 Say how to set text-quoting-style in ~/.emacs.
17820 * etc/NEWS: Document the change.
17821 * lisp/startup.el (startup--setup-quote-display):
17822 Follow user preference if text-quoting-style is set.
17823 (command-line): Setup quote display again if user expresses
17824 a preference in .emacs.
17826 2015-09-02 K. Handa <handa@gnu.org>
17829 * src/ftfont.c (ftfont_drive_otf): otf_positioning_type_components_mask
17830 -> OTF_positioning_type_components_mask.
17832 Fix previous change
17833 * src/ftfont.c (ftfont_drive_otf): Remember some bits of
17834 OTF_Glyph->positioning_type in MFLTGlyphFT->libotf_positioning_type.
17836 2015-09-01 David Caldwell <david@porkrind.org> (tiny change)
17838 * lisp/vc/vc-hooks.el (vc-refresh-state): New command.
17839 Rename from vc-find-file-hook and make interactive.
17840 (vc-find-file-hook): Redefine as obsolete alias.
17842 2015-09-01 Paul Eggert <eggert@cs.ucla.edu>
17844 Escape ` and ' in doc
17845 Escape apostrophes and grave accents in docstrings if they are
17846 are supposed to stand for themselves and are not quotes. Remove
17847 apostrophes from docstring examples like ‘'(calendar-nth-named-day
17848 -1 0 10 year)’ that confuse source code with data. Do some other
17849 minor docstring fixups as well, e.g., insert a missing close quote.
17851 2015-09-01 Stefan Monnier <monnier@iro.umontreal.ca>
17853 Generalize the prefix-command machinery of C-u
17854 * lisp/simple.el (prefix-command-echo-keystrokes-functions)
17855 (prefix-command-preserve-state-hook): New hooks.
17856 (internal-echo-keystrokes-prefix): New function.
17857 (prefix-command--needs-update, prefix-command--last-echo): New vars.
17858 (prefix-command-update, prefix-command-preserve): New functions.
17859 (reset-this-command-lengths): New compatibility definition.
17860 (universal-argument--mode): Call prefix-command-update.
17861 (universal-argument, universal-argument-more, negative-argument)
17862 (digit-argument): Call prefix-command-preserve-state.
17863 * src/keyboard.c: Call internal-echo-keystrokes-prefix to build
17864 the "prefix argument" to echo.
17865 (this_command_key_count_reset, before_command_key_count)
17866 (before_command_echo_length): Delete variables.
17867 (echo_add_key): Always add a space.
17868 (echo_char): Remove.
17869 (echo_dash): Don't give up when this_command_key_count is 0, since that
17870 is now the case after a prefix command.
17871 (echo_update): New function, extracted from echo_now.
17872 (echo_now): Use it.
17873 (add_command_key, read_char, record_menu_key): Remove old disabled code.
17874 (command_loop_1): Don't refrain from pushing an undo boundary when
17875 prefix-arg is set. Remove other prefix-arg special case, now handled
17876 directly in the prefix commands instead. But call echo_now if there's
17877 a prefix state to echo.
17878 (read_char, record_menu_key): Use echo_update instead of echo_char.
17879 (read_key_sequence): Use echo_now rather than echo_dash/echo_char.
17880 (Freset_this_command_lengths): Delete function.
17881 (syms_of_keyboard): Define Qinternal_echo_keystrokes_prefix.
17882 (syms_of_keyboard): Don't defsubr Sreset_this_command_lengths.
17883 * lisp/simple.el: Use those new hooks for C-u.
17884 (universal-argument--description): New function.
17885 (prefix-command-echo-keystrokes-functions): Use it.
17886 (universal-argument--preserve): New function.
17887 (prefix-command-preserve-state-hook): Use it.
17888 (command-execute): Call prefix-command-update if needed.
17889 * lisp/kmacro.el (kmacro-step-edit-prefix-commands)
17890 (kmacro-step-edit-prefix-index): Delete variables.
17891 (kmacro-step-edit-query, kmacro-step-edit-insert): Remove ad-hoc
17892 support for prefix arg commands.
17893 (kmacro-step-edit-macro): Don't bind kmacro-step-edit-prefix-index.
17894 * lisp/emulation/cua-base.el (cua--prefix-override-replay)
17895 (cua--shift-control-prefix): Use prefix-command-preserve-state.
17896 Remove now unused arg `arg'.
17897 (cua--prefix-override-handler, cua--prefix-repeat-handler)
17898 (cua--shift-control-c-prefix, cua--shift-control-x-prefix):
17899 Update accordingly.
17900 (cua--prefix-override-timeout): Don't call reset-this-command-lengths
17902 (cua--keep-active, cua-exchange-point-and-mark): Don't set mark-active
17903 if the mark is not set.
17905 2015-09-01 Paul Eggert <eggert@cs.ucla.edu>
17907 Rework quoting in Emacs Lisp Introduction
17908 * doc/lispintro/emacs-lisp-intro.texi (Sample let Expression)
17909 (if in more detail, type-of-animal in detail, else): Rework the
17910 early example to use " rather than ' so that we don’t burden
17911 complete novices with the low-priority detail of text quoting style.
17912 (Complete zap-to-char, kill-region, Complete copy-region-as-kill)
17913 (kill-new function, kill-ring-yank-pointer)
17914 (Complete forward-sentence, Loading Files)
17915 (Code for current-kill, Code for current-kill, yank):
17916 Resurrect the Emacs 22 versions of the code, which uses grave
17917 quoting style in doc strings.
17918 (Complete zap-to-char): Mention how quoting works in doc strings.
17920 Setup quote display only if interactive
17921 * lisp/startup.el (command-line):
17922 Skip call to startup--setup-quote-display if noninteractive.
17923 Without this change, python-shell-prompt-validate-regexps-1
17924 fails in test/automated/python-tests.el when run in an
17925 en_US.utf8 locale on Fedora.
17927 2015-09-01 Katsumi Yamaoka <yamaoka@jpl.org>
17929 Use defalias at the top level
17930 * lisp/gnus/gnus-util.el (gnus-format-message):
17931 * lisp/net/tls.el (tls-format-message): Use defalias at the top level
17932 so as to make eval-and-compile unnecessary. Thanks to Stefan Monnier.
17934 2015-09-01 Paul Eggert <eggert@cs.ucla.edu>
17936 terminal-init-w32console mimicks command-line
17937 Problem reported by Eli Zaretskii.
17938 * lisp/startup.el (startup--setup-quote-display):
17939 New function, refactored from a part of ‘command-line’.
17940 (command-line): Use it.
17941 * lisp/term/w32console.el (terminal-init-w32console):
17942 Use it, so that this function stays consistent with ‘command-line’.
17944 Display replacement quotes with shadow glyphs
17945 * lisp/startup.el (command-line): When displaying ASCII
17946 replacements for curved quotes, use a shadow glyph instead of a
17947 regular one, to avoid ambiguity.
17949 2015-09-01 Michael Albinus <michael.albinus@gmx.de>
17951 * lisp/net/tramp-sh.el (tramp-methods) <sudo>: Mask "Password:".
17953 2015-09-01 Paul Eggert <eggert@cs.ucla.edu>
17955 Docstring fixes re quotes in C code
17956 Fix some docstring quoting problems, mostly by escaping apostrophe.
17958 2015-09-01 Michael Albinus <michael.albinus@gmx.de>
17960 Some Tramp password fixes
17961 * lisp/net/tramp.el (tramp-clear-passwd): Clear also the passwords
17963 * lisp/net/tramp-sh.el (tramp-methods) <sudo>: Move "-p" "Password:"
17964 at the beginning of the command. Otherwise, it could be
17965 interpreted as password prompt if the remote host echoes the
17967 (tramp-remote-coding-commands): Add "openssl enc -base64".
17969 2015-09-01 Dmitry Gutov <dgutov@yandex.ru>
17971 Make vc-git-working-revision always return the commit hash
17972 * lisp/vc/vc-git.el (vc-git-working-revision):
17973 Return the commit hash (bug#21383).
17974 (vc-git--symbolic-ref): New function, extracted from above.
17975 (vc-git-mode-line-string): Use it.
17977 2015-09-01 K. Handa <handa@gnu.org>
17979 Use the new type MFLTGlyphFT for MFLTGlyphString.glyphs
17980 * src/ftfont.c (MFLTGlyphFT): New type.
17981 (ftfont_get_glyph_id, ftfont_get_metrics, ftfont_drive_otf)
17982 (ftfont_shape_by_flt): Make MFLTGlyphFT the actual type of
17983 elements in the array MFLTGlyphString.glyphs.
17985 2015-09-01 Stephen Leake <stephen_leake@stephe-leake.org>
17987 Improve comments in elisp-mode.el, elisp-mode-tests.el
17988 * lisp/progmodes/elisp-mode.el: Clean up FIXMEs, comments.
17990 Delete Emacs 25 test in mode-local.el
17991 * lisp/cedet/mode-local.el (describe-mode-local-overload):
17992 Fix missed an edit in previous commit.
17994 Show all known mode-local overrides in *Help*
17995 * lisp/cedet/mode-local.el (describe-mode-local-overload):
17996 Assume Emacs 25. Add all known mode-local overrides.
17998 2015-09-01 Katsumi Yamaoka <yamaoka@jpl.org>
18000 * lisp/gnus/gnus-sum.el (gnus-summary-search-article):
18001 Ensure that the article where the search word is found is displayed
18002 and pointed to in the summary buffer.
18004 2015-08-31 Zachary Kanfer <zkanfer@gmail.com> (tiny change)
18006 * lisp/newcomment.el (comment-dwim): Use `use-region-p'.
18007 When the region is active, but is empty (length 0), act as though
18008 the region was not active; that is, put a comment at the end of
18009 the line. (Bug#21119)
18011 2015-08-31 Katsumi Yamaoka <yamaoka@jpl.org>
18013 Port tls.el to older Emacs
18014 * lisp/net/tls.el (tls-format-message):
18015 Alias to format-message, or format if not available.
18016 (open-tls-stream): Use it.
18018 2015-08-31 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
18020 hideif.el: Recognize .h++ as C++ header
18021 * lisp/progmodes/hideif.el (hide-ifdef-header-regexp): Add .h++.
18023 isearch: Document character folding mode
18024 * lisp/isearch.el (isearch-forward):
18025 Mention `isearch-toggle-character-fold' in doc string.
18027 2015-08-31 Paul Eggert <eggert@cs.ucla.edu>
18029 Quoting fixes in ERC and Eshell
18030 * lisp/erc/erc-autoaway.el (erc-autoaway-set-away):
18031 * lisp/erc/erc-backend.el (define-erc-response-handler):
18032 * lisp/erc/erc-fill.el (erc-fill-static-center):
18033 * lisp/eshell/em-dirs.el (eshell-save-some-last-dir):
18034 * lisp/eshell/em-glob.el (eshell-glob-entries):
18035 * lisp/eshell/em-hist.el (eshell-save-some-history):
18036 * lisp/eshell/em-unix.el (eshell-remove-entries, eshell/rm)
18037 (eshell-shuffle-files):
18038 * lisp/eshell/esh-cmd.el (eshell-do-eval):
18039 * lisp/eshell/esh-proc.el (eshell-process-interact)
18040 (eshell-query-kill-processes):
18041 Respect ‘text-quoting-style’ in diagnostics and doc strings.
18043 Quoting fixes in Gnus
18044 * lisp/gnus/gnus-agent.el:
18045 (gnus-agent-possibly-synchronize-flags-server):
18046 * lisp/gnus/gnus-art.el (gnus-article-browse-delete-temp-files):
18047 * lisp/gnus/gnus-eform.el (gnus-edit-form):
18048 * lisp/gnus/gnus-group.el (gnus-group-edit-group)
18049 (gnus-group-nnimap-edit-acl):
18050 * lisp/gnus/gnus-topic.el (gnus-topic-edit-parameters):
18051 * lisp/gnus/mail-source.el (mail-source-delete-old-incoming):
18052 * lisp/gnus/message.el (message-strip-subject-encoded-words)
18053 (message-check-recipients, message-send-form-letter):
18054 * lisp/gnus/mm-decode.el (mm-display-part):
18055 * lisp/gnus/mm-uu.el (mm-uu-pgp-signed-extract-1):
18056 * lisp/gnus/mml-smime.el (mml-smime-get-dns-cert)
18057 (mml-smime-get-ldap-cert):
18058 * lisp/gnus/spam-report.el (spam-report-process-queue):
18059 Respect ‘text-quoting-style’ in diagnostics.
18060 * lisp/gnus/gnus-art.el (article-display-face)
18061 * lisp/gnus/gnus-fun.el (gnus-display-x-face-in-from):
18062 Use straight quoting in email.
18063 * lisp/gnus/rfc2231.el (rfc2231-decode-encoded-string):
18064 Escape apostrophes in doc strings.
18066 Quoting fixes in lisp mail, mh-e, net, url
18067 * lisp/mail/emacsbug.el (report-emacs-bug)
18068 (report-emacs-bug-hook): Use straight quotes in outgoing email,
18069 * lisp/mail/feedmail.el (feedmail-message-action-help-blat):
18070 * lisp/mail/rmail.el (rmail-unknown-mail-followup-to):
18071 * lisp/mail/rmailout.el (rmail-output-read-file-name):
18072 * lisp/net/imap.el (imap-interactive-login):
18073 * lisp/net/tls.el (open-tls-stream):
18074 * lisp/url/url-auth.el (url-register-auth-scheme):
18075 Respect ‘text-quoting-style’ in diagnostics.
18076 * lisp/mh-e/mh-e.el (mh-sortm-args):
18077 Quote docstring example using text quotes, not as a Lisp quote.
18079 2015-08-31 Stephen Leake <stephen_leake@stephe-leake.org>
18081 Fix some byte-compiler warnings in EDE
18082 This fixes a bug that caused ede-generic-new-autoloader to overwrite the
18083 existing autoloader list, rather than add to it.
18084 * lisp/cedet/ede/auto.el (ede-project-class-files): Delete obsolete name
18085 argument to eieio class constructor.
18086 (ede-show-supported-projects): New.
18087 (ede-add-project-autoload): Replace obsolete `eieio-object-name-string'
18088 with (oref ... name).
18089 (ede-auto-load-project): Use slot name, not initarg key.
18090 * lisp/cedet/ede/generic.el (ede-generic-load)
18091 (ede-generic-find-matching-target): Use slot name, not initarg key.
18092 (ede-find-target): Use oref-default on class name.
18093 (ede-generic-new-autoloader): Delete obsolete name argument to eieio
18095 (ede-enable-generic-projects): Make project type names unique.
18097 2015-08-31 Eli Zaretskii <eliz@gnu.org>
18099 Fix directory accessibility tests for w32 network volumes
18100 * src/w32.c (faccessat): Don't fail with network volumes without a
18102 (w32_accessible_directory_p): Handle network volumes without a
18105 Fix handling long file names in readdir on MS-Windows
18106 * src/w32.c (sys_readdir): Append "\*" to the directory after
18107 converting it to UTF-16/ANSI, not before, to avoid overflowing the
18108 260-character limit on file names in filename_to_utf16/ansi.
18110 Make file-accessible-directory-p reliable on MS-Windows
18111 * src/w32.c (w32_accessible_directory_p): New function.
18112 * src/w32.h (w32_accessible_directory_p): Add prototype.
18113 * src/fileio.c (file_accessible_directory_p) [WINDOWSNT]: Call
18114 w32_accessible_directory_p to test a directory for accessibility
18115 by the current user. (Bug#21346)
18116 (Ffile_accessible_directory_p): Remove the w32 specific caveat
18117 from the doc string.
18119 2015-08-31 Martin Rudalics <rudalics@gmx.at>
18121 Don't call do_pending_window_change in signal handlers (Bug#21380)
18122 * src/gtkutil.c (xg_frame_resized):
18123 * src/xterm.c (x_set_window_size):
18124 * src/w32term.c (x_set_window_size): Don't call
18125 do_pending_window_change.
18127 2015-08-31 Paul Eggert <eggert@cs.ucla.edu>
18129 Quoting fixes in lisp/org
18130 * lisp/org/org-agenda.el (org-search-view, org-todo-list)
18132 * lisp/org/org-capture.el (org-capture-mode)
18133 * lisp/org/org-ctags.el (org-ctags-visit-buffer-or-file)
18134 (org-ctags-ask-append-topic):
18135 * lisp/org/org.el (org-time-string-to-time)
18136 (org-time-string-to-absolute):
18137 * lisp/org/org-ctags.el (org-ctags-visit-buffer-or-file)
18138 (org-ctags-ask-append-topic):
18139 * lisp/org/org.el (org-time-string-to-time)
18140 (org-time-string-to-absolute):
18141 Respect ‘text-quoting-style’ in diagnostics.
18142 * lisp/org/org-agenda.el (org-agenda-custom-commands)
18143 (org-agenda-dim-blocked-tasks): Plural of TODO is TODOs, not TODO’s.
18144 * lisp/org/org-capture.el (org-capture-fill-template):
18145 Avoid contraction in output file that might be ASCII.
18146 * lisp/org/org-compat.el (format-message):
18147 Define if not already defined, for backward compatibility.
18148 * lisp/org/org-src.el (org-edit-src-save):
18149 * lisp/org/org.el (org-cycle, org-ctrl-c-ctrl-c):
18150 Escape apostrophes in diagnostics.
18152 Treat “instead” strings as docstrings
18153 * lisp/emacs-lisp/bytecomp.el (byte-compile-form):
18154 * lisp/emacs-lisp/macroexp.el (macroexp--obsolete-warning):
18155 Substitute quotes in instead strings.
18157 2015-08-31 Nicolas Petton <nicolas@petton.fr>
18159 Better documentation of seq-let
18160 * doc/lispref/sequences.texi (Sequence Functions): Rephrase the
18161 documentation of seq-let.
18163 2015-08-31 Paul Eggert <eggert@cs.ucla.edu>
18165 * lisp/international/ccl.el: Fix quoting.
18167 Quoting fixes in lisp/international and lisp/leim
18168 * lisp/international/ccl.el (ccl-dump, ccl-dump-call):
18169 * lisp/international/ja-dic-utl.el (skkdic-lookup-key):
18170 * lisp/international/mule-cmds.el:
18171 (select-safe-coding-system-interactively, leim-list-file-name):
18172 * lisp/international/quail.el (quail-use-package, quail-help):
18173 * lisp/international/titdic-cnv.el (tit-process-header)
18175 Respect text quoting style in doc strings and diagnostics.
18176 * lisp/international/quail.el (lisp/international/quail.el):
18177 * lisp/leim/quail/ethiopic.el ("ethiopic"):
18178 Escape apostrophes in doc strings.
18180 Make ‘text-quoting-style’ a plain defvar
18181 It doesn’t need customization, as it’s likely useful only by experts.
18182 Suggested by Stefan Monnier in:
18183 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg01020.html
18184 * lisp/cus-start.el: Remove doc.c section for builtin customized vars.
18186 Quoting fixes in lisp/textmodes
18187 * lisp/textmodes/bibtex.el (bibtex-validate)
18188 (bibtex-validate-globally, bibtex-search-entries):
18189 * lisp/textmodes/ispell.el (ispell-command-loop):
18190 * lisp/textmodes/page-ext.el (search-pages, pages-directory):
18191 * lisp/textmodes/texinfmt.el (texinfmt-version)
18192 (texinfo-format-region, texinfo-format-buffer-1):
18193 * lisp/textmodes/two-column.el (2C-split):
18194 Respect text quoting style in doc strings and diagnostics.
18195 * lisp/textmodes/conf-mode.el (conf-mode-map, conf-quote-normal):
18196 * lisp/textmodes/sgml-mode.el (sgml-specials, sgml-mode):
18197 Escape apostrophes in doc strings.
18199 Documentation fixes re quotes
18200 Prefer curved quotes in examples if users will typically see
18201 curved quotes when the examples run.
18202 Mention format-message when appropriate.
18203 Don’t use @code in examples.
18204 Quote an apostrophe with @kbd.
18206 Quoting fixes in lisp/progmodes
18207 * lisp/progmodes/cc-engine.el (c-bos-report-error):
18208 * lisp/progmodes/cpp.el (cpp-edit-reset):
18209 * lisp/progmodes/ebrowse.el (ebrowse-tags-apropos):
18210 * lisp/progmodes/etags.el (etags-tags-apropos-additional)
18211 (etags-tags-apropos, list-tags, tags-apropos):
18212 * lisp/progmodes/executable.el (executable-set-magic):
18213 * lisp/progmodes/octave.el (octave-sync-function-file-names)
18214 (octave-help, octave-find-definition-default-filename)
18215 (octave-find-definition):
18216 Respect text quoting style in doc strings and diagnostics.
18217 * lisp/progmodes/cc-langs.el (c-populate-syntax-table):
18218 * lisp/progmodes/verilog-mode.el (verilog-auto-reset-widths):
18219 * lisp/progmodes/vhdl-mode.el (vhdl-electric-quote):
18220 Escape apostrophes in doc strings.
18221 * lisp/progmodes/cmacexp.el (c-macro-expansion):
18222 Use straight quoting in ASCII comment.
18223 * lisp/progmodes/idlwave.el (idlwave-auto-fill-split-string)
18224 (idlwave-pad-keyword):
18225 * lisp/progmodes/vhdl-mode.el (vhdl-widget-directory-validate)
18226 (vhdl-electric-open-bracket, vhdl-electric-close-bracket):
18227 (vhdl-electric-semicolon, vhdl-electric-comma)
18228 (vhdl-electric-period, vhdl-electric-equal):
18229 Use directed quotes in diagnostics and doc strings.
18231 2015-08-30 Xue Fuqiao <xfq.free@gmail.com>
18233 Minor documentation and NEWS tweak
18234 * doc/lispintro/emacs-lisp-intro.texi (fwd-para let):
18235 Add an index entry.
18236 * etc/NEWS: Fix a typo in character-fold-to-regexp.
18238 2015-08-30 Michael Albinus <michael.albinus@gmx.de>
18240 * lisp/net/tramp-sh.el (tramp-convert-file-attributes):
18241 Revert patch from 2015-08-24. Tramp shall behave like for local files.
18242 * test/automated/tramp-tests.el (tramp-test18-file-attributes):
18245 2015-08-30 Paul Eggert <eggert@cs.ucla.edu>
18247 Text quoting fixes in cedet, emulation, emacs-lisp
18248 * lisp/cedet/ede.el (ede-check-project-directory):
18249 * lisp/cedet/semantic/analyze/debug.el
18250 (semantic-analyzer-debug-insert-include-summary):
18251 * lisp/cedet/semantic/bovine/c.el (semantic-c-describe-environment):
18252 * lisp/cedet/semantic/decorate/include.el
18253 (semantic-decoration-unknown-include-describe)
18254 (semantic-decoration-all-include-summary):
18255 * lisp/cedet/semantic/ia.el (semantic-ia-fast-jump):
18256 * lisp/emulation/edt.el (edt-load-keys):
18257 * lisp/emulation/viper-cmd.el
18258 (viper-display-current-destructive-command)
18259 (viper-query-replace, viper-brac-function):
18260 * lisp/emulation/viper-ex.el (viper-get-ex-token, ex-compile):
18261 * lisp/emulation/viper-macs.el (viper-unrecord-kbd-macro):
18262 Respect text quoting style in doc string or diagnostic.
18263 * lisp/cedet/mode-local.el (describe-mode-local-overload):
18264 Use format-message to avoid overtranslating quotes.
18265 * lisp/emacs-lisp/checkdoc.el (checkdoc-in-sample-code-p):
18266 Escape an apostrophe in a docstring.
18267 * lisp/emacs-lisp/warnings.el (lwarn): Fix doc string.
18269 2015-08-29 Daniel Colascione <dancol@dancol.org>
18271 Fix which-func for curly quotes: look for symbol, not message
18272 * lisp/progmodes/which-func.el (which-func-ff-hook): Look for new
18273 imenu-unavailable error symbol instead of trying to match message
18275 * lisp/imenu.el (imenu-unavailable): New error.
18276 (imenu-unavailable-error): New function.
18278 2015-08-29 Eli Zaretskii <eliz@gnu.org>
18280 Fix Python tests on MS-Windows
18281 * test/automated/python-tests.el
18282 (python-shell-calculate-command-1): Run python-shell-interpreter
18283 through shell-quote-argument before comparing with what
18284 python-shell-calculate-command returns.
18285 (python-shell-calculate-pythonpath-1)
18286 (python-shell-calculate-pythonpath-2)
18287 (python-shell-calculate-process-environment-2): Use path-separator
18288 instead of a literal ':'.
18289 (python-shell-calculate-exec-path-2)
18290 (python-shell-calculate-exec-path-3)
18291 (python-shell-calculate-exec-path-4)
18292 (python-shell-with-environment-1)
18293 (python-shell-with-environment-2): Run "/env/bin" through
18294 expand-file-name before comparing with exec-path. (Bug#21375)
18296 2015-08-29 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
18298 Use Core Text types/functions/variables/enumerators directly
18299 * src/macfont.h (FontDescriptorRef, FontRef, FontSymbolicTraits)
18300 (CharacterCollection): Remove typedefs. All uses replaced with
18302 (MAC_FONT_NAME_ATTRIBUTE, MAC_FONT_FAMILY_NAME_ATTRIBUTE)
18303 (MAC_FONT_TRAITS_ATTRIBUTE, MAC_FONT_SIZE_ATTRIBUTE)
18304 (MAC_FONT_CASCADE_LIST_ATTRIBUTE)
18305 (MAC_FONT_CHARACTER_SET_ATTRIBUTE, MAC_FONT_LANGUAGES_ATTRIBUTE)
18306 (MAC_FONT_FORMAT_ATTRIBUTE, MAC_FONT_SYMBOLIC_TRAIT)
18307 (MAC_FONT_WEIGHT_TRAIT, MAC_FONT_WIDTH_TRAIT)
18308 (MAC_FONT_SLANT_TRAIT): Remove macros. All uses replaced with
18310 (MAC_FONT_TRAIT_ITALIC, MAC_FONT_TRAIT_BOLD)
18311 (MAC_FONT_TRAIT_MONO_SPACE, MAC_FONT_TRAIT_COLOR_GLYPHS)
18312 (MAC_FONT_FORMAT_BITMAP)
18313 (MAC_CHARACTER_COLLECTION_IDENTITY_MAPPING)
18314 (MAC_CHARACTER_COLLECTION_ADOBE_JAPAN1): Remove enumerators.
18315 All uses replaced with definitions.
18316 (kCTFontTraitItalic, kCTFontTraitBold, kCTFontTraitMonoSpace)
18317 (kCTFontTraitColorGlyphs, kCTCharacterCollectionIdentityMapping)
18318 (kCTCharacterCollectionAdobeJapan1 kCTFontOrientationDefault):
18319 Add compatibility enumerators for older versions.
18320 (mac_font_descriptor_create_with_attributes)
18321 (mac_font_descriptor_create_matching_font_descriptors)
18322 (mac_font_descriptor_create_matching_font_descriptor)
18323 (mac_font_descriptor_copy_attribute)
18324 (mac_font_descriptor_supports_languages)
18325 (mac_font_create_with_name, mac_font_get_size)
18326 (mac_font_copy_family_name, mac_font_copy_character_set)
18327 (mac_font_get_glyphs_for_characters, mac_font_get_ascent)
18328 (mac_font_get_descent, mac_font_get_leading)
18329 (mac_font_get_underline_position)
18330 (mac_font_get_underline_thickness, mac_font_copy_graphics_font)
18331 (mac_font_copy_non_synthetic_table): Remove macros. All uses
18332 replaced with definitions.
18333 (mac_font_create_preferred_family_for_attributes)
18334 (mac_font_get_advance_width_for_glyph)
18335 (mac_font_get_bounding_rect_for_glyph)
18336 (mac_font_create_available_families, mac_font_shape):
18337 Remove macros for renamed functions.
18338 (mac_nsctfont_copy_font_descriptor): Remove unused macro.
18339 * src/macfont.m (mac_font_descriptor_supports_languages):
18340 Rename from mac_ctfont_descriptor_supports_languages.
18341 (mac_font_create_preferred_family_for_attributes): Rename from
18342 mac_ctfont_create_preferred_family_for_attributes.
18343 (mac_font_get_advance_width_for_glyph): Rename from
18344 mac_ctfont_get_advance_width_for_glyph.
18345 Use kCTFontOrientationDefault also for older versions.
18346 (mac_font_get_bounding_rect_for_glyph): Rename from
18347 mac_ctfont_get_bounding_rect_for_glyph.
18348 Use kCTFontOrientationDefault also for older versions.
18349 (mac_font_create_available_families): Rename from
18350 mac_ctfont_create_available_families.
18351 (mac_font_equal_in_postscript_name): Rename from
18352 mac_ctfont_equal_in_postscript_name. All uses changed.
18353 (mac_font_create_line_with_string_and_font): Rename from
18354 mac_ctfont_create_line_with_string_and_font. All uses changed.
18355 (mac_font_shape): Rename from mac_ctfont_shape.
18356 (mac_font_family_compare): Remove unused declaration.
18358 2015-08-29 Paul Eggert <eggert@cs.ucla.edu>
18360 Fix minor text quoting in calc, calendar, vc
18361 * lisp/calc/calc-ext.el (calc-shift-Z-prefix-help):
18362 * lisp/calc/calc-help.el (calc-j-prefix-help):
18363 * lisp/calc/calc-misc.el (calc-help):
18364 * lisp/calc/calc.el (calc-algebraic-mode, calc-mode):
18365 Escape an apostrophe in a docstring.
18366 * lisp/calc/calc-forms.el (calc-hms-notation):
18367 * lisp/calc/calc-mode.el (calc-display-raw, calc-algebraic-mode):
18368 Escape an apostrophe in a diagnostic.
18369 * lisp/calc/calc-misc.el (calc-help):
18370 * lisp/calendar/diary-lib.el (diary-include-files):
18371 * lisp/calendar/todo-mode.el (todo-prefix, todo-item-mark):
18372 * lisp/vc/diff-mode.el (diff-delete-trailing-whitespace):
18373 * lisp/vc/ediff-diff.el (ediff-same-contents):
18374 * lisp/vc/ediff-merg.el (ediff-re-merge):
18375 * lisp/vc/ediff-ptch.el (ediff-patch-file-internal):
18376 * lisp/vc/ediff-util.el (ediff-test-save-region)
18377 (ediff-status-info):
18378 * lisp/vc/ediff.el (ediff-merge-revisions)
18379 (ediff-merge-revisions-with-ancestor):
18380 * lisp/vc/pcvs.el (cvs-mode-checkout, cvs-vc-command-advice):
18381 * lisp/vc/vc-cvs.el (vc-cvs-mode-line-string):
18382 Respect text quoting style in doc string or diagnostic.
18383 * lisp/calc/calc-prog.el (calc-kbd-push, calc-kbd-pop):
18384 * lisp/vc/add-log.el (change-log-goto-source):
18385 Avoid double-formatting.
18386 * lisp/vc/ediff-init.el (format-message):
18387 New backward-compatibility alias.
18389 2015-08-28 Paul Eggert <eggert@cs.ucla.edu>
18391 Fix minor text quoting problems in lisp top level
18392 * lisp/apropos.el (apropos-describe-plist):
18393 * lisp/cus-theme.el (customize-themes):
18394 * lisp/dired.el (dired-log):
18395 * lisp/help-fns.el (describe-variable):
18396 * lisp/hexl.el (hexl-insert-multibyte-char):
18397 * lisp/info.el (Info-finder-find-node):
18398 * lisp/json.el (json-read-string):
18399 * lisp/novice.el (disabled-command-function)
18400 (disabled-command-function):
18401 * lisp/startup.el (normal-mouse-startup-screen):
18402 * lisp/woman.el (WoMan-log, WoMan-warn):
18403 Respect text quoting style in doc string or diagnostic.
18404 * lisp/replace.el (replace-character-fold):
18405 * src/syntax.c (Fmodify_syntax_entry):
18406 Escape an apostrophe in a docstring.
18407 * lisp/tempo.el (tempo-define-template):
18408 Remove confusing apostrophe from docstring.
18409 * lisp/whitespace.el (whitespace-mark-x):
18410 Use directed quotes in docstring.
18412 2015-08-28 Simen Heggestøyl <simenheg@gmail.com>
18414 Fix indentation rule in css-mode
18415 * lisp/textmodes/css-mode.el (css-smie-rules): Fix indentation of
18416 brackets in presence of pseudo-selectors. (Bug#21328)
18418 2015-08-28 Eli Zaretskii <eliz@gnu.org>
18420 Fix a bug in recording a macro while flyspell-mode is active
18421 * lisp/subr.el (sit-for): Don't call read-event when recording a
18424 2015-08-27 Paul Eggert <eggert@Penguin.CS.UCLA.EDU>
18426 Tweak startup screen quoting
18427 * lisp/startup.el (normal-splash-screen): Use standard
18428 "M-" abbrevation rather than a confusingly-different one.
18429 (normal-no-mouse-startup-screen): Follow ‘text-quoting-style’.
18431 2015-08-27 Paul Eggert <eggert@cs.ucla.edu>
18433 Add test case for ‘format’ bug and refactor
18434 * src/editfns.c (styled_format): Refactor internally, mostly by
18435 moving declarations closer to uses. This should not affect behavior.
18436 * test/automated/textprop-tests.el (textprop-tests-format): New test.
18438 Fix ‘format’ bug with property offsets
18439 * src/editfns.c (styled_format): Fix recently-introduced ‘format’
18440 bug in calculating string property offsets (Bug#21351).
18442 Use straight quotes in lib-src diagnostics
18443 These auxiliary programs can’t use Emacs’s text-quoting-style,
18444 and it’s too much trouble to redo that mechanism by hand.
18445 So just use straight quotes for now.
18446 * lib-src/ebrowse.c (main):
18447 * lib-src/emacsclient.c (decode_options, main):
18448 * lib-src/etags.c (Ada_help, default_C_help, Cplusplus_help)
18449 (Forth_help, HTML_help, Lisp_help, Makefile_help, Objc_help)
18450 (Perl_help, PHP_help, Python_help, Scheme_help, TeX_help, auto_help)
18451 (none_help, print_language_names, print_help, add_regex)
18452 (suggest_asking_for_help):
18453 * lib-src/make-docfile.c (write_c_args, scan_c_stream):
18454 Use straight quotes in diagnostics.
18456 ‘text-quoting-style’ fixes for admin
18457 * admin/admin.el (cusver-scan, cusver-check):
18458 * admin/authors.el (authors-canonical-file-name):
18459 * admin/bzrmerge.el (bzrmerge-missing):
18460 Respect ‘text-quoting-style’ in diagnostics.
18462 2015-08-26 Paul Eggert <eggert@cs.ucla.edu>
18464 Assume GC_MARK_STACK == GC_MAKE_GCPROS_NOOPS
18465 This removes the need for GCPRO1 etc. Suggested by Stefan Monnier in:
18466 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00918.html
18467 * doc/lispref/internals.texi (Writing Emacs Primitives):
18469 Document the change.
18470 * src/alloc.c (gcprolist, dump_zombies, MAX_ZOMBIES, zombies)
18471 (nzombies, ngcs, avg_zombies, max_live, max_zombies, avg_live)
18472 (Fgc_status, check_gcpros, relocatable_string_data_p, gc-precise):
18473 * src/bytecode.c (mark_byte_stack) [BYTE_MARK_STACK]:
18474 * src/eval.c (gcpro_level) [DEBUG_GCPRO]:
18475 * src/lisp.h (struct handler.gcpro, struct gcpro, GC_MARK_STACK)
18476 (GC_USE_GCPROS_AS_BEFORE, GC_MAKE_GCPROS_NOOPS)
18477 (GC_MARK_STACK_CHECK_GCPROS, GC_USE_GCPROS_CHECK_ZOMBIES)
18478 (BYTE_MARK_STACK, GCPRO1, GCPRO2, GCPRO3, GCPRO4, GCPRO5, GCPRO6)
18479 (GCPRO7, UNGCPRO, RETURN_UNGCPRO):
18480 Remove. All uses removed. The code now assumes
18481 GC_MARK_STACK == GC_MAKE_GCPROS_NOOPS.
18482 * src/bytecode.c (relocate_byte_stack):
18483 Rename from unmark_byte_stack, since it now only relocates.
18484 All callers changed.
18485 * src/frame.c (make_frame): Add an IF_LINT to pacify GCC 5.2
18486 with GCPROs removed.
18487 * src/systime.h: Use EMACS_LISP_H as the canary instead of GCPRO1.
18488 * test/automated/finalizer-tests.el (finalizer-basic)
18489 (finalizer-circular-reference, finalizer-cross-reference)
18491 * test/automated/generator-tests.el (cps-test-iter-close-finalizer):
18492 Remove tests, as they depend on gc-precise.
18494 2015-08-26 Nicolas Petton <nicolas@petton.fr>
18496 Improve seq-concatenate for new sequence types
18497 Use the new `seq-into-sequence' in seqs passed to `seq-concatenate' to
18498 ensure that concatenation happens on sequences only. This makes it
18499 possible to use `seq-concatenate' for new types of seqs.
18500 * lisp/emacs-lisp/seq.el (seq-into-sequence, seq-concatenate):
18501 New function used in `seq-concatenate'.
18502 * test/automated/seq-tests.el (test-seq-into-sequence): New unit test
18503 for seq-into-sequence.
18505 2015-08-26 Stephen Leake <stephen_leake@stephe-leake.org>
18507 Add mode local overrides to xref-find-definitions
18508 * lisp/cedet/mode-local.el (xref-mode-local--override-present)
18509 (xref-mode-local-overload): New; add mode local overrides to
18510 xref-find-definitions.
18511 * test/automated/elisp-mode-tests.el: Add mode local override tests.
18512 (xref-elisp-test-run): Handle indented defuns.
18513 (xref-elisp-generic-*): Improve doc strings.
18514 * lisp/progmodes/elisp-mode.el (elisp-xref-find-def-functions): New.
18515 (elisp--xref-find-definitions): Use it.
18517 Add mode local overrides to describe-function
18518 * lisp/cedet/mode-local.el (describe-mode-local-overload):
18519 New; add mode local overrides to describe-function.
18520 * etc/NEWS: Document change.
18522 2015-08-26 Paul Eggert <eggert@cs.ucla.edu>
18524 Prefer straight quoting in some etc text files
18525 These files are plain text and might be used by non-Emacs apps.
18526 They’re mostly ASCII, so just use straight quotes.
18528 Fix quoting in ‘message_with_string’
18529 * src/nsfont.m (nsfont_open): Use directed quotes in format; they
18531 * src/xdisp.c (message_to_stderr): New function, refactored from
18532 part of ‘message3_nolog’.
18533 (message3_nolog): Use it.
18534 (message_with_string): Use it. Don’t mishandle NUL bytes when
18535 noninteractive. Prefer AUTO_STRING when it’s most likely faster.
18536 Use ‘format-message’, not ‘format’, so that quotes are translated.
18538 2015-08-26 Eli Zaretskii <eliz@gnu.org>
18540 Mention false positives of file-accessible-directory on w32
18541 * src/fileio.c (Ffile_accessible_directory_p): Doc fix.
18544 2015-08-26 Paul Eggert <eggert@cs.ucla.edu>
18546 Treat error strings as help
18547 * src/print.c (print_error_message): Translate quotes and command
18548 keys in errmsg so that users see, e.g., "Symbol’s value as
18549 variable is void: foo" when text-quoting-style is curved.
18551 2015-08-26 Michael Albinus <michael.albinus@gmx.de>
18553 * lisp/net/tramp-cache.el (top): Use `message', not `format-message'.
18555 2015-08-26 Paul Eggert <eggert@cs.ucla.edu>
18557 Top-level elisp files respect ‘text-quoting-style’
18558 In top-level elisp files, use format-message in diagnostic formats,
18559 so that they follow user preference as per ‘text-quoting-style’
18560 rather than being hard-coded to quote `like this'.
18561 * lisp/allout.el (allout-get-configvar-values):
18562 * lisp/apropos.el (apropos-symbols-internal):
18563 * lisp/dired-aux.el (dired-do-shell-command, dired-create-files)
18564 (dired-do-create-files-regexp, dired-create-files-non-directory):
18565 * lisp/dired-x.el (dired-do-run-mail):
18566 * lisp/dired.el (dired-log, dired-dnd-handle-local-file):
18567 * lisp/disp-table.el (standard-display-european):
18568 * lisp/find-dired.el (find-dired):
18569 * lisp/forms.el (forms-mode):
18570 * lisp/ido.el (ido-buffer-internal):
18571 * lisp/info.el (Info-index-next):
18572 * lisp/outline.el (outline-invent-heading):
18573 * lisp/printing.el (pr-ps-outfile-preprint, pr-i-ps-send):
18574 * lisp/proced.el (proced-log):
18575 * lisp/ps-print.el (ps-print-preprint, ps-get-size):
18576 * lisp/recentf.el (recentf-open-files, recentf-save-list):
18577 * lisp/savehist.el (savehist-save):
18578 * lisp/server.el (server-ensure-safe-dir):
18579 * lisp/ses.el (ses-rename-cell):
18580 * lisp/simple.el (list-processes--refresh):
18581 * lisp/startup.el (command-line):
18582 * lisp/strokes.el (strokes-unset-last-stroke)
18583 (strokes-execute-stroke):
18584 Use format-message so that quotes are restyled.
18585 * lisp/cus-edit.el (custom-raised-buttons, customize-browse):
18586 Don’t quote ‘raised’.
18587 * lisp/descr-text.el (describe-char):
18588 * lisp/dirtrack.el (dirtrack-debug-message):
18589 * lisp/hexl.el (hexl-insert-multibyte-char):
18590 Apply substitute-command-keys to help string.
18591 * lisp/wdired.el (wdired-do-renames, wdired-do-symlink-changes)
18592 (wdired-do-perm-changes):
18593 Let dired-log do the formatting.
18595 2015-08-25 Paul Eggert <eggert@cs.ucla.edu>
18597 Go back to grave quoting in Tramp
18598 * lisp/net/tramp-adb.el:
18599 * lisp/net/tramp-cache.el:
18600 * lisp/net/tramp-compat.el:
18601 * lisp/net/tramp-gvfs.el:
18602 * lisp/net/tramp-gw.el:
18603 * lisp/net/tramp-sh.el:
18604 * lisp/net/tramp-smb.el:
18605 * lisp/net/tramp.el:
18606 Stick with grave quoting in diagnostics strings. This is more
18607 portable to older Emacs, desirable for Tramp.
18608 * lisp/net/tramp-cache.el: Use ‘format-message’, not ‘format’,
18609 for diagnostic that needs requoting.
18610 * lisp/net/tramp-compat.el (format-message):
18611 Fall back on simple ‘format’, since that’s good enough now.
18613 Go back to grave quoting in Gnus
18614 * lisp/gnus/auth-source.el (auth-source-netrc-parse-entries):
18615 * lisp/gnus/gnus-agent.el (gnus-agent-check-overview-buffer)
18616 (gnus-agent-fetch-headers):
18617 * lisp/gnus/gnus-int.el (gnus-start-news-server):
18618 * lisp/gnus/gnus-registry.el:
18619 (gnus-registry--split-fancy-with-parent-internal)
18620 (gnus-registry-post-process-groups):
18621 * lisp/gnus/gnus-score.el (gnus-summary-increase-score):
18622 * lisp/gnus/gnus-start.el (gnus-convert-old-newsrc):
18623 * lisp/gnus/gnus-topic.el (gnus-topic-rename):
18624 * lisp/gnus/legacy-gnus-agent.el (gnus-agent-unlist-expire-days):
18625 * lisp/gnus/spam.el (spam-check-blackholes):
18626 Stick with grave quoting in diagnostics strings. This is more
18627 portable to older Emacs, desirable for Gnus.
18629 Fix customization of text-quoting-style
18630 * lisp/cus-edit.el (custom-guess-type, custom-variable-documentation):
18631 * lisp/wid-edit.el (widget-docstring):
18632 Get raw docstring here since it’s cooked later and should not be
18634 * lisp/cus-edit.el (custom-group-value-create):
18635 Cook the docstring before inserting it.
18636 * lisp/cus-start.el (text-quoting-style): Quote the customization
18637 docstrings according to the new rules. Give curved examples.
18639 format-message now curves ` and '
18640 That way, the caller doesn’t have to use curved quotes to
18641 get diagnostics that match the text-quoting-style preferences.
18642 Suggested by Dmitry Gutov in:
18643 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00893.html
18644 This means we no longer need %qs, so remove that format.
18645 While we’re at it, fix an unlikely bug and lessen the pressure
18646 on the garbage collector by processing the string once rather
18647 than twice in the usual case.
18648 * doc/lispref/strings.texi (Formatting Strings):
18649 * etc/NEWS: Document this.
18650 * lisp/subr.el (format-message): Remove; now done in C.
18651 * src/callint.c (Fcall_interactively):
18652 * src/editfns.c (Fmessage, Fmessage_box):
18653 Use Fformat_message instead of Finternal__text_restyle
18654 followed by Fformat.
18655 * src/doc.c (LSQM, RSQM): Remove; all uses changed to use
18657 (Fsubstitute_command_keys): Prefer AUTO_STRING to build_string
18658 when pure ASCII now suffices. Fix unlikely bug when parsing
18659 unibyte string containing non-ASCII bytes. Use inline code
18660 rather than memcpy, as it’s a tiny number of bytes.
18661 (Finternal__text_restyle): Remove; no longer used.
18662 (syms_of_doc): Don’t declare it.
18663 * src/editfns.c (Fformat): Rewrite in terms of new function
18665 (Fformat_message): New function, moved here from subr.el.
18666 (styled_format): New function, with the old guts of Fformat,
18667 except it now optionally transliterates quotes, and it transliterates
18668 traditional grave accent and apostrophe quoting as well.
18669 Remove recently-added q flag; no longer needed or used.
18670 (syms_of_editfns): Define format-message.
18671 * src/lisp.h (uLSQM0, uLSQM1, uLSQM2, uRSQM0, uRSQM1, uRSQM2):
18672 Remove; no longer need to be global symbols.
18673 * src/xdisp.c (vadd_to_log): Use Fformat_message, not Fformat,
18674 so that callers can use `%s'.
18675 * src/image.c (image_size_error, xbm_load_image, xbm_load)
18676 (xpm_load, pbm_load, png_load_body, jpeg_load_body, tiff_load)
18677 (gif_load, imagemagick_load_image, imagemagick_load, svg_load)
18678 (svg_load_image, gs_load, x_kill_gs_process):
18679 * src/lread.c (load_warn_old_style_backquotes):
18680 * src/xfaces.c (load_pixmap):
18681 * src/xselect.c (x_clipboard_manager_error_1):
18682 Use `%s' instead of %qs in formats.
18684 2015-08-25 Eli Zaretskii <eliz@gnu.org>
18686 Minor fixes in doc/emacs/search.texi
18687 * doc/emacs/search.texi (Basic Isearch): Fix a typo.
18688 (Special Isearch): Use @w{} to generate several consecutive spaces
18689 with Texinfo 6. (Bug#21345)
18691 2015-08-25 Michael Albinus <michael.albinus@gmx.de>
18693 * lisp/net/tramp-sh.el (tramp-awk-encode, tramp-awk-decode)
18694 (tramp-awk-coding-test): New defconsts.
18695 (tramp-remote-coding-commands): Use them.
18696 (tramp-find-inline-encoding): Check for Perl only if necessary.
18698 2015-08-25 Xue Fuqiao <xfq.free@gmail.com>
18700 * doc/lispintro/emacs-lisp-intro.texi (Run a Program): Add some
18701 index entries for the special form `quote'.
18703 2015-08-25 Paul Eggert <eggert@cs.ucla.edu>
18707 Gnus format-message typo fix
18708 * lisp/gnus/gnus-util.el (gnus-format-message):
18709 Fix typo when running in older Emacs.
18711 Prefer directed to neutral quotes in docstings and diagnostics.
18712 In docstrings, escape apostrophes that would otherwise be translated
18713 to curved quotes using the newer, simpler rules.
18714 * admin/unidata/unidata-gen.el (unidata-gen-table):
18715 * lisp/align.el (align-region):
18716 * lisp/allout.el (allout-mode, allout-solicit-alternate-bullet):
18717 * lisp/bookmark.el (bookmark-default-annotation-text):
18718 * lisp/calc/calc-aent.el (math-read-if, math-read-factor):
18719 * lisp/calc/calc-lang.el (math-read-giac-subscr)
18720 (math-read-math-subscr):
18721 * lisp/calc/calc-misc.el (report-calc-bug):
18722 * lisp/calc/calc-prog.el (calc-fix-token-name)
18723 (calc-read-parse-table-part):
18724 * lisp/cedet/ede/pmake.el (ede-proj-makefile-insert-dist-rules):
18725 * lisp/cedet/semantic/complete.el (semantic-displayor-show-request):
18726 * lisp/dabbrev.el (dabbrev-expand):
18727 * lisp/emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine):
18728 * lisp/emacs-lisp/elint.el (elint-get-top-forms):
18729 * lisp/emacs-lisp/lisp-mnt.el (lm-verify):
18730 * lisp/emulation/viper-cmd.el (viper-toggle-search-style):
18731 * lisp/erc/erc-button.el (erc-nick-popup):
18732 * lisp/erc/erc.el (erc-cmd-LOAD, erc-handle-login):
18733 * lisp/eshell/em-dirs.el (eshell/cd):
18734 * lisp/eshell/em-glob.el (eshell-glob-regexp):
18735 * lisp/eshell/em-pred.el (eshell-parse-modifiers):
18736 * lisp/eshell/esh-arg.el (eshell-parse-arguments):
18737 * lisp/eshell/esh-opt.el (eshell-show-usage):
18738 * lisp/files-x.el (modify-file-local-variable):
18739 * lisp/filesets.el (filesets-add-buffer, filesets-remove-buffer)
18740 (filesets-update-pre010505):
18741 * lisp/find-cmd.el (find-generic, find-to-string):
18742 * lisp/gnus/auth-source.el (auth-source-netrc-parse-entries):
18743 * lisp/gnus/gnus-agent.el (gnus-agent-check-overview-buffer)
18744 (gnus-agent-fetch-headers):
18745 * lisp/gnus/gnus-int.el (gnus-start-news-server):
18746 * lisp/gnus/gnus-registry.el:
18747 (gnus-registry--split-fancy-with-parent-internal):
18748 * lisp/gnus/gnus-score.el (gnus-summary-increase-score):
18749 * lisp/gnus/gnus-start.el (gnus-convert-old-newsrc):
18750 * lisp/gnus/gnus-topic.el (gnus-topic-rename):
18751 * lisp/gnus/legacy-gnus-agent.el (gnus-agent-unlist-expire-days):
18752 * lisp/gnus/nnmairix.el (nnmairix-widget-create-query):
18753 * lisp/gnus/spam.el (spam-check-blackholes):
18754 * lisp/mail/feedmail.el (feedmail-run-the-queue):
18755 * lisp/mpc.el (mpc-playlist-rename):
18756 * lisp/net/ange-ftp.el (ange-ftp-shell-command):
18757 * lisp/net/mairix.el (mairix-widget-create-query):
18758 * lisp/net/tramp-cache.el:
18759 * lisp/obsolete/otodo-mode.el (todo-more-important-p):
18760 * lisp/obsolete/pgg-gpg.el (pgg-gpg-process-region):
18761 * lisp/obsolete/pgg-pgp.el (pgg-pgp-process-region):
18762 * lisp/obsolete/pgg-pgp5.el (pgg-pgp5-process-region):
18763 * lisp/org/ob-core.el (org-babel-goto-named-src-block)
18764 (org-babel-goto-named-result):
18765 * lisp/org/ob-fortran.el (org-babel-fortran-ensure-main-wrap):
18766 * lisp/org/ob-ref.el (org-babel-ref-resolve):
18767 * lisp/org/org-agenda.el (org-agenda-prepare):
18768 * lisp/org/org-bibtex.el (org-bibtex-fields):
18769 * lisp/org/org-clock.el (org-clock-notify-once-if-expired)
18770 (org-clock-resolve):
18771 * lisp/org/org-feed.el (org-feed-parse-atom-entry):
18772 * lisp/org/org-habit.el (org-habit-parse-todo):
18773 * lisp/org/org-mouse.el (org-mouse-popup-global-menu)
18774 (org-mouse-context-menu):
18775 * lisp/org/org-table.el (org-table-edit-formulas):
18776 * lisp/org/ox.el (org-export-async-start):
18777 * lisp/play/dunnet.el (dun-score, dun-help, dun-endgame-question)
18778 (dun-rooms, dun-endgame-questions):
18779 * lisp/progmodes/ada-mode.el (ada-goto-matching-start):
18780 * lisp/progmodes/ada-xref.el (ada-find-executable):
18781 * lisp/progmodes/antlr-mode.el (antlr-options-alists):
18782 * lisp/progmodes/flymake.el (flymake-parse-err-lines)
18783 (flymake-start-syntax-check-process):
18784 * lisp/progmodes/python.el (python-define-auxiliary-skeleton):
18785 * lisp/progmodes/sql.el (sql-comint):
18786 * lisp/progmodes/verilog-mode.el (verilog-load-file-at-point):
18787 * lisp/server.el (server-get-auth-key):
18788 * lisp/subr.el (version-to-list):
18789 * lisp/textmodes/reftex-ref.el (reftex-label):
18790 * lisp/textmodes/reftex-toc.el (reftex-toc-rename-label):
18791 * lisp/vc/ediff-diff.el (ediff-same-contents):
18792 * lisp/vc/vc-cvs.el (vc-cvs-mode-line-string):
18793 * test/automated/tramp-tests.el (tramp-test33-asynchronous-requests):
18794 Use directed rather than neutral quotes in diagnostics.
18796 Treat ' like ’ even when not matching `
18797 This is simpler and easier to explain, and should encourage better
18798 typography. Do this in Electric Quote mode and when translating
18799 quotes in docstrings. Inspired by a suggestion by Dmitry Gutov in:
18800 https://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00806.html
18801 * doc/emacs/text.texi (Quotation Marks):
18802 * doc/lispref/help.texi (Keys in Documentation):
18805 * lisp/electric.el (electric-quote-post-self-insert-function):
18806 * src/doc.c (Fsubstitute_command_keys):
18807 Always treat ' like ’ even when not matched by an open quote.
18809 2015-08-25 Glenn Morris <rgm@gnu.org>
18811 * doc/emacs/cal-xtra.texi (Holiday Customizing): Fix typo in example.
18812 * lisp/calendar/holidays.el (calendar-holidays): Fix doc typo.
18814 2015-08-24 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
18816 * src/macfont.m (macfont_create_family_with_symbol):
18817 Accept localized names.
18819 2015-08-24 Paul Eggert <eggert@cs.ucla.edu>
18821 Tramp diagnostics as per ‘text-quoting-style’
18822 * lisp/net/tramp-adb.el (tramp-adb-handle-file-truename)
18823 (tramp-adb-get-ls-command, tramp-adb-handle-make-directory)
18824 (tramp-adb-handle-delete-directory)
18825 (tramp-adb-handle-delete-file)
18826 (tramp-adb-handle-file-local-copy)
18827 (tramp-adb-handle-write-region, tramp-adb-handle-copy-file)
18828 (tramp-adb-send-command-and-check, tramp-adb-wait-for-output)
18829 (tramp-adb-maybe-open-connection):
18830 * lisp/net/tramp-cache.el:
18831 * lisp/net/tramp-compat.el (tramp-compat-temporary-file-directory)
18832 (tramp-compat-octal-to-decimal)
18833 (tramp-compat-coding-system-change-eol-conversion):
18834 * lisp/net/tramp-gvfs.el (tramp-gvfs-file-name-handler)
18835 (tramp-gvfs-do-copy-or-rename-file)
18836 (tramp-gvfs-handle-delete-directory)
18837 (tramp-gvfs-handle-delete-file)
18838 (tramp-gvfs-handle-expand-file-name)
18839 (tramp-gvfs-handle-file-local-copy)
18840 (tramp-gvfs-handle-file-notify-add-watch)
18841 (tramp-gvfs-handle-make-directory)
18842 (tramp-gvfs-handle-write-region, tramp-gvfs-url-file-name):
18843 * lisp/net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
18844 (tramp-gw-aux-proc-sentinel, tramp-gw-open-connection):
18845 * lisp/net/tramp-sh.el (tramp-sh-handle-file-truename)
18846 (tramp-sh-handle-set-visited-file-modtime)
18847 (tramp-sh-handle-set-file-modes)
18848 (tramp-sh-handle-file-name-all-completions)
18849 (tramp-sh-handle-add-name-to-file, tramp-do-copy-or-rename-file)
18850 (tramp-do-copy-or-rename-file-directly)
18851 (tramp-do-copy-or-rename-file-out-of-band)
18852 (tramp-sh-handle-make-directory)
18853 (tramp-sh-handle-delete-directory, tramp-sh-handle-delete-file)
18854 (tramp-sh-handle-insert-directory, tramp-process-sentinel)
18855 (tramp-sh-handle-start-file-process)
18856 (tramp-sh-handle-file-local-copy)
18857 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
18858 (tramp-sh-handle-file-notify-add-watch, tramp-maybe-send-script)
18859 (tramp-find-file-exists-command, tramp-open-shell)
18861 (tramp-open-connection-setup-interactive-shell)
18862 (tramp-find-inline-encoding, tramp-find-inline-compress)
18863 (tramp-compute-multi-hops, tramp-maybe-open-connection)
18864 (tramp-wait-for-output, tramp-send-command-and-check)
18865 (tramp-send-command-and-read, tramp-get-remote-path)
18866 (tramp-get-ls-command, tramp-get-ls-command-with-dired)
18867 (tramp-get-ls-command-with-quoting-style)
18868 (tramp-get-test-command, tramp-get-remote-ln)
18869 (tramp-get-remote-perl, tramp-get-remote-stat)
18870 (tramp-get-remote-readlink, tramp-get-remote-trash)
18871 (tramp-get-remote-touch, tramp-get-remote-gvfs-monitor-dir)
18872 (tramp-get-remote-inotifywait, tramp-get-remote-id)
18873 (tramp-get-remote-python):
18874 * lisp/net/tramp-smb.el (tramp-smb-errors)
18875 (tramp-smb-handle-add-name-to-file, tramp-smb-handle-copy-file)
18876 (tramp-smb-handle-delete-directory)
18877 (tramp-smb-handle-delete-file)
18878 (tramp-smb-handle-file-local-copy)
18879 (tramp-smb-handle-make-directory)
18880 (tramp-smb-handle-make-directory-internal)
18881 (tramp-smb-handle-make-symbolic-link)
18882 (tramp-smb-handle-rename-file, tramp-smb-handle-set-file-acl)
18883 (tramp-smb-handle-set-file-modes)
18884 (tramp-smb-handle-write-region, tramp-smb-get-file-entries):
18885 * lisp/net/tramp.el (tramp-debug-message, tramp-error)
18886 (tramp-process-actions):
18887 Generate diagnostics according to ‘text-quoting-style’, by
18888 using curved quotes in format strings and ‘format-message’
18890 * lisp/net/tramp-compat.el (format-message):
18891 Define a replacement, if it’s an older version of Emacs
18892 that doesn’t have it already.
18894 * etc/NEWS: Clarify text-quoting-style and electric-quote-mode.
18896 2015-08-24 Xue Fuqiao <xfq.free@gmail.com>
18898 Fix documentation for `save-excursion'
18899 * doc/lispref/positions.texi (Excursions):
18900 * doc/lispintro/emacs-lisp-intro.texi (save-excursion)
18901 (Template for save-excursion, Point and mark): `save-excursion'
18902 does not save&restore the mark any more.
18904 2015-08-24 Michael Albinus <michael.albinus@gmx.de>
18906 * lisp/net/tramp-sh.el (tramp-stat-marker, tramp-stat-quoted-marker):
18908 (tramp-do-file-attributes-with-stat)
18909 (tramp-do-directory-files-and-attributes-with-stat): Use them.
18910 (tramp-convert-file-attributes): Remove double slashes in symlinks.
18911 * test/automated/tramp-tests.el (tramp-test18-file-attributes):
18912 Handle symlinks with "//" in the file name.
18914 Revert fbb5531fa11d13854b274d28ccd329c9b6652cfc for tramp.el.
18916 2015-08-24 Nicolas Petton <nicolas@petton.fr>
18918 Fix cl-subseq and cl-concatenate
18919 * lisp/emacs-lisp/cl-extra.el (cl-subseq, cl-concatenate): Do not use
18921 * lisp/emacs-lisp/seq.el (seq-concatenate): Call cl-concatenate in
18924 2015-08-24 Pip Cet <pipcet@gmail.com> (tiny change)
18926 Fix full-screen code when there is no window manager (Bug#21317)
18927 * src/xterm.h (x_wm_supports): Declare external.
18928 * src/xterm.c (wm_suppports): Rename to `x_wm_supports', export.
18929 (do_ewmh_fullscreen, x_ewmh_activate_frame): Adjust for rename.
18930 (x_check_fullscreen): Call `x_wm_set_size_hint', restore
18931 `fullscreen' frame parameter.
18932 * src/gtkutil.c (x_wm_set_size_hint): Set size hints when running
18933 without a window manager.
18935 2015-08-24 Glenn Morris <rgm@gnu.org>
18937 * lisp/version.el (emacs-version): No longer include build host.
18938 * doc/lispref/intro.texi (Version Info): Update example.
18940 2015-08-24 Paul Eggert <eggert@cs.ucla.edu>
18942 * doc/lispref/elisp.texi: Fix typo in previous change.
18944 More-conservative ‘format’ quote restyling
18945 Instead of restyling curved quotes for every call to ‘format’,
18946 create a new function ‘format-message’ that does the restyling,
18947 and using the new function instead of ‘format’ only in contexts
18948 where this seems appropriate.
18949 Problem reported by Dmitry Gutov and Andreas Schwab in:
18950 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00826.html
18951 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00827.html
18952 * doc/lispref/commands.texi (Using Interactive):
18953 * doc/lispref/control.texi (Signaling Errors, Signaling Errors):
18954 * doc/lispref/display.texi (Displaying Messages, Progress):
18955 * doc/lispref/elisp.texi:
18956 * doc/lispref/help.texi (Keys in Documentation):
18957 * doc/lispref/minibuf.texi (Minibuffer Misc):
18958 * doc/lispref/strings.texi (Formatting Strings):
18960 Document the changes.
18961 * lisp/abbrev.el (expand-region-abbrevs):
18962 * lisp/apropos.el (apropos-library):
18963 * lisp/calc/calc-ext.el (calc-record-message)
18964 (calc-user-function-list):
18965 * lisp/calc/calc-help.el (calc-describe-key, calc-full-help):
18966 * lisp/calc/calc-lang.el (math-read-big-balance):
18967 * lisp/calc/calc-store.el (calc-edit-variable):
18968 * lisp/calc/calc-units.el (math-build-units-table-buffer):
18969 * lisp/calc/calc-yank.el (calc-edit-mode):
18970 * lisp/calendar/icalendar.el (icalendar-export-region)
18971 (icalendar--add-diary-entry):
18972 * lisp/cedet/mode-local.el (mode-local-print-binding)
18973 (mode-local-describe-bindings-2):
18974 * lisp/cedet/semantic/complete.el (semantic-completion-message):
18975 * lisp/cedet/semantic/edit.el (semantic-parse-changes-failed):
18976 * lisp/cedet/semantic/wisent/comp.el (wisent-log):
18977 * lisp/cedet/srecode/insert.el (srecode-insert-show-error-report):
18978 * lisp/descr-text.el (describe-text-properties-1, describe-char):
18979 * lisp/dframe.el (dframe-message):
18980 * lisp/dired-aux.el (dired-query):
18981 * lisp/emacs-lisp/byte-opt.el (byte-compile-log-lap-1):
18982 * lisp/emacs-lisp/bytecomp.el (byte-compile-log)
18983 (byte-compile-log-file, byte-compile-warn, byte-compile-form):
18984 * lisp/emacs-lisp/cconv.el (cconv-convert, cconv--analyze-use)
18985 (cconv-analyze-form):
18986 * lisp/emacs-lisp/check-declare.el (check-declare-warn):
18987 * lisp/emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine):
18988 * lisp/emacs-lisp/cl-macs.el (cl-symbol-macrolet):
18989 * lisp/emacs-lisp/edebug.el (edebug-format):
18990 * lisp/emacs-lisp/eieio-core.el (eieio-oref):
18991 * lisp/emacs-lisp/eldoc.el (eldoc-minibuffer-message)
18993 * lisp/emacs-lisp/elint.el (elint-file, elint-log):
18994 * lisp/emacs-lisp/find-func.el (find-function-library):
18995 * lisp/emacs-lisp/macroexp.el (macroexp--obsolete-warning):
18996 * lisp/emacs-lisp/map-ynp.el (map-y-or-n-p):
18997 * lisp/emacs-lisp/nadvice.el (advice--make-docstring):
18998 * lisp/emacs-lisp/package.el (package-compute-transaction)
18999 (package-install-button-action, package-delete-button-action)
19000 (package-menu--list-to-prompt):
19001 * lisp/emacs-lisp/timer.el (timer-event-handler):
19002 * lisp/emacs-lisp/warnings.el (lwarn, warn):
19003 * lisp/emulation/viper-cmd.el:
19004 (viper-toggle-parse-sexp-ignore-comments)
19005 (viper-kill-buffer, viper-brac-function):
19006 * lisp/emulation/viper-macs.el (viper-record-kbd-macro):
19007 * lisp/facemenu.el (facemenu-add-new-face):
19008 * lisp/faces.el (face-documentation, read-face-name)
19009 (face-read-string, read-face-font, describe-face):
19010 * lisp/files.el (find-alternate-file, hack-local-variables)
19011 (hack-one-local-variable--obsolete, write-file)
19012 (basic-save-buffer, delete-directory):
19013 * lisp/format.el (format-write-file, format-find-file)
19014 (format-insert-file):
19015 * lisp/help-fns.el (help-fns--key-bindings)
19016 (help-fns--compiler-macro, help-fns--obsolete)
19017 (help-fns--interactive-only, describe-function-1)
19018 (describe-variable):
19019 * lisp/help.el (describe-mode):
19020 * lisp/info-xref.el (info-xref-output):
19021 * lisp/info.el (Info-virtual-index-find-node)
19022 (Info-virtual-index, info-apropos):
19023 * lisp/international/kkc.el (kkc-error):
19024 * lisp/international/mule-cmds.el:
19025 (select-safe-coding-system-interactively)
19026 (select-safe-coding-system, describe-input-method):
19027 * lisp/international/mule-conf.el (code-offset):
19028 * lisp/international/mule-diag.el (describe-character-set)
19029 (list-input-methods-1):
19030 * lisp/international/quail.el (quail-error):
19031 * lisp/minibuffer.el (minibuffer-message):
19032 * lisp/mpc.el (mpc--debug):
19033 * lisp/msb.el (msb--choose-menu):
19034 * lisp/net/ange-ftp.el (ange-ftp-message):
19035 * lisp/net/gnutls.el (gnutls-message-maybe):
19036 * lisp/net/newst-backend.el (newsticker--sentinel-work):
19037 * lisp/net/newst-treeview.el (newsticker--treeview-load):
19038 * lisp/net/nsm.el (nsm-query-user):
19039 * lisp/net/rlogin.el (rlogin):
19040 * lisp/net/soap-client.el (soap-warning):
19041 * lisp/net/tramp.el (tramp-debug-message):
19042 * lisp/nxml/nxml-outln.el (nxml-report-outline-error):
19043 * lisp/nxml/nxml-parse.el (nxml-parse-error):
19044 * lisp/nxml/rng-cmpct.el (rng-c-error):
19045 * lisp/nxml/rng-match.el (rng-compile-error):
19046 * lisp/nxml/rng-uri.el (rng-uri-error):
19047 * lisp/obsolete/iswitchb.el (iswitchb-possible-new-buffer):
19048 * lisp/org/org-ctags.el:
19049 (org-ctags-ask-rebuild-tags-file-then-find-tag):
19050 * lisp/proced.el (proced-log):
19051 * lisp/progmodes/ebnf2ps.el (ebnf-log):
19052 * lisp/progmodes/flymake.el (flymake-log):
19053 * lisp/progmodes/vhdl-mode.el (vhdl-warning-when-idle):
19054 * lisp/replace.el (occur-1):
19055 * lisp/simple.el (execute-extended-command)
19056 (undo-outer-limit-truncate, define-alternatives):
19057 * lisp/startup.el (command-line):
19058 * lisp/subr.el (error, user-error, add-to-list):
19059 * lisp/tutorial.el (tutorial--describe-nonstandard-key)
19060 (tutorial--find-changed-keys):
19061 * src/callint.c (Fcall_interactively):
19062 * src/editfns.c (Fmessage, Fmessage_box):
19063 Restyle the quotes of format strings intended for use as a
19064 diagnostic, when restyling seems appropriate.
19065 * lisp/subr.el (format-message): New function.
19066 * src/doc.c (Finternal__text_restyle): New function.
19067 (syms_of_doc): Define it.
19069 2015-08-23 Paul Eggert <eggert@cs.ucla.edu>
19071 * etc/NEWS: The new ‘q’ flag is not an incompatible change.
19073 2015-08-23 Fabián Ezequiel Gallina <fgallina@gnu.org>
19075 python.el: Fix python-shell-buffer-substring on indented code
19077 * lisp/progmodes/python.el (python-shell-buffer-substring):
19078 Respect current line indentation when calculating string.
19079 * test/automated/python-tests.el
19080 (python-shell-buffer-substring-10)
19081 (python-shell-buffer-substring-11)
19082 (python-shell-buffer-substring-12): New tests.
19084 2015-08-23 Paul Eggert <eggert@cs.ucla.edu>
19086 Fix minor glitches from ‘format’ reversion
19087 * doc/lispref/strings.texi (Formatting Strings):
19088 After reversion, ‘text-quoting-style’ is documented in ‘Keys in
19089 Documentation’, not below.
19090 * src/syntax.c (Finternal_describe_syntax_value):
19091 Prefer AUTO_STRING to build_string where either will do, as
19092 AUTO_STRING is a bit faster.
19094 2015-08-23 Fabián Ezequiel Gallina <fgallina@gnu.org>
19096 python.el: Defer shell setup code until first interactive prompt
19097 * lisp/progmodes/python.el
19098 (python-shell-comint-watch-for-first-prompt-output-filter):
19100 (inferior-python-mode): Use it.
19101 (python-shell-first-prompt-hook): New hook.
19102 (python-shell-send-setup-code)
19103 (python-shell-completion-native-turn-on-maybe-with-msg): Attach to
19104 this hook instead of inferior-python-hook.
19106 2015-08-23 Nicolas Petton <nicolas@petton.fr>
19108 Remove the calls to `seq-into` from `seq-concatenate`
19109 Since most new types of seq would have to be defined as sequences (cons
19110 cells or CL structs, mostly), there is no need to convert the seqs to
19111 sequences (which can be a fairly expensive operation).
19112 * lisp/emacs-lisp/seq.el (seq-concatenate): Do not ensure that seqs are
19115 2015-08-23 Fabián Ezequiel Gallina <fgallina@gnu.org>
19117 python.el: Fix completion for pdb interactions
19118 * lisp/progmodes/python.el (python-shell-completion-setup-code):
19119 Simplify. Toggle print_mode for native wrapped completer.
19120 (python-shell-completion-native-setup): Ensure process buffer.
19121 Add print_mode attribute to completer wrapper to toggle returning
19122 or printing candidates.
19123 (python-shell-completion-native-get-completions): Cleanup.
19124 (python-shell-completion-get-completions): Cleanup.
19125 (python-shell-completion-at-point): Perform prompt checks.
19126 Force fallback completion in pdb interactions.
19128 2015-08-23 Nicolas Petton <nicolas@petton.fr>
19130 Make seq.el more extensible by using cl-defmethod
19131 * lisp/emacs-lisp/seq.el: Define seq.el functions using cl-defmethod to
19132 make it easier to extend seq.el with new "seq types".
19133 * test/automated/seq-tests.el (test-setf-seq-elt): New test.
19134 * lisp/emacs-lisp/cl-extra.el (cl-subseq): Move back the definition of
19135 subseq in cl-extra.el, and use it in seq.el.
19137 2015-08-23 Fabián Ezequiel Gallina <fgallina@gnu.org>
19139 python.el: Fix prompt detection with user overridden interpreter
19140 * lisp/progmodes/python.el (python-shell-prompt-detect):
19141 Honor buffer local python-shell-interpreter and
19142 python-shell-interpreter-interactive-arg.
19144 2015-08-23 Eli Zaretskii <eliz@gnu.org>
19146 Support exec-directory with non-ASCII characters on Windows
19147 * src/w32proc.c (sys_spawnve): Make sure exec-directory is encoded
19148 in the system's ANSI codepage, when it is used for invoking
19151 2015-08-23 Andreas Schwab <schwab@linux-m68k.org>
19153 Revert "Extend ‘format’ to translate curved quotes"
19154 This reverts commit 244c801689d2f7a80480d83cd7d092d4762ebe08.
19156 Revert "Prefer ‘format’ to ‘substitute-command-keys’"
19157 This reverts commit 6af5aad26411ffe21c3fe4bc5438347110910111.
19159 2015-08-23 Xue Fuqiao <xfq.free@gmail.com>
19161 * doc/lispintro/emacs-lisp-intro.texi (Switching Buffers):
19162 Clarify "invisible window".
19164 2015-08-23 Xue Fuqiao <xfq.free@gmail.com>
19166 * doc/emacs/modes.texi (Choosing Modes): Minor doc fix for
19167 magic-fallback-mode-alist.
19169 2015-08-22 Fabián Ezequiel Gallina <fgallina@gnu.org>
19171 python.el: fallback completion, ffap and eldoc setup enhancements
19172 Setup codes are now sent continuously so that the current frame is
19173 always taken into account. This allows working within debuggers
19174 and always keeping a fresh version of setup codes that will return
19176 * lisp/progmodes/python.el (python-shell-setup-codes): Cleanup.
19177 (python-shell-send-setup-code): Send code only when
19178 python-shell-setup-codes is non-nil.
19179 (python-shell-completion-string-code): Cleanup trailing newline.
19180 (python-shell-completion-get-completions): Always use
19181 python-shell-completion-setup-code.
19182 (python-ffap-setup-code): Work with any object, not only modules.
19183 (python-ffap-string-code): Cleanup trailing newline.
19184 (python-ffap-module-path): Always use python-ffap-setup-code.
19185 (python-eldoc-string-code): Cleanup trailing newline.
19186 (python-eldoc--get-doc-at-point): Always use
19187 python-eldoc-setup-code. Return non-nil only if docstring is
19190 python.el: Increase native completion robustness
19191 * lisp/progmodes/python.el (python-shell-completion-native-setup):
19192 Make completer print real candidates and just return dummy ones to
19193 avoid input modification.
19194 (python-shell-completion-native-get-completions): Set
19195 comint-redirect-insert-matching-regexp to non-nil and make
19196 comint-redirect-finished-regexp match the last dummy candidate.
19197 Use python-shell-accept-process-output to wait for the full list
19200 2015-08-22 Eli Zaretskii <eliz@gnu.org>
19202 Fix invocation of programs via cmdproxy.exe
19203 * src/w32proc.c (sys_spawnve): Use exec-directory, not
19204 invocation-directory, for finding cmdproxy.exe. When Emacs is
19205 run from the source tree, look for cmdproxy.exe in the same source
19208 2015-08-22 Simen Heggestøyl <simenheg@gmail.com>
19210 Handle comments inside unquoted URIs in css-mode
19211 * lisp/textmodes/css-mode.el (css--uri-re): New defconst.
19212 (css-syntax-propertize-function): New defconst.
19213 (css--font-lock-keywords): Handle parens around unquoted URIs.
19214 (css-mode): Set `syntax-propertize-function'.
19216 2015-08-22 Eli Zaretskii <eliz@gnu.org>
19218 Support invocation of Hunspell with multiple dictionaries
19219 * lisp/textmodes/ispell.el (ispell-parse-hunspell-affix-file):
19220 Support lists of dictionaries of the form "DICT1,DICT2,...".
19221 (ispell-hunspell-add-multi-dic): New command. (Bug#20495)
19223 Minor formatting changes in ispell.el
19224 * lisp/textmodes/ispell.el (ispell-create-debug-buffer)
19225 (ispell-print-if-debug, ispell-aspell-find-dictionary)
19226 (ispell-aspell-add-aliases, ispell-hunspell-dict-paths-alist)
19227 (ispell-hunspell-dictionary-alist)
19228 (ispell-hunspell-fill-dictionary-entry)
19229 (ispell-find-hunspell-dictionaries, ispell-send-replacement)
19230 (ispell-buffer-with-debug, ispell-complete-word)
19231 (ispell-current-dictionary, ispell-current-personal-dictionary)
19232 (ispell-accept-output, ispell-minor-mode)
19233 (ispell-personal-dictionary, ispell-dictionary-alist)
19234 (ispell-really-aspell, ispell-really-hunspell)
19235 (ispell-encoding8-command, ispell-aspell-supports-utf8)
19236 (ispell-aspell-dictionary-alist, ispell-set-spellchecker-params):
19237 Fix whitespace, inconsistent capitalization, and arguments in doc
19240 2015-08-22 Martin Rudalics <rudalics@gmx.at>
19242 * lisp/window.el (adjust-window-trailing-edge): Fix bug where this
19243 function refused to resize a size-preserved window.
19245 2015-08-22 Eli Zaretskii <eliz@gnu.org>
19247 * doc/lispref/windows.texi (Selecting Windows): Improve
19248 documentation and indexing of 'window-use-time'.
19250 2015-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
19252 * lisp/progmodes/cc-vars.el, lisp/progmodes/cc-styles.el:
19253 * lisp/progmodes/cc-mode.el, lisp/progmodes/cc-menus.el:
19254 * lisp/progmodes/cc-langs.el, lisp/progmodes/cc-guess.el:
19255 * lisp/progmodes/cc-fonts.el, lisp/progmodes/cc-engine.el:
19256 * lisp/progmodes/cc-defs.el, lisp/progmodes/cc-cmds.el:
19257 * lisp/progmodes/cc-bytecomp.el, lisp/progmodes/cc-awk.el:
19258 * lisp/progmodes/cc-align.el, lisp/net/soap-client.el:
19259 Fix up commenting style.
19261 2015-08-21 Paul Eggert <eggert@cs.ucla.edu>
19263 text-quoting-style in emacs-lisp diagnostics
19264 * lisp/emacs-lisp/advice.el (ad-read-advised-function)
19265 (ad-read-advice-class, ad-read-advice-name, ad-enable-advice)
19266 (ad-disable-advice, ad-remove-advice, ad-set-argument)
19267 (ad-set-arguments):
19268 * lisp/emacs-lisp/byte-opt.el (byte-compile-inline-expand)
19269 (byte-compile-unfold-lambda, byte-optimize-form-code-walker)
19270 (byte-optimize-while, byte-optimize-apply):
19271 * lisp/emacs-lisp/bytecomp.el (byte-compile-lapcode)
19272 (byte-compile-log-file, byte-compile-format-warn)
19273 (byte-compile-nogroup-warn, byte-compile-arglist-warn)
19274 (byte-compile-cl-warn)
19275 (byte-compile-warn-about-unresolved-functions)
19276 (byte-compile-file, byte-compile-fix-header)
19277 (byte-compile--declare-var, byte-compile-file-form-defmumble)
19278 (byte-compile-form, byte-compile-normal-call)
19279 (byte-compile-variable-ref, byte-compile-variable-set)
19280 (byte-compile-subr-wrong-args, byte-compile-setq-default)
19281 (byte-compile-negation-optimizer)
19282 (byte-compile-condition-case--old)
19283 (byte-compile-condition-case--new, byte-compile-save-excursion)
19284 (byte-compile-defvar, byte-compile-autoload)
19285 (byte-compile-lambda-form)
19286 (byte-compile-make-variable-buffer-local, display-call-tree)
19287 (batch-byte-compile):
19288 * lisp/emacs-lisp/cconv.el (cconv-convert, cconv--analyze-use)
19289 (cconv-analyze-form):
19290 * lisp/emacs-lisp/chart.el (chart-space-usage):
19291 * lisp/emacs-lisp/check-declare.el (check-declare-scan)
19292 (check-declare-warn, check-declare-file)
19293 (check-declare-directory):
19294 * lisp/emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine)
19295 (checkdoc-message-text-engine):
19296 * lisp/emacs-lisp/cl-extra.el (cl-parse-integer):
19297 * lisp/emacs-lisp/cl-macs.el (cl--parse-loop-clause, cl-tagbody)
19298 (cl-symbol-macrolet):
19299 * lisp/emacs-lisp/cl.el (cl-unload-function, flet):
19300 * lisp/emacs-lisp/copyright.el (copyright)
19301 (copyright-update-directory):
19302 * lisp/emacs-lisp/edebug.el (edebug-read-list):
19303 * lisp/emacs-lisp/eieio-base.el (eieio-persistent-read):
19304 * lisp/emacs-lisp/eieio-core.el (eieio--slot-override)
19305 (eieio-oref, eieio-oset-default):
19306 * lisp/emacs-lisp/eieio-speedbar.el:
19307 (eieio-speedbar-child-make-tag-lines)
19308 (eieio-speedbar-child-description):
19309 * lisp/emacs-lisp/eieio.el (defclass, change-class):
19310 * lisp/emacs-lisp/elint.el (elint-file, elint-get-top-forms)
19311 (elint-init-form, elint-check-defalias-form)
19312 (elint-check-let-form):
19313 * lisp/emacs-lisp/ert.el (ert-get-test):
19314 * lisp/emacs-lisp/find-func.el (find-function-search-for-symbol)
19315 (find-function-library):
19316 * lisp/emacs-lisp/generator.el (iter-yield):
19317 * lisp/emacs-lisp/gv.el (gv-define-simple-setter):
19318 * lisp/emacs-lisp/lisp-mnt.el (lm-verify):
19319 * lisp/emacs-lisp/package-x.el (package-upload-file):
19320 * lisp/emacs-lisp/package.el (package-version-join)
19321 (package-disabled-p, package-activate-1, package-activate)
19322 (package--download-one-archive)
19323 (package--download-and-read-archives)
19324 (package-compute-transaction, package-install-from-archive)
19325 (package-install, package-install-selected-packages)
19326 (package-delete, package-autoremove)
19327 (package-install-button-action, package-delete-button-action)
19328 (package-menu-hide-package, package-menu--list-to-prompt)
19329 (package-menu--perform-transaction)
19330 (package-menu--find-and-notify-upgrades):
19331 * lisp/emacs-lisp/pcase.el (pcase-exhaustive, pcase--u1):
19332 * lisp/emacs-lisp/re-builder.el (reb-enter-subexp-mode):
19333 * lisp/emacs-lisp/ring.el (ring-next, ring-previous):
19334 * lisp/emacs-lisp/rx.el (rx-check, rx-anything):
19335 * lisp/emacs-lisp/smie.el (smie-config-save):
19336 * lisp/emacs-lisp/subr-x.el (internal--check-binding):
19337 * lisp/emacs-lisp/testcover.el (testcover-1value):
19338 Use curved quotes in diagnostic format strings.
19340 2015-08-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
19342 python.el: Ensure remote process-environment on non-interactive processes
19343 * lisp/progmodes/python.el
19344 (python-shell-tramp-refresh-process-environment): New function.
19345 (python-shell-with-environment): Use it.
19346 * test/automated/python-tests.el (python-shell-with-environment-2):
19349 python.el: Enhancements to process environment setup.
19350 * lisp/progmodes/python.el (python-shell-process-environment)
19351 (python-shell-extra-pythonpaths, python-shell-exec-path)
19352 (python-shell-virtualenv-root): Update docstring. Remove :safe.
19353 (python-shell-setup-codes): Remove :safe.
19354 (python-shell-remote-exec-path): New defcustom.
19355 (python-shell--add-to-path-with-priority): New macro.
19356 (python-shell-calculate-pythonpath): Give priority to
19357 python-shell-extra-pythonpaths. Update docstring.
19358 (python-shell-calculate-process-environment): Give priority to
19359 python-shell-process-environment. Update docstring.
19360 (python-shell-calculate-exec-path): Give priority to
19361 python-shell-exec-path and calculated virtualenv bin directory.
19363 (python-shell-tramp-refresh-remote-path): New function.
19364 (python-shell-with-environment): Use it when working remotely and
19365 do not modify tramp-remote-path. Allow nesting.
19366 (python-shell-calculate-command): Remove useless
19367 python-shell-with-environment call.
19368 * test/automated/python-tests.el (python-shell-calculate-pythonpath-1)
19369 (python-shell-calculate-pythonpath-2)
19370 (python-shell-calculate-process-environment-6)
19371 (python-shell-calculate-process-environment-7)
19372 (python-shell-calculate-process-environment-8)
19373 (python-shell-calculate-exec-path-3)
19374 (python-shell-calculate-exec-path-4)
19375 (python-shell-calculate-exec-path-5)
19376 (python-shell-calculate-exec-path-6)
19377 (python-shell-with-environment-3): New tests.
19378 (python-shell-calculate-process-environment-2)
19379 (python-shell-calculate-process-environment-3)
19380 (python-shell-calculate-process-environment-4)
19381 (python-shell-calculate-process-environment-5)
19382 (python-shell-calculate-exec-path-1)
19383 (python-shell-calculate-exec-path-2)
19384 (python-shell-with-environment-1)
19385 (python-shell-with-environment-2): Update and simplify.
19387 2015-08-21 Paul Eggert <eggert@cs.ucla.edu>
19389 Avoid hard-coding "M-x command" in docstrings
19390 * lisp/calendar/todo-mode.el (todo-mode):
19391 * lisp/desktop.el (desktop-save-mode):
19392 * lisp/edmacro.el (edit-kbd-macro):
19393 * lisp/emacs-lisp/package.el (package-menu-execute):
19394 * lisp/emulation/viper-cmd.el (viper-ask-level):
19395 * lisp/emulation/viper-init.el (viper-expert-level):
19396 * lisp/filesets.el (filesets-add-buffer):
19397 * lisp/follow.el (follow-mode):
19398 * lisp/gnus/auth-source.el (auth-sources):
19399 * lisp/international/ogonek.el (ogonek-informacja)
19400 (ogonek-information):
19401 * lisp/net/tramp.el (tramp-process-actions):
19402 * lisp/org/org-gnus.el (org-gnus-no-new-news):
19403 * lisp/org/org.el (org-ellipsis):
19404 * lisp/progmodes/python.el (python-shell-get-process-or-error):
19405 * lisp/progmodes/vhdl-mode.el (vhdl-mode):
19406 * lisp/server.el (server-start):
19407 * lisp/type-break.el (type-break-noninteractive-query):
19408 * lisp/userlock.el (ask-user-about-supersession-help):
19409 * lisp/whitespace.el (whitespace-report-region):
19410 Prefer (substitute-command-keys "`\\[foo-command]'")
19411 to "`M-x foo-command'" in docstrings and the like.
19413 2015-08-21 Tassilo Horn <tsdh@gnu.org>
19415 Use add-function for prettify-symbols-compose-predicate
19416 * lisp/textmodes/tex-mode.el (tex-common-initialization): Set
19417 prettify-symbols-compose-predicate in terms of add-function.
19418 * etc/NEWS: Mention prettify-symbols-compose-predicate and
19419 prettify-symbols-mode support in tex-mode.
19421 2015-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
19423 * lisp/emacs-lisp/smie.el (smie-indent-current-column): New fun.
19424 (smie-indent-exps, smie-indent-keyword): Use it.
19425 * test/indent/css-mode.css: Test alignment with leading comment.
19427 2015-08-21 Paul Eggert <eggert@cs.ucla.edu>
19429 Respect text-quoting-style in (*Finder*) menus
19430 * lisp/info.el (info--prettify-description):
19431 Treat description as a docstring, so that it's requoted as
19432 per text-quoting-style.
19434 2015-08-21 Martin Rudalics <rudalics@gmx.at>
19436 Document `window-use-time' in Elisp manual
19437 * doc/lispref/windows.texi (Selecting Windows): Document
19440 2015-08-21 Eli Zaretskii <eliz@gnu.org>
19442 * src/w32uniscribe.c (_WIN32_WINNT): Define to 0x0600.
19443 This is a cleaner fix for Bug#21260 than the previous change.
19445 2015-08-21 Paul Eggert <eggert@cs.ucla.edu>
19447 Respect text-quoting-style in calc
19448 In calc, tespect text-quoting-style preference in diagnostic
19449 formats and fix a few similar problems in docstrings.
19450 * lisp/calc/calc-aent.el (math-read-factor):
19451 * lisp/calc/calc-embed.el (calc-do-embedded):
19452 * lisp/calc/calc-ext.el (calc-user-function-list)
19453 * lisp/calc/calc-graph.el (calc-graph-show-dumb):
19454 * lisp/calc/calc-help.el (calc-describe-key)
19455 (calc-describe-thing):
19456 * lisp/calc/calc-lang.el (calc-c-language)
19457 (math-parse-fortran-vector-end, math-parse-tex-sum)
19458 (math-parse-eqn-matrix, math-parse-eqn-prime)
19459 (calc-yacas-language, calc-maxima-language, calc-giac-language)
19460 (math-read-big-rec, math-read-big-balance):
19461 * lisp/calc/calc-mode.el (calc-auto-why, calc-save-modes)
19462 (calc-auto-recompute):
19463 * lisp/calc/calc-prog.el (calc-user-define-invocation)
19464 (math-do-arg-check):
19465 * lisp/calc/calc-store.el (calc-edit-variable):
19466 * lisp/calc/calc-units.el (math-build-units-table-buffer):
19467 * lisp/calc/calc-vec.el (math-read-brackets):
19468 * lisp/calc/calc-yank.el (calc-edit-mode):
19469 * lisp/calc/calc.el (calc, calc-do, calc-user-invocation):
19470 Use curved quotes in diagnostic format strings.
19471 * lisp/calc/calc-help.el (calc-describe-thing):
19472 Format docstrings with substitute-command-keys.
19473 * lisp/calc/calc-help.el (calc-j-prefix-help):
19474 * lisp/calc/calc-misc.el (calc-help):
19475 * lisp/calc/calc-ext.el (calc-shift-Z-prefix-help):
19476 Escape a docstring "`".
19478 2015-08-21 Eli Zaretskii <eliz@gnu.org>
19480 Fix documentation of 'menu-set-font' and 'set-frame-font'
19481 * lisp/menu-bar.el (menu-set-font): Doc fix. (Bug#21303)
19482 * doc/lispref/frames.texi (Frame Font): Document that
19483 set-frame-font with the last argument 't' will also make the font
19484 the default for the future GUI frames.
19486 Document '--create-frame' option to emacsclient
19487 * doc/emacs/misc.texi (emacsclient Options): Document the
19488 '--create-frame' option. (Bug#21308)
19490 2015-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
19492 * lisp/progmodes/js.el (js-mode): Don't eagerly syntax propertize.
19494 2015-08-21 Eli Zaretskii <eliz@gnu.org>
19496 Document 'get-mru-window' in the ELisp manual
19497 * doc/lispref/windows.texi (Cyclic Window Ordering): Document
19498 'get-mru-window'. (Bug#21306)
19500 Clarify documentation of 'get-buffer-window-list'
19501 * doc/lispref/windows.texi (Buffers and Windows): Mention that the
19502 current window, if relevant, will be the first in the list
19503 returned by 'get-buffer-window-list'.
19504 * lisp/window.el (get-buffer-window-list): Doc fix. (Bug#21305)
19506 2015-08-21 Vasilij Schneidermann <v.schneidermann@gmail.com>
19508 In `ielm' use `pop-to-buffer-same-window' (Bug#20848)
19509 * lisp/ielm.el (ielm): Use `pop-to-buffer-same-window' instead of
19510 `switch-to-buffer'.
19512 2015-08-21 Kaushal Modi <kaushal.modi@gmail.com> (tiny change)
19514 In woman.el use `display-buffer' instead of `switch-to-buffer' (Bug#21047)
19515 * lisp/woman.el (woman-really-find-file, WoMan-find-buffer): Use
19516 `display-buffer' instead of `switch-to-buffer'.
19518 2015-08-21 Paul Eggert <eggert@cs.ucla.edu>
19520 Follow user preference in calendar diagnostics
19521 Respect text-quoting-style preference in diagnostic formats by
19522 using curved quotes (which are translated as per text-quoting-style)
19523 instead of grave accent and apostrophe (which are not).
19524 * lisp/calendar/appt.el (appt-display-message):
19525 * lisp/calendar/diary-lib.el (diary-check-diary-file)
19526 (diary-mail-entries, diary-from-outlook):
19527 * lisp/calendar/icalendar.el (icalendar-export-region)
19528 (icalendar--convert-float-to-ical)
19529 (icalendar--convert-date-to-ical)
19530 (icalendar--convert-ical-to-diary)
19531 (icalendar--convert-recurring-to-diary)
19532 (icalendar--add-diary-entry):
19533 * lisp/calendar/time-date.el (format-seconds):
19534 * lisp/calendar/timeclock.el (timeclock-mode-line-display)
19535 (timeclock-make-hours-explicit):
19536 * lisp/calendar/todo-mode.el (todo-prefix, todo-delete-category)
19537 (todo-item-mark, todo-check-format)
19538 (todo-insert-item--next-param, todo-edit-item--next-key)
19540 Use curved quotes in diagnostic format strings.
19541 * lisp/calendar/icalendar.el (icalendar-import-format-sample):
19542 * test/automated/icalendar-tests.el (icalendar--import-format-sample):
19543 Just use straight quoting for simple test case.
19545 2015-08-21 Michael Albinus <michael.albinus@gmx.de>
19547 * src/gfilenotify.c (Fgfile_add_watch):
19548 Handle errors from g_file_monitor.
19550 2015-08-21 Martin Rudalics <rudalics@gmx.at>
19552 In frames.texi use "minibuffer-less frame" instead of "non-minibuffer frame"
19553 * doc/lispref/frames.texi (Frame Layout): Use "minibuffer-less
19554 frame" instead of "non-minibuffer frame".
19556 Fix frame geometry related text
19557 * doc/lispref/frames.texi (Frame Layout):
19558 Rename `x-frame-geometry' to `frame-geometry'.
19559 * doc/lispref/frames.texi (Mouse Position):
19560 * doc/lispref/windows.texi (Coordinates and Windows):
19561 Use `set-mouse-absolute-pixel-position' instead of
19562 `x-set-mouse-absolute-pixel-position'.
19564 Sanitize frame geometry related functions
19565 * src/nsfns.m (Fx_frame_geometry): Rename to Fns_frame_geometry.
19566 (Fx_frame_edges): Rename to Fns_frame_edges.
19567 * src/w32fns.c (Fx_frame_geometry): Rename to Fw32_frame_geometry.
19568 (Fx_frame_edges): Rename to Fw32_frame_edges.
19569 (Fx_mouse_absolute_pixel_position): Rename to
19570 Fw32_mouse_absolute_pixel_position.
19571 (Fx_set_mouse_absolute_pixel_position): Rename to
19572 Fw32_set_mouse_absolute_pixel_position.
19573 * lisp/frame.el (x-frame-geometry, w32-frame-geometry)
19574 (ns-frame-geometry, x-frame-edges, w32-frame-edges)
19575 (ns-frame-edges, w32-mouse-absolute-pixel-position)
19576 (x-mouse-absolute-pixel-position)
19577 (w32-set-mouse-absolute-pixel-position)
19578 (x-set-mouse-absolute-pixel-position): Declare.
19579 (frame-geometry, mouse-absolute-pixel-position)
19580 (set-mouse-absolute-pixel-position): New functions.
19581 (frame-edges): Rewrite in terms of x-/w32-/ns-frame-edges.
19583 2015-08-21 Eli Zaretskii <eliz@gnu.org>
19585 Fix MinGW64 build broken by latest w32uniscribe.c changes
19586 * src/w32uniscribe.c (UNISCRIBE_OPENTYPE): Define to 0x0100, for
19587 MinGW64. Reported by Andy Moreton <andrewjmoreton@gmail.com>.
19590 2015-08-21 Tassilo Horn <tsdh@gnu.org>
19592 Add TeX defaults for prettify-symbol-mode
19593 * lisp/textmodes/tex-mode.el (tex--prettify-symbols-alist):
19594 Rename from tex-prettify-symbols-alist.
19595 (tex--prettify-symbols-compose-p): New function.
19596 (tex-common-initialization): Use them as prettify-symbols-alist
19597 and prettify-symbols-compose-predicate.
19599 Generalize prettify-symbols to arbitrary modes
19600 * lisp/progmodes/prog-mode.el
19601 (prettify-symbols-default-compose-p): New function.
19602 (prettify-symbols-compose-predicate): New variable.
19603 (prettify-symbols--compose-symbol): Use it.
19605 2015-08-20 Paul Eggert <eggert@cs.ucla.edu>
19607 Don't quote symbols 'like-this' in docstrings etc.
19608 * admin/unidata/uvs.el (uvs-insert-fields-as-bytes):
19609 * lisp/allout-widgets.el (allout-widgets-count-buttons-in-region):
19610 * lisp/allout.el (allout-add-resumptions, allout-mode):
19611 * lisp/calculator.el (calculator-operators):
19612 * lisp/cedet/data-debug.el (dd-propertize):
19613 * lisp/cedet/ede/proj-prog.el (ede-proj-target-makefile-program):
19614 * lisp/cedet/semantic/analyze/debug.el:
19615 (semantic-analyzer-debug-global-miss-text):
19616 * lisp/cedet/semantic/lex-spp.el:
19617 (semantic-lex-spp-replace-or-symbol-or-keyword):
19618 * lisp/cedet/semantic/symref.el:
19619 (semantic-symref-cleanup-recent-buffers-fcn):
19620 * lisp/cedet/semantic/tag.el (semantic-tag-class):
19621 * lisp/cedet/srecode/el.el (srecode-semantic-handle-:el-custom):
19622 * lisp/gnus/nnmairix.el (nnmairix-propagate-marks-upon-close):
19623 * lisp/gnus/pop3.el (pop3-authentication-scheme):
19624 * lisp/help-fns.el (describe-function-orig-buffer):
19625 * lisp/imenu.el (imenu--history-list):
19626 * lisp/mail/feedmail.el (feedmail-confirm-outgoing)
19627 (feedmail-display-full-frame, feedmail-deduce-bcc-where)
19628 (feedmail-queue-default-file-slug)
19629 (feedmail-queue-buffer-file-name):
19630 * lisp/net/mairix.el (mairix-searches-mode-map):
19631 * lisp/net/newst-backend.el (newsticker-retrieval-method)
19632 (newsticker-auto-mark-filter-list):
19633 * lisp/obsolete/vi.el (vi-mode):
19634 * lisp/progmodes/cc-engine.el (c-literal-type):
19635 * lisp/progmodes/cpp.el (cpp-face):
19636 * lisp/progmodes/ebrowse.el (ebrowse-electric-list-looper):
19637 * lisp/progmodes/elisp-mode.el (elisp--xref-make-xref):
19638 * lisp/progmodes/pascal.el (pascal-auto-lineup):
19639 * lisp/progmodes/prog-mode.el (prog-widen):
19640 * lisp/progmodes/verilog-mode.el (verilog-regexp-words)
19641 (verilog-auto-lineup, verilog-auto-reset-widths)
19642 (verilog-auto-arg-format, verilog-auto-inst-template-numbers):
19643 * lisp/textmodes/flyspell.el (flyspell-maybe-correct-transposition)
19644 (flyspell-maybe-correct-doubling):
19645 * lisp/textmodes/table.el (table-justify, table-justify-cell)
19646 (table-justify-row, table-justify-column, table-insert-sequence)
19647 (table--justify-cell-contents):
19648 * lisp/url/url-auth.el (url-get-authentication):
19649 * lisp/window.el (display-buffer-record-window):
19650 * lisp/xml.el (xml-parse-file, xml-parse-region):
19651 * src/gfilenotify.c (Fgfile_add_watch):
19652 Don't quote symbols with apostrophes in doc strings.
19653 Use asymmetric quotes instead.
19654 * lisp/cedet/semantic/complete.el (semantic-displayor-show-request):
19655 Likewise for symbol in diagnostic.
19656 * lisp/image.el (image-extension-data):
19657 * lisp/register.el (frame-configuration-to-register):
19658 * src/buffer.c (syms_of_buffer):
19659 Remove bogus apostrophes after symbols.
19660 * lisp/thumbs.el (thumbs-conversion-program):
19661 Quote Lisp string values using double-quotes, not apostrophes.
19663 2015-08-20 Martin Rudalics <rudalics@gmx.at>
19665 Describe frame geometry and related functions in Elisp manual
19666 * doc/lispref/display.texi (Size of Displayed Text, Line Height)
19667 (Showing Images): Update references.
19668 * doc/lispref/elisp.texi (Top): Update node listing.
19669 * doc/lispref/frames.texi (Frame Geometry): New node.
19670 Move `Size and Position' section here.
19671 (Size Parameters): Update references.
19672 (Mouse Position): Update references and nomenclature.
19673 Describe new functions `x-mouse-absolute-pixel-position' and
19674 `x-set-mouse-absolute-pixel-position'.
19675 * doc/lispref/windows.texi (Window Sizes): Update references.
19676 (Resizing Windows): Update references. Move description of
19677 `fit-frame-to-buffer' here.
19678 (Coordinates and Windows): Update nomenclature and references.
19679 Describe new arguments of `window-edges'. Comment out
19680 descriptions of `window-left-column', `window-top-line',
19681 `window-pixel-left' and `window-pixel-top'. Describe
19682 `window-absolute-pixel-position'.
19684 2015-08-20 Alan Mackenzie <acm@muc.de>
19686 Handling of `c-parse-state'. Fix low level bug.
19687 * lisp/progmodes/cc-engine.el (c-remove-stale-state-cache-backwards):
19688 Add "CASE 3.5" to handle `cache-pos' being only slightly before `here'.
19690 2015-08-20 Andreas Politz <politza@hochschule-trier.de>
19692 * lisp/wid-edit.el (widget-color--choose-action): Quit *Color*
19693 window instead of deleting it.
19695 2015-08-20 Martin Rudalics <rudalics@gmx.at>
19697 In w32fns.c's Fx_frame_geometry rewrite check whether frame has a titlebar
19698 * src/w32fns.c (Fx_frame_geometry): Use title_bar.rgstate[0] to
19699 determine whether frame has a titlebar.
19700 Suggested by Eli Zaretskii <eliz@gnu.org>
19702 2015-08-20 Tassilo Horn <tsdh@gnu.org>
19704 Add a prettify-symbols-alist for (La)TeX
19705 * lisp/textmodes/tex-mode.el (tex-prettify-symbols-alist):
19706 New variable holding an alist suitable as prettify-symbols-alist in
19709 2015-08-19 Alan Mackenzie <acm@muc.de>
19711 Make electric-pair-mode, delete-selection-mode and CC Mode cooperate.
19712 Fixes debbugs#21275.
19713 In Emacs >= 25, let electric-pair-mode take precedence over
19714 delete-selection-mode.
19715 * lisp/delsel.el (delete-selection-uses-region-p): New function,
19716 previously a lambda expression in a property value for
19717 `self-insert-command'.
19718 (top-level): Set the `delete-selection' property of
19719 `self-insert-command' to `delete-selection-uses-region-p'.
19720 * lisp/progmodes/cc-cmds.el (top-level): Give the `delete-selection'
19721 property for c-electric-\(brace\|paren\) the value
19722 `delete-selection-uses-region-p' when the latter function exists.
19724 2015-08-19 Paul Eggert <eggert@cs.ucla.edu>
19726 Fix key binding quoting in tutorial *Help*
19727 * lisp/tutorial.el (tutorial--describe-nonstandard-key):
19728 When generating help for custom key bindings, use the user-preferred
19729 quoting style rather than hardcoding the grave style.
19731 2015-08-19 Eli Zaretskii <eliz@gnu.org>
19733 Improve and future-proof OTF fonts support in w32uniscribe.c
19734 * src/w32uniscribe.c (uniscribe_otf_capability): Add commentary
19735 about the expected results and why the new Uniscribe APIs are not
19736 used in this function.
19737 (ScriptGetFontScriptTags_Proc, ScriptGetFontLanguageTags_Proc)
19738 (ScriptGetFontFeatureTags_Proc): New function typedefs.
19739 (uniscribe_new_apis): New static variable.
19740 (uniscribe_check_features): New function, implements OTF features
19741 verification while correctly accounting for features in the list
19742 after the nil member, if any.
19743 (uniscribe_check_otf_1): New function, retrieves the features
19744 supported by the font for the requested script and language using
19745 the Uniscribe APIs available from Windows Vista onwards.
19746 (uniscribe_check_otf): If the new Uniscribe APIs are available,
19747 use them in preference to reading the font data directly. Call
19748 uniscribe_check_features to verify that the requested features are
19749 supported, replacing the original incomplete code.
19750 (syms_of_w32uniscribe): Initialize function pointers for the new
19751 Uniscribe APIs. (Bug#21260)
19752 (otf_features): Scan the script, langsys, and feature arrays back
19753 to front, so that the result we return has them in alphabetical
19754 order, like ftfont.c does.
19755 * src/w32fns.c (syms_of_w32fns) <w32-disable-new-uniscribe-apis>:
19756 New variable for debugging w32uniscribe.c code.
19758 2015-08-19 Artur Malabarba <bruce.connor.am@gmail.com>
19760 * lisp/isearch.el (isearch-search-fun-default): Revert a5bdb87
19761 Remove usage of `isearch-lax-whitespace' inside the `iearch-word'
19762 clause of `isearch-search-fun-default'. That lax variable does not
19763 refer to lax-whitespacing. Related to (bug#21777).
19764 This reverts commit a5bdb872edb9f031fe041faf9a8c0be432e5f64c.
19765 * lisp/character-fold.el (character-fold-search): Set to nil.
19766 Default to nil for now, until someone implements proper
19767 lax-whitespacing with char-fold searching.
19769 2015-08-19 Martin Rudalics <rudalics@gmx.at>
19771 Fix doc-string of `help-mode-finish'.
19772 * lisp/help-mode.el (help-mode-finish): Fix doc-string.
19774 In nsimage.m include coding.h (Bug#21292)
19775 * src/nsimage.m (top-level): Include coding.h (Bug#21292).
19777 Move window edge functions to Elisp.
19778 * src/window.c (Fwindow_edges, Fwindow_pixel_edges)
19779 (Fwindow_absolute_pixel_edges, Fwindow_inside_edges)
19780 (Fwindow_inside_pixel_edges, Fwindow_inside_absolute_pixel_edges):
19782 (calc_absolute_offset): Remove.
19783 * lisp/frame.el (frame-edges): New function.
19784 * lisp/window.el (window-edges, window-pixel-edges)
19785 (window-absolute-pixel-edges): Move here from window.c.
19786 (window-body-edges, window-body-pixel-edges)
19787 (window-absolute-body-pixel-edges): Move here from window.c and
19788 rename "inside" to "body". Keep old names as aliases.
19789 (window-absolute-pixel-position): New function.
19791 2015-08-19 Katsumi Yamaoka <yamaoka@jpl.org>
19793 [Gnus]: Use overlay functions directly
19794 * lisp/gnus/gnus-art.el (gnus-mime-inline-part, gnus-mm-display-part)
19795 (gnus-insert-mime-button, gnus-mime-buttonize-attachments-in-header)
19796 (gnus-article-highlight-signature, gnus-article-extend-url-button)
19797 (gnus-article-add-button, gnus-insert-prev-page-button)
19798 (gnus-insert-next-page-button, gnus-insert-mime-security-button):
19799 * lisp/gnus/gnus-cite.el (gnus-cite-delete-overlays)
19800 (gnus-cite-add-face):
19801 * lisp/gnus/gnus-html.el (gnus-html-wash-tags):
19802 * lisp/gnus/gnus-salt.el (gnus-tree-read-summary-keys)
19803 (gnus-tree-recenter, gnus-highlight-selected-tree):
19804 * lisp/gnus/gnus-sum.el (gnus-summary-show-all-threads)
19805 (gnus-summary-show-thread, gnus-summary-hide-thread)
19806 (gnus-highlight-selected-summary):
19807 * lisp/gnus/gnus-util.el (gnus-put-overlay-excluding-newlines):
19808 * lisp/gnus/message.el (message-fix-before-sending)
19809 (message-toggle-image-thumbnails):
19810 * lisp/gnus/mm-decode.el (mm-convert-shr-links):
19811 * lisp/gnus/sieve.el (sieve-highlight, sieve-insert-scripts):
19812 Use overlay functions directly instead of using gnus-overlay-*,
19813 message-overlay-*, and sieve-overlay-*.
19814 * lisp/gnus/gnus-sum.el (gnus-remove-overlays):
19815 * lisp/gnus/gnus.el (gnus-make-overlay, gnus-copy-overlay)
19816 (gnus-delete-overlay, gnus-overlay-get, gnus-overlay-put)
19817 (gnus-move-overlay, gnus-overlay-buffer, gnus-overlay-start)
19818 (gnus-overlay-end, gnus-overlays-at, gnus-overlays-in):
19819 * lisp/gnus/message.el (message-delete-overlay, message-make-overlay)
19820 (message-overlay-get, message-overlay-put, message-overlays-in):
19821 * lisp/gnus/sieve.el (sieve-make-overlay, sieve-overlay-put)
19822 (sieve-overlays-at): Remove.
19824 2015-08-19 Martin Rudalics <rudalics@gmx.at>
19826 In w32fns.c condition TITLEBAR_INFO declaration on WINDOWS version
19827 * src/w32fns.c (TITLEBAR_INFO): Make it a typedef so MinGW64
19828 builds can use the declaration from the system headers.
19829 (GetTitleBarInfo_Proc, Fx_frame_geometry): Adapt to new
19830 definition of TITLEBAR_INFO.
19831 Suggested by Eli Zaretskii <eliz@gnu.org>
19833 2015-08-19 Glenn Morris <rgm@gnu.org>
19835 * lisp/gnus/nnmaildir.el (nnmaildir-flag-mark-mapping): Add "P".
19837 2015-08-19 Paul Eggert <eggert@cs.ucla.edu>
19839 Use new q ‘format’ flag when fixing quotes in C
19840 * src/image.c (image_size_error): New function. All uses of
19841 image_error with "Invalid image size ..." changed to use it.
19842 * src/image.c (image_size_error, xbm_load_image, xbm_load)
19843 (xpm_load, xpm_load_image, xpm_load, pbm_load, png_load_body)
19844 (jpeg_load_body, tiff_load, gif_load, imagemagick_load_image)
19845 (imagemagick_load, svg_load, svg_load_image, gs_load)
19846 (x_kill_gs_process):
19847 * src/lread.c (load_warn_old_style_backquotes):
19848 * src/xfaces.c (load_pixmap):
19849 * src/xselect.c (x_clipboard_manager_error_1):
19850 Use %qs, not uLSQM and uRSQM.
19851 * src/syntax.c (Finternal_describe_syntax_value):
19852 Prefer Fsubstitute_command_keys to Fformat, as this lets
19853 us use AUTO_STRING.
19854 * src/xdisp.c (vadd_to_log): Use AUTO_STRING on the format argument,
19855 as it's now guaranteed to be ASCII.
19856 * src/xselect.c (x_clipboard_manager_error_2):
19857 Avoid grave accent in low-level stderr diagnostic.
19859 2015-08-19 Paul Eggert <eggert@Penguin.CS.UCLA.EDU>
19861 New q flag for ‘format’
19862 * doc/lispref/processes.texi (Sentinels):
19863 Don't hardwire grave quoting style in example.
19864 * doc/lispref/strings.texi (Formatting Strings):
19866 Document new q flag.
19867 * src/editfns.c (Fformat): Implement it.
19869 2015-08-18 Daiki Ueno <ueno@gnu.org>
19871 pinentry.el: Add debugging support
19872 * lisp/net/pinentry.el (pinentry-debug): New variable.
19873 (pinentry-debug-buffer): New variable.
19874 (pinentry--process-filter): Send input to the debug buffer, if
19875 `pinentry-debug' is set.
19877 pinentry.el: Improve multiline prompt
19878 * lisp/net/pinentry.el (pinentry--prompt): Simplify the interface.
19879 (pinentry--process-filter): Use `pinentry--prompt' for CONFIRM
19882 2015-08-18 Paul Eggert <eggert@cs.ucla.edu>
19884 Fix multibyte confusion in diagnostics
19885 * src/print.c (print_error_message):
19886 Don't assume that the caller's name is unibyte.
19887 * src/xdisp.c (vadd_to_log):
19888 Don't assume that the formatted diagnostic is unibyte.
19890 Fix file name encodings in diagnostics
19891 Also, close some minor races when opening image files, by opening
19892 them once instead of multiple times.
19893 * src/gtkutil.c (xg_get_image_for_pixmap):
19894 * src/image.c (xpm_load, tiff_load, gif_load, imagemagick_load)
19896 * src/nsimage.m (allocInitFromFile:):
19897 * src/xfns.c (xg_set_icon):
19898 Encode file name, since x_find_image_file no longer does that.
19899 * src/image.c (x_find_image_fd): New function.
19900 (x_find_image_file): Use it. Do not encode resulting file name,
19901 since callers sometimes need it decoded.
19902 (slurp_file): File arg is now a fd, not a file name.
19903 All callers changed. This saves us having to open the file twice.
19904 (xbm_load, xpm_load, pbm_load, png_load_body, jpeg_load_body)
19906 Use x_find_image_fd and fdopen to save a file-open.
19907 Report file name that failed.
19908 * src/lread.c (openp): If PREDICATE is t, open the file in binary mode.
19910 2015-08-18 Dmitry Gutov <dgutov@yandex.ru>
19912 Allow blink-matching-paren to jump off screen
19913 * doc/emacs/programs.texi (Matching): Mention the
19914 `blink-matching-paren' value `jump-offscreen'.
19915 * lisp/simple.el (blink-matching-paren): New possible value.
19916 (blink-matching-paren-on-screen): Clarify the docstring.
19917 (blink-matching-open): Handle `jump-offscreen' (bug#21286).
19919 Refine the previous change
19920 * lisp/simple.el (blink-matching-open): Use minibuffer-message
19921 outside of save-excursion (bug#21286).
19923 2015-08-18 Martin Rudalics <rudalics@gmx.at>
19925 Rewrite and add frame geometry related functions.
19926 * src/frame.c (Fframe_position): New function.
19927 (Fset_frame_position): Rename parameters and rewrite doc-string.
19928 (syms_of_frame): Remove Qframe_position, Qframe_outer_size,
19929 Qtitle_height and Qframe_inner_size. Add Qouter_edges,
19930 Qouter_position, Qouter_size, Qnative_edges, Qinner_edges,
19932 * src/nsfns.m (frame_geometry): New function.
19933 (Fx_frame_geometry): Call frame_geometry.
19934 (Fx_frame_edges): New function.
19935 * src/w32fns.c (C_CHILDREN_TITLEBAR, TITLEBAR_INFO)
19936 (GetTitleBarInfo_Proc): Define these so we can use the
19937 GetTitleBarInfo API.
19938 (Fw32_frame_menu_bar_size, Fw32_frame_rect): Remove.
19939 (Fx_frame_geometry): Rewrite.
19940 (Fx_frame_edges, Fx_mouse_absolute_pixel_position)
19941 (Fx_set_mouse_absolute_pixel_position): New functions.
19942 * src/xfns.c (frame_geometry): New function.
19943 (Fx_frame_geometry): Call frame_geometry.
19944 (Fx_frame_edges, Fx_mouse_absolute_pixel_position)
19945 (Fx_set_mouse_absolute_pixel_position): New functions.
19947 2015-08-18 Michael Albinus <michael.albinus@gmx.de>
19949 Improve Tramp's compatibility
19950 * lisp/net/tramp.el (tramp-get-method-parameter):
19951 * lisp/net/tramp-adb.el (tramp-adb-parse-device-names)
19952 (tramp-adb-get-device):
19953 * lisp/net/trampver.el (tramp-repository-get-version):
19954 Use `tramp-compat-replace-regexp-in-string'.
19956 2015-08-18 Pierre Téchoueyres <pierre.techoueyres@free.fr> (tiny change)
19958 * lisp/net/tramp-cmds.el (tramp-reporter-dump-variable):
19959 Encode/decode string.
19961 2015-08-18 Paul Eggert <eggert@cs.ucla.edu>
19963 Clarify what happens to match data on failure
19964 Problem reported by Ernesto Alfonso (Bug#21279).
19965 * doc/lispref/searching.texi (Regexp Search, Simple Match Data):
19966 Document more carefully what happens to match data after a failed
19968 * src/search.c (Fmatch_beginning, Fmatch_end): Document that
19969 the return value is undefined if the last search failed.
19970 (Fmatch_data): Simplify doc string line 1.
19972 2015-08-18 Daiki Ueno <ueno@gnu.org>
19974 Revert "pinentry.el: Support external passphrase cache"
19975 This reverts commit e086e55a664ec27fbca7b3231c4b32cb78a89337.
19976 pinentry.el shouldn't directly interact with the secrets service,
19977 but ask the caller to cache the passphrase.
19979 2015-08-17 Xue Fuqiao <xfq.free@gmail.com>
19981 * doc/emacs/sending.texi (Mail Misc): Fix two index entries for
19982 Message mode hooks.
19984 2015-08-17 Daiki Ueno <ueno@gnu.org>
19986 epg.el: Make gpgconf output parsing future proof
19987 * lisp/epg.el (epg--start): Count the number of fields in "gpgconf
19988 --list-options" output.
19990 pinentry.el: Support external passphrase cache
19991 * lisp/net/pinentry.el (pinentry-use-secrets): New user option.
19992 (pinentry--allow-external-password-cache): New local variable.
19993 (pinentry--key-info): New local variable.
19994 (secrets-enabled, secrets-search-items, secrets-get-secret):
19996 (pinentry--send-passphrase): New function, split from
19997 `pinentry--process-filter'.
19998 (pinentry--process-filter): Use secrets.el to retrieve passphrase
19999 from login keyring.
20001 pinentry.el: Popup window for multiline prompt
20002 * lisp/net/pinentry.el (pinentry): New custom group.
20003 (pinentry-popup-prompt-window): New user option.
20004 (pinentry-prompt-window-height): New user option.
20005 (pinentry--prompt-buffer): New variable.
20006 (pinentry-prompt-mode-map): New variable.
20007 (pinentry-prompt-mode): New function.
20008 (pinentry--prompt): New function.
20009 (pinentry--process-filter): Use `pinentry--prompt' instead of
20010 `read-passwd' and `y-or-n-p'.
20012 2015-08-17 Katsumi Yamaoka <yamaoka@jpl.org>
20014 message.el: Silent the byte compiler
20015 * lisp/gnus/message.el (message-overlay-put, message-make-overlay)
20016 (message-kill-all-overlays, message-overlays-in, message-overlay-get)
20017 (message-delete-overlay, message-window-inside-pixel-edges):
20018 Declare before using.
20020 * lisp/gnus/message.el (message-overlay-get, message-overlays-in)
20021 (message-window-inside-pixel-edges): XEmacs compatible functions.
20023 2015-08-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
20025 * lisp/gnus/message.el (message-toggle-image-thumbnails): New command.
20027 2015-08-17 Noah Friedman <friedman@splode.com>
20029 * lisp/simple.el (blink-matching-open): Restore point before
20030 calling minibuffer-message.
20032 2015-08-17 Ronnie Schnell <ronnie@driver-aces.com>
20034 * lisp/play/dunnet.el: Update version number in header (now
20037 2015-08-17 Paul Eggert <eggert@cs.ucla.edu>
20039 Curved quotes in --batch diagnostics in non-UTF-8
20040 When run with --batch, check that curved quotes are compatible with
20041 the system locale before outputting them in diagnostics.
20042 Problem reported by Eli Zaretskii in:
20043 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00594.html
20044 * lisp/startup.el (command-line): Set internal--text-quoting-flag
20045 after the standard display table is initialized.
20046 * src/doc.c (default_to_grave_quoting_style): New function.
20047 (text_quoting_style): Use it.
20048 (text_quoting_flag): New static var, visible to Lisp as
20049 internal--text-quoting-flag.
20050 * src/emacs.c: Include <wchar.h> if available.
20051 (using_utf8): New function.
20052 (main): Use it to initialize text_quoting_flag.
20053 * src/regex.h (btowc) [WIDE_CHAR_SUPPORT && emacs]:
20054 Don't define, as it's not needed and it clashes with wchar.h.
20056 2015-08-17 Glenn Morris <rgm@gnu.org>
20058 * doc/misc/tramp.texi (Configuration): Reword to avoid warning
20059 from makeinfo about spurious "Note:" cross-reference, and for grammar.
20061 2015-08-17 Ilya Zakharevich <ilya@math.berkeley.edu>
20063 Minor change in variable initialization on MS-Windows
20064 * src/w32fns.c <after_dead_key>: Initialize to -1.
20065 (deliver_wm_chars): If after_deadkey is zero, don't set after_dead
20068 Fix a bug with LWindow key remapping on MS-Windows
20069 * src/w32fns.c (deliver_wm_chars): Fix a typo. (Bug#21276)
20071 2015-08-17 Eli Zaretskii <eliz@gnu.org>
20073 Improve fontset support for latest OTF script tags
20074 * lisp/international/fontset.el (otf-script-alist): Add some
20075 missing script tags.
20076 (setup-default-fontset): Include settings for v2 versions of the
20077 script tags used by some modern OTF/TTF fonts.
20079 2015-08-17 Paul Eggert <eggert@cs.ucla.edu>
20083 2015-08-16 Paul Eggert <eggert@cs.ucla.edu>
20085 Use curved quotes in core elisp diagnostics
20086 In the core elisp files, use curved quotes in diagnostic formats,
20087 so that they follow user preference as per ‘text-quoting-style’
20088 rather than being hard-coded to quote `like this'.
20089 * lisp/abbrev.el (expand-region-abbrevs):
20090 * lisp/button.el (button-category-symbol, button-put)
20091 (make-text-button):
20092 * lisp/cus-start.el:
20093 * lisp/custom.el (custom-add-dependencies, custom-check-theme)
20094 (custom--sort-vars-1, load-theme):
20095 * lisp/emacs-lisp/byte-run.el (defun, defsubst):
20096 * lisp/emacs-lisp/cl-generic.el (cl-defgeneric)
20097 (cl-generic-generalizers):
20098 * lisp/emacs-lisp/macroexp.el (macroexp--obsolete-warning):
20099 * lisp/emacs-lisp/map-ynp.el (map-y-or-n-p):
20100 * lisp/emacs-lisp/nadvice.el (advice--make-docstring)
20101 (advice--make, define-advice):
20102 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-put-tag):
20103 * lisp/emacs-lisp/timer.el (timer-event-handler):
20104 * lisp/env.el (setenv):
20105 * lisp/facemenu.el (facemenu-add-new-face)
20106 (facemenu-add-new-color):
20107 * lisp/faces.el (face-documentation, read-face-name)
20108 (face-read-string, read-face-font, face-spec-set-match-display)
20109 (read-color, x-resolve-font-name):
20110 * lisp/files.el (locate-user-emacs-file, find-alternate-file)
20111 (set-auto-mode, hack-local-variables)
20112 (hack-one-local-variable--obsolete)
20113 (dir-locals-set-directory-class, write-file)
20114 (basic-save-buffer, delete-directory, copy-directory)
20115 (recover-session, recover-session-finish, insert-directory)
20116 (file-modes-char-to-who, file-modes-symbolic-to-number)
20117 (move-file-to-trash):
20118 * lisp/font-lock.el (font-lock-fontify-buffer):
20119 * lisp/format.el (format-write-file, format-find-file)
20120 (format-insert-file):
20121 * lisp/frame.el (get-device-terminal, select-frame-by-name):
20122 * lisp/fringe.el (fringe--check-style):
20123 * lisp/help.el (describe-minor-mode-from-indicator):
20124 * lisp/image.el (image-type):
20125 * lisp/international/fontset.el (x-must-resolve-font-name):
20126 * lisp/international/mule-cmds.el (prefer-coding-system)
20127 (select-safe-coding-system-interactively)
20128 (select-safe-coding-system, activate-input-method)
20129 (toggle-input-method, describe-current-input-method):
20130 * lisp/international/mule-conf.el (code-offset):
20131 * lisp/mouse.el (minor-mode-menu-from-indicator):
20132 * lisp/replace.el (query-replace-read-from)
20133 (occur-after-change-function, occur-1):
20134 * lisp/scroll-bar.el (scroll-bar-columns):
20135 * lisp/simple.el (execute-extended-command)
20136 (undo-outer-limit-truncate, compose-mail, set-variable)
20137 (choose-completion-string, define-alternatives):
20138 * lisp/startup.el (site-run-file, tty-handle-args)
20139 (command-line, command-line-1):
20140 * lisp/subr.el (noreturn, define-error, add-to-list)
20141 (read-char-choice):
20142 * lisp/term/common-win.el (x-handle-xrm-switch)
20143 (x-handle-name-switch, x-handle-args):
20144 * lisp/term/x-win.el (x-handle-parent-id, x-handle-smid):
20145 Use curved quotes in diagnostics.
20146 * lisp/international/mule.el (find-auto-coding):
20147 Use " to quote in a diagnostic, to be consistent with the rest of
20150 Convert lisp/term/x-win.el to UTF-8
20151 * lisp/term/x-win.el: Convert to UTF-8. This doesn't affect
20152 runtime behavior, and the file is multilingual so compile-time
20153 appearance shouldn't be an issue.
20154 * admin/notes/unicode: Document this.
20156 2015-08-16 Wilson Snyder <wsnyder@wsnyder.org>
20158 Update verilog-mode.el to 2015-05-14-6232468-vpo-GNU.
20159 * lisp/progmodes/verilog-mode.el: Fix some non-automated indent
20160 issues and comments, bug#943.
20161 (verilog-type-font-keywords): Cycle delay operators like ##1 and
20162 ##[0:$] are now highlighted in their entirety similarly to the #
20163 delay-control operator. Likewise, the followed-by operators #-#
20164 and #=# are no longer partially highlighed.
20165 (verilog-backward-syntactic-ws-quick)
20166 (verilog-skip-backward-comments): Minor performance improvements
20167 to buffer traversal functions for reduced latency.
20168 (verilog-calc-1, verilog-in-deferred-immediate-final-p): When the
20169 keyword 'final' follows 'assert/assume/cover', then it is part of
20170 a deferred immediate assertion item and should not be treated as a
20171 final construct for indentation. Reported by Yuri Sugihara.
20172 (verilog-do-indent): Virtual task/function/class definition lines
20173 should not be considered as declarations. Reported by Enzo Chi.
20174 (verilog-do-indent): Do not falsely indent to '=' of
20175 property/sequence operators on subsequent lines of a multi-line
20177 (verilog-assignment-operator-re): Fix '!==' operator and add
20178 support for '<->', ':/', '#-#', and '#=#' operators.
20179 (verilog-calculate-indent, verilog-label-be): Enable
20180 case-sensitive regular expression parsing when looking for
20182 (verilog-calc-1): Detect 'pure virtual method' declarations which
20183 exist in abstract classes. Reported by Enzo Chi and Kaushal Modi.
20184 (verilog-backward-ws&directives): When moving back to the start of
20185 a line and the preceeding line ended with an escaped-newline, then
20186 jump up one line. This properly consumes a multi-line
20187 pre-processor directive. Reported by Kaushal Modi.
20188 (verilog-dpi-import-export-re, verilog-extended-complete-re)
20189 (verilog-calc-1): Teach verilog-mode to properly indent after a
20190 DPI import/export statement that resides outside of a module.
20191 Reported by Kaushal Modi.
20192 (verilog-extended-complete-re): Update regexp to match both
20193 "DPI-C" and "DPI". Reported by Kaushal Modi.
20195 2015-08-15 Paul Eggert <eggert@cs.ucla.edu>
20197 substitute-command-keys a few more doc strings
20198 * lisp/allout.el (outlineify-sticky):
20199 * lisp/files.el (hack-one-local-variable--obsolete):
20200 * lisp/help-fns.el (help-fns--obsolete, describe-variable):
20201 Use substitute-command-keys on some doc strings so that
20202 they don't use hard-coded key bindings or quoting styles.
20204 Fix quoting in Fformat calls
20205 * src/image.c (xbm_read_bitmap_data, xbm_load_image, xbm_load)
20206 (xpm_load, xpm_load_image, pbm_load, png_load_body)
20207 (jpeg_load_body, tiff_load, gif_load, imagemagick_load_image)
20208 (imagemagick_load, svg_load, svg_load_image, gs_load)
20209 (x_kill_gs_process):
20210 * src/lread.c (load_warn_old_style_backquotes):
20211 * src/xfaces.c (load_pixmap):
20212 * src/xselect.c (x_clipboard_manager_error_1):
20213 Quote diagnostics according to user preference when calling
20214 Fformat or its derivatives.
20216 2015-08-15 Glenn Morris <rgm@gnu.org>
20218 * admin/admin.el (set-version, set-copyright): Remove deleted files.
20220 2015-08-15 Stephen Leake <stephen_leake@stephe-leake.org>
20222 Allow describe-function helpers to access buffer-local values
20223 This will be used by cedet/mode-local.el `describe-mode-local-override'
20224 on `help-fns-describe-function-functions' in upstream CEDET.
20225 * lisp/help-fns.el (describe-function-orig-buffer): New, let-bound in
20226 `describe-function'.
20227 (describe-function): Bind it, save it on the help xref stack.
20229 Handle pulse-background being nil
20230 * lisp/cedet/pulse.el (pulse-lighten-highlight): Inherit
20231 pulse-background, handle it being nil.
20233 2015-08-15 Paul Eggert <eggert@cs.ucla.edu>
20235 Fix "\`" confusion in Lisp strings
20236 * admin/authors.el (authors-canonical-author-name):
20237 Fix typo by using "\\`" not "\`" in string RE.
20238 * lisp/obsolete/complete.el (PC-complete-as-file-name):
20239 * lisp/obsolete/vi.el (vi-backward-blank-delimited-word):
20240 * lisp/progmodes/verilog-mode.el (verilog-mode-map):
20241 Use plain "`", not the equivalent-but-confusing "\`", in strings.
20242 * lisp/textmodes/texinfmt.el: Fix comment likewise.
20244 2015-08-15 Dani Moncayo <dmoncayo@gmail.com>
20246 * nt/zipdist.bat: Remove -- no longer used.
20248 2015-08-15 Jürgen Hötzel <juergen@archlinux.org>
20250 * lisp/net/tramp-sh.el (tramp-remote-selinux-p): Use "selinuxenabled"
20251 rather than "getenforce".
20252 (tramp-sh-handle-set-file-selinux-context): Do not
20253 cache SELinux context if not all context components are given.
20255 2015-08-15 Eli Zaretskii <eliz@gnu.org>
20257 Add doc strings to 2 help-mode.el functions
20258 * lisp/help-mode.el (help-mode-setup, help-mode-finish): Add doc
20259 strings. (Bug#21263)
20261 Remove files used by the old MS-Windows specific build procedure
20262 * admin/unidata/makefile.w32-in:
20263 * doc/emacs/makefile.w32-in:
20264 * doc/lispintro/makefile.w32-in:
20265 * doc/lispref/makefile.w32-in:
20266 * doc/misc/makefile.w32-in:
20267 * leim/makefile.w32-in:
20268 * lib-src/makefile.w32-in:
20269 * lib/makefile.w32-in:
20270 * lisp/makefile.w32-in:
20273 * nt/emacs-src.tags:
20276 * nt/makefile.w32-in:
20277 * nt/multi-install-info.bat:
20280 * src/makefile.w32-in: Files deleted.
20281 * nt/configure.bat: Remove everything except the blurb about the
20282 new build procedure.
20283 * make-dist: Remove references to makefile.w32-in in various
20284 directories, and to files in nt/ that were deleted.
20285 * etc/NEWS: Mention the fact that the files were dropped.
20287 2015-08-15 Paul Eggert <eggert@cs.ucla.edu>
20289 * doc/emacs/mule.texi (Charsets): Give fuller title for ISO-IR.
20292 2015-08-14 Paul Eggert <eggert@cs.ucla.edu>
20294 Default to inotify instead of gfile
20295 * configure.ac (with_file_notification): Fix typo that
20296 prevented suppression of file notification if HAVE_NS.
20297 (NOTIFY_OBJ): Prefer inotify to gfile if both exist and
20298 with_file_notification is 'yes' (Bug#21241).
20299 * etc/NEWS: Mention this.
20301 Fix broken URLs for ISO-IR
20302 * doc/emacs/mule.texi (Charsets):
20303 * lisp/international/mule-conf.el:
20304 Fix broken URL (Bug#21248).
20306 Low-level diagnostics now use ‘text-quoting-style’
20307 * src/doprnt.c (doprnt):
20308 Format ` and ' as per ‘text-quoting-style’.
20309 * src/xdisp.c (vmessage, message): Mention that the format should
20310 not contain ` or '.
20312 Prefer ‘format’ to ‘substitute-command-keys’
20313 * src/character.h (uLSQM, uRSQM): Move here ...
20314 * src/doc.c (uLSQM, uRSQM): ... from here.
20315 * src/doc.c (Fsubstitute_command_keys):
20316 * src/syntax.c (Finternal_describe_syntax_value):
20317 * lisp/cedet/mode-local.el (mode-local-print-binding)
20318 (mode-local-describe-bindings-2):
20319 * lisp/cedet/srecode/srt-mode.el (srecode-macro-help):
20320 * lisp/cus-theme.el (describe-theme-1):
20321 * lisp/descr-text.el (describe-text-properties-1, describe-char):
20322 * lisp/emacs-lisp/cl-extra.el (cl--describe-class):
20323 * lisp/emacs-lisp/cl-generic.el (cl--generic-describe):
20324 * lisp/emacs-lisp/eieio-opt.el (eieio-help-constructor):
20325 * lisp/emacs-lisp/package.el (describe-package-1):
20326 * lisp/faces.el (describe-face):
20327 * lisp/help-fns.el (help-fns--key-bindings)
20328 (help-fns--compiler-macro, help-fns--parent-mode)
20329 (help-fns--obsolete, help-fns--interactive-only)
20330 (describe-function-1, describe-variable):
20331 * lisp/help.el (describe-mode):
20332 Prefer ‘format’ to ‘substitute-command-keys’ when either will do
20333 to implement quoting style. This generally makes the code simpler.
20335 Extend ‘format’ to translate curved quotes
20336 This is a followup to the recent doc string change, and deals with
20337 diagnostics and the like. This patch is more conservative than
20338 the doc string change, in that the behavior of ‘format’ changes
20339 only if its first arg contains curved quotes and the user prefers
20340 straight or grave quotes. (Come to think of it, perhaps we should
20341 be similarly conservative with doc strings too, but that can wait.)
20342 The upside of this conservatism is that existing usage is almost
20343 surely unaffected. The downside is that we'll eventually have to
20344 change Emacs's format strings to use curved quotes in places where
20345 the user might want curved quotes, but that's a simple and
20346 mechanical translation that I'm willing to do later. (Bug#21222)
20347 * doc/lispref/help.texi (Keys in Documentation):
20348 Move description of text-quoting-style from here ...
20349 * doc/lispref/strings.texi (Formatting Strings):
20350 ... to here, and describe new behavior of ‘format’.
20351 * etc/NEWS: Describe new behavior.
20352 * lisp/calc/calc-help.el (calc-describe-thing):
20353 * lisp/emacs-lisp/derived.el (derived-mode-make-docstring):
20354 * lisp/info.el (Info-find-index-name):
20355 Use ‘concat’ rather than ‘format’ to avoid misinterpretation
20356 of recently-added curved quotes.
20357 * src/doc.c (uLSQM0, uLSQM1, uLSQM2, uRSQM0, uRSQM1, uRSQM2):
20359 * src/lisp.h: ... to here.
20360 * src/doc.c (text_quoting_style): New function.
20361 (Fsubstitute_command_keys): Use it.
20362 * src/editfns.c (Fformat): Implement new behavior.
20363 * src/lisp.h (enum text_quoting_style): New enum.
20365 2015-08-14 Stefan Monnier <monnier@iro.umontreal.ca>
20367 * src/keyboard.c: Use false/true instead of 0/1 for booleans.
20368 * src/keyboard.h (struct kboard): Mark kbd_queue_has_data as boolean.
20370 2015-08-14 Michael Albinus <michael.albinus@gmx.de>
20372 * lisp/net/tramp-sh.el (tramp-sh-handle-file-acl): Do not redirect
20373 stderr to /dev/null, this is done in `tramp-send-command-and-check'.
20375 2015-08-14 Jürgen Hötzel <juergen@archlinux.org>
20377 Flush file properties in Tramp
20378 * lisp/net/tramp-sh.el (tramp-sh-handle-set-file-modes)
20379 (tramp-sh-handle-set-file-times):
20380 * lisp/net/tramp-adb.el (tramp-adb-handle-set-file-modes)
20381 (tramp-adb-handle-set-file-times): Flush the file properties of
20384 2015-08-14 Ronnie Schnell <ronnie@driver-aces.com>
20386 * doc/emacs/misc.texi (Amusements): Fixed typo.
20388 2015-08-14 Eli Zaretskii <eliz@gnu.org>
20390 Don't miss warnings about removing string text properties while dumping
20391 * src/alloc.c (purecopy): Warn about removing a string's text
20392 properties even when the same string was already pure-copied
20394 * lisp/progmodes/elisp-mode.el (elisp--xref-format)
20395 (elisp--xref-format-extra): Fix the commentary.
20397 2015-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
20399 * lisp/progmodes/sh-script.el (sh-mode): Handle .cshrc (bug#21049).
20401 2015-08-13 Magnus Henoch <magnus.henoch@gmail.com>
20403 * lisp/progmodes/compile.el: Use lexical-binding.
20404 (compilation-move-to-column): Assume 8-wide TABs (bug#21038).
20406 2015-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
20408 (uniquify-ask-about-buffer-names-p): Remove, unused (bug#21037)
20409 * lisp/uniquify.el: Remove redundant `:group's.
20411 2015-08-13 Jürgen Hötzel <juergen@archlinux.org>
20413 * lisp/net/tramp-adb.el
20414 (tramp-adb-handle-directory-files-and-attributes): Make a copy of
20415 result to prevent modification of the tramp-cache by side effects.
20416 Use the correct cache key.
20418 2015-08-13 Paul Eggert <eggert@cs.ucla.edu>
20420 Make add_to_log varargs
20421 * src/alloc.c (run_finalizer_handler):
20422 * src/charset.c (load_charset_map_from_vector):
20423 * src/nsimage.m (ns_load_image):
20424 * src/xfaces.c (load_pixmap, load_color2):
20425 Simplify, now that add_to_log has a variable number of args.
20426 * src/image.c (image_error): Take a variable number of args.
20427 Callers simplified.
20428 * src/lisp.h (add_to_log, vadd_to_log): Adjust to new APIs.
20429 * src/xdisp.c (format_nargs, vadd_to_log): New functions.
20430 (add_to_log): Make varargs, and reimplement in terms of vadd_to_log.
20431 * src/xfaces.c (merge_face_ref): Fix typo that omitted color name.
20433 Optional args for holiday-greek-orthodox-easter
20434 * etc/NEWS: Document this.
20435 * lisp/calendar/holidays.el (holiday-greek-orthodox-easter):
20436 Add optional args N and STRING, mimicking the API and code of
20437 ‘holiday-easter-etc’. From suggestion by Foivos S. Zakkak (Bug#21256).
20439 2015-08-13 Stephen Leake <stephen_leake@stephe-leake.org>
20441 xref-find-definitions: Exclude more generic function items.
20442 * lisp/emacs-lisp/cl-generic.el (cl--generic-search-method):
20444 (cl--generic-find-defgeneric-regexp): New.
20445 (find-function-regexp-alist): Add it.
20446 * lisp/emacs-lisp/find-func.el (find-feature-regexp): Move here from
20447 elisp-mode.el, change to search for ";;; Code:"
20448 (find-alias-regexp): Move here from elisp-mode.el, cleaned up.
20449 (find-function-regexp-alist): Add them.
20450 * lisp/progmodes/elisp-mode.el:
20451 (elisp--xref-format, elisp--xref-format-extra): Change back to
20452 defvar due to bug#21237.
20453 (elisp--xref-find-definitions): Exclude co-located default methods for
20454 generic functions. Also exclude implicitly declared defgeneric.
20455 (elisp--xref-find-definitions): Handle C source properly. Exclude minor
20456 mode variables defined by 'define-minor-mode'.
20457 * test/automated/elisp-mode-tests.el: Declare generic functions, add
20459 (xref-elisp-test-run): Fix bug.
20460 (emacs-test-dir): Improve initial value.
20461 (find-defs-defun-defvar-el): Don't expect defvar.
20462 (find-defs-feature-el): Match change to find-feature-regexp.
20464 2015-08-13 Eli Zaretskii <eliz@gnu.org>
20466 Improve warning about purecopy of strings with properties
20467 * src/alloc.c (purecopy): Show the offending string with the
20468 warning about removing its text properties.
20470 2015-08-12 Alan Mackenzie <acm@muc.de>
20472 Introduce new macros to cover Emacs's new names in cl-lib.el
20473 This also eliminates `mapcan' warnings in XEmacs.
20474 * lisp/progmodes/cc-defs.el (c--mapcan-status): New variable to
20475 characterise [X]Emacs versions.
20476 (top-level): Require either 'cl or 'cl-lib, depending on
20478 Change this back to cc-external-require from an eval-when-compile
20480 (c--mapcan, c--set-difference, c--intersection, c--macroexpand-all)
20481 (c--delete-duplicates): New macros which expand into either old or new
20483 (c-make-keywords-re, c-lang-defconst, c-lang-const): Use the new macros
20484 rather than the old names.
20485 * lisp/progmodes/cc-engine.el (c-declare-lang-variables): Use c--mapcan
20486 rather than mapcan.
20487 * lisp/progmodes/cc-fonts.el (c-compose-keywords-list): Use c--mapcan.
20488 * lisp/progmodes/cc-langs.el (top-level): Require either 'cl or 'cl-lib,
20489 depending on c--mapcan-status.
20490 (c-filter-ops, c-all-op-syntax-tokens, c-assignment-op-regexp)
20491 (c-type-start-kwds, c-prefix-spec-kwds, c-specifier-key)
20492 (c-not-decl-init-keywords, c-not-primitive-type-keywords)
20493 (c-paren-any-kwds, c-<>-sexp-kwds, c-block-stmt-kwds, c-expr-kwds)
20494 (c-decl-block-key, c-keywords, c-keywords-obarray)
20495 (c-regular-keywords-regexp, c-primary-expr-regexp)
20496 (c-primary-expr-regexp, c-block-prefix-disallowed-chars)
20497 (c-known-type-key, c-nonlabel-token-key)
20498 (c-make-init-lang-vars-fun): Use the new macros rather than the old
20501 2015-08-12 Oleh Krehel <ohwoeowho@gmail.com>
20503 loadhist.el (read-feature): Conform to completing-read
20504 * lisp/loadhist.el (read-feature): According to `completing-read'
20505 documentation, if collection is a list, then it must be a list of
20506 strings, not a list of symbols like before.
20508 2015-08-12 David Kastrup <dak@gnu.org>
20510 Deal gracefully with up-events (Bug#19746)
20511 * src/keyboard.c (apply_modifiers_uncached, parse_solitary_modifier)
20512 (parse_modifiers_uncached): React gracefully to "up-" modifiers:
20513 those may easily be injected by user-level Lisp code.
20514 (read_key_sequence): Discard unbound up-events like unbound
20515 down-events: they are even more likely only relevant for special
20517 While Emacs will not produce up-events on its own currently (those are
20518 converted to drag or click events before being converted to
20519 Lisp-readable structures), the input queue can be made to contain them
20520 by synthesizing events to `unread-command-events'. Emacs should deal
20521 consistently with such events.
20523 2015-08-12 Eli Zaretskii <eliz@gnu.org>
20525 Fix display of thin lines whose newline has line-height property of t
20526 * src/xdisp.c (append_space_for_newline): Don't try to fix ascent
20527 and descent values of non-empty glyph rows, since they could have
20528 forced low values deliberately. (Bug#21243)
20530 2015-08-12 Richard Stallman <rms@gnu.org>
20532 Offer to combine multiple To or CC fields.
20533 * lisp/mail/sendmail.el (mail-combine-fields): New function.
20534 (mail-send): Call 'mail-combine-fields'.
20536 Don't decrypt encrypted files.
20537 * lisp/mail/mail-utils.el (mail-file-babyl-p): Bind epa-inhibit to t.
20539 Handle encrypted mbox files.
20540 * lisp/mail/rmailout.el (rmail-output-as-mbox): Decrypt and reencrypt
20541 the mbox file if necessary.
20543 Re-enable mime processing after decryption. Add 'decrypt' keyword.
20544 * lisp/mail/rmail.el (rmail-epa-decrypt-1): New subroutine.
20545 (rmail-epa-decrypt): rmail-epa-decrypt-1 broken out.
20546 In a mime message, reenable Mime and show the parts that
20548 Add keyword "decrypt" if anything decrypted.
20550 epa-inhibit inhibits auto-recognition of .gpg files
20551 * lisp/epa-file.el (epa-inhibit): New variable.
20552 (epa-file-handler): Check epa-inhibit.
20554 2015-08-12 Artur Malabarba <bruce.connor.am@gmail.com>
20556 * lisp/emacs-lisp/lisp-mnt.el (lm-header): Add save-excursion.
20558 2015-08-11 Fabián Ezequiel Gallina <fgallina@gnu.org>
20560 Respect python.el imenu when semantic-mode is off
20562 * lisp/cedet/semantic/wisent/python.el: Do not force
20563 wisent-python-default-setup on python-mode-hook.
20565 2015-08-11 Paul Eggert <eggert@cs.ucla.edu>
20567 Give names to Unicode code points in C code
20568 * src/character.h (NO_BREAK_SPACE, SOFT_HYPHEN)
20569 (ZERO_WIDTH_NON_JOINER, ZERO_WIDTH_JOINER, HYPHEN)
20570 (NON_BREAKING_HYPHEN, LEFT_SINGLE_QUOTATION_MARK)
20571 (RIGHT_SINGLE_QUOTATION_MARK, PARAGRAPH_SEPARATOR)
20572 (LEFT_POINTING_ANGLE_BRACKET, RIGHT_POINTING_ANGLE_BRACKET)
20573 (LEFT_ANGLE_BRACKET, RIGHT_ANGLE_BRACKET)
20574 (OBJECT_REPLACEMENT_CHARACTER):
20575 New named constants for Unicode code points.
20576 * src/bidi.c (bidi_fetch_char, CANONICAL_EQU):
20577 * src/composite.c (char_composable_p):
20578 * src/lread.c (readevalloop, read1):
20579 * src/xdisp.c (get_next_display_element):
20581 * src/doc.c (LEFT_SINGLE_QUOTATION_POINT):
20582 Remove; now in character.h.
20584 2015-08-11 Stephen Leake <stephen_leake@stephe-leake.org>
20586 elisp--xref-find-definitions handle cl-defstuct default constructor
20587 * lisp/progmodes/elisp-mode.el (elisp-xref-find): Add FIXME.
20588 (elisp--xref-format-extra): Rename from elisp--xref-format-cl-defmethod.
20589 (elisp--xref-find-definitions): Handle cl-defstuct default constructor.
20590 * test/automated/elisp-mode-tests.el (xref-elisp-test-run): Split out
20591 from xref-elisp-test for ease of debugging.
20592 (xref-elisp-deftest): Rename from xref-elisp-test.
20593 (find-defs-constructor): New test.
20594 (find-defs-defgeneric-el): Match batch test config.
20595 (compile): Required for find-defs compilation-minor-mode test.
20596 (find-defs-defvar-el): Match code change.
20597 (find-defs-face-el): Match code change.
20598 * lisp/progmodes/xref.el (xref-find-function, xref-find-definitions):
20599 Improve doc string.
20601 2015-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
20603 * lisp/replace.el (perform-replace): Document `replacements'.
20604 (perform-replace): Move the description of the format of `replacements'
20605 from the body's comment to the doc string.
20607 2015-08-11 Jürgen Hötzel <juergen@archlinux.org>
20609 * lisp/net/tramp-adb.el (tramp-adb-prompt): Match leading escape
20610 sequence. Recent adb version send initial escape sequences, even
20611 when terminal type is set to TERM=dumb.
20613 2015-08-10 Stephen Leake <stephen_leake@stephe-leake.org>
20615 Rewrite elisp--xref-find-definitions to handle many more cases; add tests
20616 * lisp/progmodes/elisp-mode.el (elisp--xref-identifier-location):
20618 (elisp--xref-format-cl-defmethod): New defconst.
20619 (find-feature-regexp, find-alias-regexp): New defcustoms.
20620 (elisp--xref-make-xref): New function.
20621 (elisp--xref-find-definitions): Rewrite using the above, handle many
20622 more cases. Always output all available definitions.
20623 (xref-location-marker): No need for special cases.
20624 * test/automated/elisp-mode-tests.el: Add more tests of
20625 elisp--xref-find-definitions, improve current tests.
20627 2015-08-10 Eli Zaretskii <eliz@gnu.org>
20629 Fix recording of events pushed onto unread-command-events
20630 * src/keyboard.c (read_char): Make sure events read from
20631 unread-command-events and unread-post-input-method-events are
20632 always recorded by record_char. Reported by David Kastrup
20634 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00193.html.
20636 2015-08-10 Samer Masterson <samer@samertm.com>
20638 Set file buffer as current for "--file"
20639 * lisp/startup.el (command-line-1): Set file buffer as current before
20640 it is displayed so it can be used with options like "--eval".
20643 2015-08-10 Eli Zaretskii <eliz@gnu.org>
20645 Avoid setting LC_NUMERIC on MS-Windows to anything but "C"
20646 * src/emacs.c (synchronize_locale) [WINDOWSNT]: Call fixup_locale
20647 after setting LC_ALL to the desired locale, to avoid affecting how
20648 numbers are read and printed. (Bug#21223)
20650 2015-08-10 Alan Mackenzie <acm@muc.de>
20652 Fix "Invalid search bound (wrong side of point)" in fontification
20653 * lisp/progmodes/cc-fonts.el (c-font-lock-declarators): After skipping
20654 an initialization expression, check point is not beyond the
20655 fontification limit.
20657 2015-08-09 Paul Eggert <eggert@cs.ucla.edu>
20659 Fix DPI calculation when Xft/DPI is default
20660 * src/xsettings.c (parse_settings): Don't use Xft/DPI default
20661 value of -1, which evaluates to 2**32 - 1 (Bug#21152).
20662 Remove unnecessary cast while we're in the neighborhood.
20664 2015-08-09 Dmitry Gutov <dgutov@yandex.ru>
20666 Add project-vc-search-path and project-vc-ignores
20667 * lisp/progmodes/project.el (project-vc): New group.
20668 (project-vc-search-path, project-vc-ignores): New variables.
20669 (project--value-in-dir): Utility function.
20670 (project-search-path, project-ignores): Use them.
20671 * lisp/progmodes/xref.el (xref--rgrep-command): Only replace `./'
20672 at bos. Don't add extra `/'. Don't prepend with `*' if replaced.
20674 2015-08-09 Paul Eggert <eggert@cs.ucla.edu>
20676 Fix some minor quoting issues with grave accent
20677 * src/dispnew.c (add_window_display_history) [GLYPH_DEBUG]:
20678 Remove redundant quotes.
20679 * src/doc.c (uLSQM, uRSQM): New macros.
20680 * src/doc.c (Fsubstitute_command_keys):
20681 * src/syntax.c (Finternal_describe_syntax_value): Follow the user
20682 preference for quotes rather than hardcoding the ‘grave’ style.
20683 * src/regex.c (PUSH_FAILURE_POINT, POP_FAILURE_POINT)
20684 (re_match_2_internal) [DEBUG]: In debugging output, quote C
20685 strings with "...", not `...'.
20687 ChangeLog.2 ignores remote-tracking merges
20688 * build-aux/gitlog-to-emacslog: Ignore commit logs matching
20689 "Merge remote-tracking branch '.*'" too. See Eli Zaretskii in:
20690 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00384.html
20692 2015-08-09 Nicolas Richard <youngfrog@members.fsf.org>
20694 Use kpsewhich in ffap-latex-mode, if available
20695 * lisp/ffap.el (ffap-latex-guess-rules): New variable.
20696 (ffap-latex-mode): Use kpsewhich if available.
20698 ffap: disallow braces in filenames for tex modes
20699 * lisp/ffap.el (ffap-string-at-point-mode-alist): Don't allow
20700 braces in tex-related modes.
20702 Remove useless backslashes from ffap-string-at-point-mode-alist
20703 * lisp/ffap.el (ffap-string-at-point-mode-alist): Remove useless and
20704 misleading backslashes from default value.
20706 Augment docstring of ffap-string-at-point-mode-alist
20707 * lisp/ffap.el (ffap-string-at-point-mode-alist): Describe how BEG
20708 and END are handled.
20710 2015-08-09 Paul Eggert <eggert@cs.ucla.edu>
20712 * lisp/org/org-src.el (org-edit-src-code)
20713 (org-edit-fixed-width-region):
20714 * lisp/simple.el (completion-setup-function):
20715 Remove calls to substitute-command-keys that always just return
20718 2015-08-09 Daiki Ueno <ueno@gnu.org>
20720 * lisp/epa.el (epa-exit-buffer-function): Set to `quit-window'.
20723 2015-08-09 Ivan Kanis <ivan@kanis.fr>
20725 Fix link to source code in help window
20726 * lisp/help-fns.el (find-lisp-object-file-name): Remove code that
20727 will never work due to Glenn Morris change a6d63d9 on Apr 20 2013
20728 'No longer include timestamp in header of .elc files'. Add code
20729 that will return .el source file in load-path.
20731 2015-08-09 Artur Malabarba <bruce.connor.am@gmail.com>
20733 * lisp/isearch.el (isearch-search-fun-default): (Bug#21164)
20734 Respect `isearch-lax-whitespace' when searching through
20737 2015-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
20739 * test/automated/ert-tests.el (ert-test-deftest): Add FIXME.
20741 * lisp/org/org.el: Fix up some lexical scoping warnings, and use dolist
20742 * lisp/org/org.el (org-set-regexps-and-options-for-tags, org-goto-map)
20743 (org-set-regexps-and-options, org-assign-fast-keys)
20744 (org-contextualize-keys, org-contextualize-validate-key)
20745 (org-notes-order-reversed-p, org-local-logging, org-map-entries)
20746 (org-find-olp, org-find-exact-heading-in-directory)
20747 (org-cycle-agenda-files, org-release-buffers, org-fill-template)
20748 (org-agenda-prepare-buffers, org-occur-in-agenda-files)
20749 (org-replace-escapes): Use dolist.
20750 (org-mode): Optimize away XEmacs-only code.
20751 (org-refile-get-targets): Remove unused var `f'.
20752 (org-fast-todo-selection): Remove unused var `e'.
20753 (org-make-tags-matcher): Use dolist. Remove unused var `term'.
20754 (org-fast-tag-selection): Use dolist. Remove unused var `e'.
20755 (org-format-latex): Use dolist. Remove unused var `e'.
20756 (org-toggle-heading): Access vars lexically rather than dynamically.
20757 (org-backward-sentence, org-forward-sentence, org-meta-return)
20758 (org-kill-line): Mark arg as unused.
20759 (org-submit-bug-report): Silence compiler warning.
20760 (org-occur-in-agenda-files): Don't use add-to-list on local vars.
20761 (org-get-cursor-date): Remove unused var `tm'.
20762 (org-comment-or-uncomment-region): Use standard name `_'.
20763 (reftex-docstruct-symbol, reftex-cite-format): Declare to
20764 silence byte-compiler.
20765 (org-reftex-citation): Add `org--' prefix to dynamically scoped
20768 2015-08-08 Paul Eggert <eggert@cs.ucla.edu>
20770 Electric quote if coding is undecided or no conv
20771 * lisp/electric.el (electric--insertable-p): Also say that a
20772 string is insertable if the buffer file coding system is undecided
20773 or uses no conversion, as curved quotes will work in either case.
20775 * configure.ac (HAVE_STACK_OVERFLOW_HANDLING): Simplify configuration.
20777 2015-08-08 Eli Zaretskii <eliz@gnu.org>
20779 Fix overlay string display regressions introduced in Emacs 24.5
20780 * src/xdisp.c (pop_it): Reset the flag to ignore overlays at this
20781 buffer position, if we move the iterator to a new position as
20782 result of jumping over text covered by a "replacing" display
20784 * test/redisplay-testsuite.el (test-redisplay-4): Add 2 new tests.
20786 Support recovery from C stack overflow on MS-Windows
20787 * src/w32fns.c (w32_reset_stack_overflow_guard)
20788 (stack_overflow_handler): New functions for handling C stack
20789 overflow exceptions.
20790 (my_exception_handler): Handle EXCEPTION_STACK_OVERFLOW exceptions
20791 specially, and zero out except_addr if we do.
20792 (globals_of_w32fns): Initialize dwMainThreadId in non-interactive
20794 * src/sysdep.c [HAVE_STACK_OVERFLOW_HANDLING]: Add !WINDOWSNT to
20795 the condition, as HAVE_STACK_OVERFLOW_HANDLING is now defined for
20796 the MinGW build, but the code guarded by that is for Posix hosts.
20797 * src/keyboard.c (command_loop) [WINDOWSNT]:
20798 Call w32_reset_stack_overflow_guard.
20799 * nt/inc/ms-w32.h (sigjmp_buf): New typedef.
20800 (sigsetjmp): New macro.
20801 (w32_reset_stack_overflow_guard): Declare the prototype.
20802 * configure.ac (HAVE_STACK_OVERFLOW_HANDLING): Set to 1 for MinGW.
20804 2015-08-07 Phillip Lord <phillip.lord@newcastle.ac.uk>
20806 Improve error signalling for seq-subseq
20807 * lisp/emacs-lisp/seq.el (seq-subseq): The existing behavior is to error
20808 when indexes are too large, but to silently ignore numbers which
20809 are too negative for lists. String and vector handling errors in
20810 both cases. This has been regularized. Error signaling behavior
20811 has been explicitly added to the doc string.
20813 * lisp/cl-extra.el (cl-subseq): Defers to (seq-subseq) and is
20814 therefore also impacted by this change. Update the doc string
20817 * test/automated/seq-tests.el (test-seq-subseq): Tests have been
20818 added for these exceptional cases, as well as one non exceptional
20821 2015-08-07 Jürgen Hötzel <juergen@archlinux.org>
20823 Improve error checking in tramp-adb.el
20824 * lisp/net/tramp-adb.el (tramp-adb-ls-output-name-less-p):
20825 Improve error checking. "ls -l" on Android in Enforcing mode can
20826 print "lstat './FILENAME failed: Permission denied".
20828 2015-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
20830 * lisp/emacs-lisp/cl-generic.el (cl--generic-struct-tag): Don't burp on
20831 non-struct vectors.
20833 2015-08-07 Stephen Leake <stephen_leake@stephe-leake.org>
20835 Fix typo in lisp/window.el, more `display-buffer-use-some-frame'
20836 * lisp/window.el: Fix typo that broke build.
20837 (display-buffer--action-function-custom-type):
20838 Add `display-buffer-use-some-frame'.
20839 (display-buffer): Add `display-buffer-use-some-frame' to doc string.
20841 Add support for 'inhibit-same-window in 'display-buffer-use-some-frame'
20842 * lisp/window.el (display-buffer-use-some-frame): Add support for
20843 'inhibit-same-window in alist.
20844 * doc/lispref/windows.texi (display-buffer-use-some-frame):
20845 Doc support for 'inhibit-same-window in alist.
20847 2015-08-07 Eli Zaretskii <eliz@gnu.org>
20849 Avoid infinite loop in display of invisible text in strings
20850 * src/xdisp.c (handle_invisible_prop): If the next change of
20851 invisibility spec does not mean the beginning of a visible text,
20852 update the string position from which to start the search for the
20853 next invisibility change. This avoids an infinite loop when we
20854 have more than one invisibility spec that are made inactive by
20855 buffer-invisibility-spec. Simplify code. (Bug#21200)
20856 * test/redisplay-testsuite.el (test-redisplay-4): Add a test case
20857 for the situation that caused bug #21200.
20859 2015-08-06 Artur Malabarba <bruce.connor.am@gmail.com>
20861 * lisp/emacs-lisp/package.el: Simplify describe-package-1
20862 (package-help-section-name-face): New face.
20863 (package--print-help-section): New function.
20864 (describe-package-1): Refactor section printing.
20865 (package-make-button): Use face instead of font-lock-face, which
20866 doesn't work on buttons.
20868 * lisp/emacs-lisp/package.el: Define custom faces
20869 (package-name-face, package-description-face)
20870 (package-status-built-in-face, package-status-external-face)
20871 (package-status-available-face, package-status-new-face)
20872 (package-status-held-face, package-status-disabled-face)
20873 (package-status-installed-face, package-status-dependency-face)
20874 (package-status-unsigned-face, package-status-incompat-face)
20875 (package-status-avail-obso-face): New faces.
20876 (package-menu--print-info-simple): Use them.
20878 2015-08-05 Paul Eggert <eggert@cs.ucla.edu>
20880 Fix some confusion with ‘format’
20881 * lisp/allout-widgets.el (allout-widgets-before-change-handler)
20882 (allout-graphics-modification-handler):
20883 Protect arbitrary string in a format context with "%s" format.
20885 * lisp/cedet/semantic/bovine/scm.el: Fix comment.
20886 * lisp/calendar/icalendar.el (icalendar--convert-sexp-to-ical):
20887 * lisp/erc/erc-button.el (erc-button-beats-to-time):
20888 * lisp/gnus/message.el (message-send-form-letter):
20889 * lisp/org/ob-core.el (org-babel-check-evaluate)
20890 (org-babel-confirm-evaluate):
20891 * lisp/org/ob-fortran.el (org-babel-fortran-var-to-fortran):
20892 * lisp/org/ox-latex.el (org-latex-compile):
20893 * lisp/org/ox-man.el (org-man-compile):
20894 * lisp/org/ox-odt.el (org-odt-template):
20895 * lisp/org/ox-texinfo.el (org-texinfo-compile):
20896 * lisp/progmodes/prolog.el (prolog-help-info)
20897 (prolog-view-predspec):
20898 * lisp/progmodes/ruby-mode.el (ruby-parse-partial):
20899 * lisp/progmodes/verilog-mode.el (verilog-showscopes):
20900 * lisp/textmodes/rst.el (rst-replace-lines):
20901 Change (message (format ...)) to (message ...), and likewise
20902 for ‘error’. This lessens the probability of confusion when the
20903 output of ‘format’ contains ‘%’.
20905 2015-08-05 Artur Malabarba <bruce.connor.am@gmail.com>
20907 * lisp/replace.el (replace-character-fold): Default to nil.
20909 * lisp/character-fold.el: Fix lax whitespace.
20910 (character-fold-table): Don't make space match other whitespace chars.
20911 (character-fold-to-regexp): Simplify lax behavior.
20913 2015-08-05 Dmitry Gutov <dgutov@yandex.ru>
20915 Preserve window point in xref-find-definitions-other-window
20916 Fix the problem reported by Ingo Logmar in
20917 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00152.html
20918 * lisp/progmodes/xref.el (xref--goto-char): Extract from
20919 xref--goto-location.
20920 (xref--pop-to-location): Use it. Replace xref--goto-location with
20921 a direct xref-location-marker call.
20922 (xref--show-location): Likewise.
20923 (xref--display-position): Use xref--goto-char.
20925 * lisp/progmodes/project.el: Add a paragraph to the front matter.
20927 2015-08-04 David Kastrup <dak@gnu.org>
20929 * lisp/vc/emerge.el (emerge-show-file-name):
20930 * lisp/progmodes/vhdl-mode.el (vhdl-electric-dash)
20931 (vhdl-comment-insert, vhdl-hooked-abbrev):
20932 * lisp/progmodes/octave.el (inferior-octave-dynamic-list-input-ring):
20933 * lisp/progmodes/fortran.el (fortran-window-create-momentarily):
20934 * lisp/progmodes/ebrowse.el (ebrowse-hack-electric-buffer-menu):
20935 * lisp/progmodes/cperl-mode.el (cperl-putback-char):
20936 * lisp/obsolete/vip.el (vip-escape-to-emacs)
20937 (vip-prefix-arg-value, vip-prefix-arg-com):
20938 * lisp/obsolete/terminal.el (te-escape-extended-command-unread):
20939 * lisp/leim/quail/tibetan.el (quail-tibetan-update-translation)
20940 (quail-tibkey-update-translation):
20941 * lisp/leim/quail/lrt.el (quail-lrt-update-translation):
20942 * lisp/leim/quail/lao.el (quail-lao-update-translation):
20943 * lisp/leim/quail/japanese.el (quail-japanese-update-translation)
20944 (quail-japanese-self-insert-and-switch-to-alpha):
20945 * lisp/leim/quail/hangul.el (hangul2-input-method)
20946 (hangul3-input-method, hangul390-input-method):
20947 * lisp/language/hanja-util.el (hangul-to-hanja-char):
20948 * lisp/international/robin.el (robin-input-method):
20949 * lisp/international/quail.el (quail-start-translation)
20950 (quail-start-conversion):
20951 * lisp/gnus/gnus-art.el (gnus-article-describe-key)
20952 (gnus-article-describe-key-briefly):
20953 * lisp/eshell/em-hist.el (eshell-list-history):
20954 * lisp/term.el (term-dynamic-list-input-ring)
20955 (term-dynamic-list-completions):
20956 * lisp/subr.el (momentary-string-display):
20957 * lisp/simple.el (read-quoted-char):
20958 * lisp/pcomplete.el (pcomplete-show-completions):
20959 * lisp/kmacro.el (kmacro-repeat-on-last-key):
20960 * lisp/info.el (Info-summary):
20961 * lisp/ehelp.el (electric-help-command-loop):
20962 * lisp/ebuff-menu.el (electric-buffer-list)
20963 (Electric-buffer-menu-exit):
20964 * lisp/double.el (double-translate-key):
20965 * lisp/comint.el (comint-dynamic-list-input-ring)
20966 (comint-dynamic-list-completions): Do not overwrite preexisting
20967 contents of `unread-command-events' when putting new events into it.
20969 2015-08-04 Daniel Colascione <dancol@dancol.org>
20971 Improve ansi-color filtering of unrecognized escape sequences
20972 * lisp/ansi-color.el (ansi-color-drop-regexp): Recognize mode-setting
20974 (ansi-color-filter-apply, ansi-color-apply): Filter out
20975 unrecognized escape sequences.
20977 2015-08-04 Artur Malabarba <bruce.connor.am@gmail.com>
20979 * lisp/emacs-lisp/package.el (package-menu-mode-map): Convert menu
20980 definitions to `easy-menu-define', improve a couple to account for
20981 async, and add a couple of new commands.
20983 2015-08-03 Jürgen Hötzel <juergen@archlinux.org>
20985 * lisp/net/tramp-cache.el (tramp-set-file-property): Fix code typo.
20987 2015-08-03 Ingo Lohmar <i.lohmar@gmail.com>
20989 Add new 'calendar-weekend-days' option
20990 Make the days receiving the 'calendar-weekend-header' face freely
20991 customizable, as they differ by region/culture.
20992 * doc/emacs/calendar.texi (Move to Beginning or End): Document the
20994 * lisp/calendar/calendar.el (calendar-generate-month): New variable
20995 calendar-weekend-days to customize day header fontification.
20997 2015-08-03 Paul Eggert <eggert@cs.ucla.edu>
20999 Redo text-quoting-style variable
21000 Rename help-quote-translation to text-quoting-style,
21001 and use symbols rather than characters as values.
21002 This follows suggestions along these lines by Alan Mackenzie in:
21003 http://lists.gnu.org/archive/html/emacs-devel/2015-06/msg00343.html
21004 and by Drew Adams in:
21005 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00048.html
21006 * doc/lispref/help.texi (Keys in Documentation)
21008 * lisp/cus-start.el (standard):
21009 * src/doc.c (Fsubstitute_command_keys, syms_of_doc):
21010 Document and/or implement the new behavior instead of the old.
21011 (syms_of_doc): New symbols 'grave' and 'straight'.
21013 2015-08-03 Nikolaus Rath <Nikolaus@rath.org>
21015 nnimap.el: Use IMAP MOVE extension if available
21016 * lisp/gnus/nnimap.el (nnimap-request-move-article)
21017 (nnimap-process-expiry-targets, nnimap-split-incoming-mail):
21018 Use MOVE extension if available.
21020 nnimap.el: Explicitly ask for server capabilities
21021 * lisp/gnus/nnimap.el (nnimap-open-connection-1): Don't assume full
21022 capabilities will be returned in the login-result.
21024 2015-08-02 Paul Eggert <eggert@cs.ucla.edu>
21026 Treat help strings like other doc strings
21027 * doc/lispref/text.texi (Special Properties), etc/NEWS: Document this.
21028 * lisp/epa.el (epa--select-keys): Remove no-longer-needed calls to
21029 substitute-command-keys.
21030 * src/keyboard.c (show_help_echo, parse_menu_item): Call
21031 substitute-command-keys on the help string before displaying it.
21033 Also mention "curly quotes"
21034 See Drew Adams's email in:
21035 http://lists.gnu.org/archive/html/emacs-devel/2015-08/msg00040.html
21036 * doc/lispref/help.texi (Keys in Documentation):
21037 Add index entry "curly quotes".
21038 * etc/NEWS: Use the phrase "curly quotes" too.
21040 ede-proj-target-makefile docstring tweaks
21041 * lisp/cedet/ede/proj.el (ede-proj-target-makefile):
21042 Consistently use "all:" to describe the all: target,
21043 replacing three different and confusingly-quoted usages.
21045 2015-08-02 Evgeny Fraimovitch <johnlen7@gmail.com> (tiny change)
21047 Don't abort emacsclientw when -a was specified
21048 * lib-src/emacsclient.c (set_tcp_socket) [WINDOWSNT]: Don't error
21049 out if we are in emacsclientw and -a was specified.
21051 2015-08-02 Eli Zaretskii <eliz@gnu.org>
21053 Fix handling of 1st keystroke on MS-Windows
21054 * src/w32fns.c (globals_of_w32fns): Initialize after_deadkey to -1.
21055 This is needed to correctly handle the session's first keystroke,
21056 if it has any modifiers. (Bug#19994)
21058 2015-08-02 Paul Eggert <eggert@cs.ucla.edu>
21060 Substitute some customization etc. doc strings
21061 These changes apply substitute-command-keys to some
21062 doc strings that were going through untranslated
21063 when creating customization or other widgets.
21064 * lisp/cus-edit.el (custom-group-value-create):
21065 * lisp/wid-edit.el (widget-default-create):
21066 (widget-push-button-value-create):
21067 Treat the widget tag as a doc string.
21068 * lisp/emacs-lisp/cl-extra.el (cl--describe-class-slot):
21069 Treat the :documentation value as a doc string.
21070 * lisp/wid-edit.el (widget-choose):
21071 Treat the choice names as doc strings.
21072 (widget-default-create): Treat the :doc value as a doc string.
21073 (widget-toggle-value-create): Treat the :on and :off values
21075 (widget-documentation-string-value-create):
21076 Substitute the doc string.
21078 2015-08-01 Dmitry Gutov <dgutov@yandex.ru>
21080 Add a second argument to project-ignores
21081 * lisp/progmodes/project.el (project-ignores): Add a second
21083 * lisp/progmodes/project.el (project-ignores): Only include the VC
21084 ignores if DIR is the VC root.
21085 * lisp/progmodes/xref.el (xref-find-regexp): Update accordingly.
21087 2015-08-01 Eli Zaretskii <eliz@gnu.org>
21089 Prevent incorrect display when 'line-spacing' variable is set
21090 * src/xdisp.c (try_window_id): Give up this optimization if the
21091 buffer has its 'line-spacing' variable set non-nil.
21093 2015-08-01 Dmitry Gutov <dgutov@yandex.ru>
21095 Don't pass NOVISIT to find-file
21096 * lisp/progmodes/etags.el (next-file):
21097 Don't pass NOVISIT to find-file (bug#21175).
21099 Ignore buffer restriction for tags-loop-eval
21100 * lisp/progmodes/etags.el (tags-loop-continue): Ignore buffer
21101 restriction (bug#21167).
21103 2015-08-01 Eli Zaretskii <eliz@gnu.org>
21105 Fix a thinko in 'ffap-gopher-at-point'
21106 * lisp/ffap.el (ffap-gopher-at-point): Fix last change. (Bug#21168)
21108 Honor 'line-spacing' for empty lines
21109 * src/xdisp.c (append_space_for_newline): Honor 'line-height'
21110 property and 'line-spacing' frame parameter or variable or
21111 property for empty lines, by doing the same processing as in
21112 x_produce_glyph for newline characters. (Bug#21165)
21114 2015-08-01 Paul Eggert <eggert@cs.ucla.edu>
21116 Simplify by assuming C99 integer division
21117 * src/floatfns.c (ceiling2, floor2, truncate2):
21118 Assume C99 (i.e., Fortran) semantics for integer division.
21119 This simplifies the code.
21121 2015-07-31 Paul Eggert <eggert@cs.ucla.edu>
21123 Don't overflow if computing approximate percentage
21124 * lisp/align.el (align-region):
21125 * lisp/cedet/semantic.el (semantic-repeat-parse-whole-stream):
21126 * lisp/cedet/semantic/wisent.el (wisent-parse-region):
21127 * lisp/cus-edit.el (custom-buffer-create-internal):
21128 * lisp/emacs-lisp/checkdoc.el (checkdoc-interactive-ispell-loop)
21129 (checkdoc-message-interactive-ispell-loop, checkdoc-next-error)
21130 (checkdoc-next-message-error):
21131 * lisp/emacs-lisp/eieio-opt.el (eieio-display-method-list):
21132 * lisp/epa.el (epa-progress-callback-function):
21133 * lisp/erc/erc-dcc.el (erc-dcc-do-LIST-command):
21134 * lisp/ffap.el (ffap-menu-rescan):
21135 * lisp/gnus/nnbabyl.el (nnbabyl-retrieve-headers):
21136 * lisp/gnus/nndiary.el (nndiary-retrieve-headers):
21137 * lisp/gnus/nneething.el (nneething-retrieve-headers):
21138 * lisp/gnus/nnmbox.el (nnmbox-retrieve-headers):
21139 * lisp/gnus/nnmh.el (nnmh-retrieve-headers):
21140 * lisp/gnus/nnml.el (nnml-retrieve-headers):
21141 * lisp/gnus/nnspool.el (nnspool-retrieve-headers):
21142 * lisp/gnus/nntp.el (nntp-retrieve-headers)
21143 (nntp-retrieve-articles):
21144 * lisp/imenu.el (imenu--relative-position):
21145 * lisp/international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
21146 (skkdic-convert-okuri-nasi):
21147 * lisp/net/ange-ftp.el (ange-ftp-process-handle-hash):
21148 * lisp/nxml/rng-valid.el (rng-compute-mode-line-string):
21149 * lisp/org/org-list.el (org-update-checkbox-count):
21150 * lisp/org/org.el (org-table-map-tables)
21151 (org-update-parent-todo-statistics):
21152 * lisp/play/decipher.el (decipher-insert-frequency-counts)
21153 (decipher-analyze-buffer):
21154 * lisp/profiler.el (profiler-format-percent):
21155 * lisp/progmodes/cc-cmds.el (c-progress-update):
21156 * lisp/progmodes/cpp.el (cpp-highlight-buffer):
21157 * lisp/progmodes/idlwave.el (idlwave-convert-xml-system-routine-info)
21158 (idlwave-list-load-path-shadows):
21159 * lisp/progmodes/opascal.el (opascal-step-progress):
21160 * lisp/progmodes/vhdl-mode.el (vhdl-update-progress-info)
21161 (vhdl-scan-directory-contents):
21162 * lisp/textmodes/bibtex.el (bibtex-progress-message):
21163 * lisp/textmodes/flyspell.el (flyspell-small-region)
21164 (flyspell-external-point-words):
21165 * lisp/textmodes/table.el (table-recognize):
21166 Prefer (floor (* 100.0 NUMERATOR) DENOMINATOR) when calculating
21167 progress-report percentages and the like. This avoids problems
21168 if (* 100 NUMERATOR) would overflow.
21169 * lisp/gnus/gnus-registry.el (gnus-registry-import-eld):
21170 * lisp/gnus/registry.el (registry-reindex):
21171 Use (* 100.0 ...) rather than (* 100 ...) to avoid int overflow issues.
21172 * lisp/descr-text.el (describe-char):
21173 * lisp/org/org-colview.el (org-nofm-to-completion):
21174 * lisp/ps-print.el (ps-plot):
21175 * lisp/simple.el (what-cursor-position):
21176 Prefer (round (* 100.0 NUMERATOR) DENOMINATOR) to a
21177 more-complicated and less-accurate approximation.
21179 Fix some int overflows in profiler.c
21180 * src/profiler.c (make_log): Make args EMACS_INT, not int,
21181 to avoid unwanted behavior on 'int' overflow.
21182 (make_log, evict_lower_half, record_backtrace):
21183 Use ptrdiff_t, not int, for object indexes.
21185 Port to pedantic memcpy
21186 * src/keyboard.c (menu_bar_items, tool_bar_items):
21187 * src/xrdb.c (magic_db):
21188 Port to pedantic memcpy implementations that reject memcpy (0, 0, 0).
21192 2015-07-29 time_rz: port to pedantic memcpy
21193 * lib/time_rz.c: Copy from gnulib.
21195 2015-07-31 Artur Malabarba <bruce.connor.am@gmail.com>
21197 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-print):
21198 When updating the very last entry, tabulated-list-print would
21199 erase it and then try to look at the next one (which obviously
21202 2015-07-31 Eli Zaretskii <eliz@gnu.org>
21204 Allow to use the old key processing code on MS-Windows
21205 * src/w32fns.c (syms_of_w32fns) <w32-use-fallback-wm-chars-method>:
21207 (w32_wnd_proc): Use it to invoke the old code that processed
21208 character keys, as fallback, when this variable is non-nil.
21209 Fix typos in comments. (Bug#19994)
21211 2015-07-31 Ilya Zakharevich <ilya@math.berkeley.edu>
21213 Improve handling of Unicode keyboard input on MS-Windows
21214 * src/w32fns.c (get_wm_chars, deliver_wm_chars): New functions.
21215 (FPRINTF_WM_CHARS) [DEBUG_WM_CHARS]: New macro for debugging.
21216 (w32_wnd_proc): Call deliver_wm_chars to process non-special keys
21217 upon receiving WM_KEYDOWN or WM_SYSKEYDOWN messages. If that is
21218 successful, don't call TranslateMessage. (Bug#19994)
21220 2015-07-30 Dmitry Gutov <dgutov@yandex.ru>
21222 Fix default-directory in changeset diffs after vc-print-log
21223 * lisp/vc/log-view.el (log-view-diff-common): Move the
21224 revision-granularity check back into log-view-diff-changeset.
21225 (log-view-diff-changeset): Bind default-directory to the current
21228 Rename project-directories to project-roots
21229 * lisp/progmodes/project.el (project-search-path-function)
21230 (project-search-path): Update the docstring.
21231 (project-directories): Rename to `project-roots', update all
21232 callers and implementations accordingly.
21233 (project-root): Remove.
21234 * lisp/progmodes/xref.el (xref-find-regexp): Use * instead of *.*
21235 as the default file mask.
21237 2015-07-30 Eli Zaretskii <eliz@gnu.org>
21239 Support long URLs in w32-shell-execute
21240 * src/w32fns.c (Fw32_shell_execute): Don't use filename_to_utf16
21241 and filename_to_ansi to convert the DOCUMENT argument, as it could
21242 be a URL that is not limited to MAX_PATH characters. Instead, use
21243 MultiByteToWideChar directly, and allocate heap storage as
21244 required to accommodate the converted string. Likewise with
21245 non-Unicode operation. Ensure OPERATION is null-terminated, even
21246 if it is longer than 32K bytes. (Bug#21158)
21248 2015-07-30 Stephen Leake <stephen_leake@stephe-leake.org>
21250 * lisp/vc/vc-mtn.el (vc-mtn-find-revision): Handle null rev.
21252 2015-07-29 Stephen Leake <stephen_leake@stephe-leake.org>
21254 Add docs for display-buffer action display-buffer-use-some-frame
21255 * lisp/window.el (display-buffer-use-some-frame): Improve doc string.
21256 * doc/lispref/windows.texi (Display Action Functions):
21257 Add display-buffer-use-some-frame.
21258 * etc/NEWS: Mention display-buffer-use-some-frame.
21260 Add display-buffer action display-buffer-use-some-frame
21261 * lisp/window.el (display-buffer-use-some-frame): New.
21263 Handle vc-mtn error more gently
21264 * lisp/vc/vc-mtn.el (vc-mtn-mode-line-string): Return "" when
21267 2015-07-29 Michael Albinus <michael.albinus@gmx.de>
21269 Fix Tramp problems with multihops, and nc
21270 * lisp/net/tramp-cache.el (tramp-get-file-property)
21271 (tramp-set-file-property, tramp-flush-file-property)
21272 (tramp-get-connection-property, tramp-set-connection-property)
21273 (tramp-flush-connection-property): Remove hop from vector.
21274 * lisp/net/tramp-gw.el (tramp-gw-process-filter): Ignore errors.
21275 * lisp/net/tramp-sh.el (tramp-methods) <nc>: Separate STDERR.
21276 (tramp-do-copy-or-rename-file-out-of-band): Increase timeout of
21278 (tramp-sh-handle-start-file-process): Do not show hops in prompt.
21279 * lisp/net/tramp.el (tramp-handle-file-name-as-directory)
21280 (tramp-handle-file-name-directory, tramp-handle-file-remote-p):
21281 Keep hop in result.
21282 * test/automated/tramp-tests.el (tramp-test02-file-name-dissect):
21285 2015-07-29 Eli Zaretskii <eliz@gnu.org>
21287 Resurrect highlighting of repeated words by Flyspell Mode
21288 * lisp/textmodes/flyspell.el (flyspell-word): Leave some non-word
21289 characters between point and the doublon candidate, so that
21290 flyspell-word-search-backward finds it. (Bug#21157)
21292 Fix redisplay of large images on expose events
21293 * src/xdisp.c (expose_window, expose_area): Avoid comparisons
21294 between signed negative values and unsigned values. This
21295 prevented redisplay on expose events when the window showed a very
21298 2015-07-29 Paul Eggert <eggert@cs.ucla.edu>
21300 Remove unnecessary stack overflow dependency
21301 * configure.ac (HAVE_STACK_OVERFLOW_HANDLING):
21302 Don't worry about $ac_cv_header_sys_resource_h and
21303 $ac_cv_func_getrlimit, as they're no longer needed for this.
21304 Problem reported by Eli Zaretskii in:
21305 http://lists.gnu.org/archive/html/emacs-devel/2015-07/msg00443.html
21307 2015-07-28 Andy Moreton <andrewjmoreton@gmail.com> (tiny change)
21309 Pacify compilation -Wincompatible-pointer-types warnings
21310 * src/w32proc.c (Fw32_get_codepage_charset): Avoid compilation
21312 (CompareStringW_Proc): New typedef.
21313 (w32_compare_strings): Use it, to pacify compiler warnings under
21314 "-Wincompatible-pointer-types".
21315 * src/w32fns.c (GetDiskFreeSpaceExW_Proc)
21316 (GetDiskFreeSpaceExA_Proc): New typedefs.
21317 (Ffile_system_info): Use them, to pacify compiler warnings under
21318 "-Wincompatible-pointer-types".
21320 2015-07-28 Paul Eggert <eggert@cs.ucla.edu>
21322 Fix subscript error in calculate_direct_scrolling
21323 Use slightly-longer cost vectors. Without this change,
21324 calculate_direct_scrolling can have a subscript violation when
21325 FRAME_LINES (frame) <= delta.
21326 * src/scroll.c (calculate_scrolling, calculate_direct_scrolling)
21327 (line_ins_del, do_line_insertion_deletion_costs):
21328 Allocate and use slightly-larger cost vectors, ones based on
21329 FRAME_TOTAL_LINES instead of FRAME_LINES.
21331 Fix uninitalized value in encode_coding_object
21332 * src/coding.c (encode_coding_object): Also initialize
21333 coding->src_pos and coding->src_pos_byte when NILP (src_object).
21334 This avoids later use of uninitialized storage.
21336 2015-07-27 Xue Fuqiao <xfq.free@gmail.com>
21338 * doc/lispref/variables.texi (Variable Aliases): Typo fix.
21341 2015-07-27 Paul Eggert <eggert@cs.ucla.edu>
21345 2015-07-27 time_rz: port better to MinGW
21346 2015-07-27 time: port __need_time_t to MinGW
21347 * lib/gnulib.mk, m4/gnulib-comp.m4: Regenerate.
21348 * lib/strftime.c, lib/time.in.h, lib/time_rz.c: Copy from gnulib.
21349 * lib/time-internal.h: New file, from gnulib.
21351 2015-07-27 Eli Zaretskii <eliz@gnu.org>
21353 Handle NULL pointers in w32heap.c allocation routines
21354 * src/w32heap.c (FREEABLE_P): Consider a NULL pointer "not
21356 (realloc_after_dump, realloc_before_dump, free_before_dump):
21357 Handle NULL pointers gracefully, as Emacs now seems to expect that.
21359 Fix Cairo build without PNG
21360 * src/image.c: Define PNG function when USE_CAIRO is defined, even
21361 if HAVE_PNG is not. (Bug#21133)
21363 MS-Windows follow-up for recent TZ-related changes
21364 * nt/mingw-cfg.site (ac_cv_header_pthread_h)
21365 (gl_cv_sys_struct_timespec_in_pthread_h): Force to "no", to avoid
21366 picking up 'struct timespec' from pthread.h, if it is installed on
21367 the user's system. We want either the definitions from MinGW
21368 system headers, if available, or the Gnulib replacements if not.
21369 * nt/inc/ms-w32.h <struct timespec>: Don't define, as we now use
21371 * lib/time.in.h: Don't let __need_* symbols affect what happens on
21372 MinGW. These symbols are defined by MinGW system headers, but we
21373 don't want that to affect whether Gnulib portions of the header
21374 are or aren't used.
21376 2015-07-26 Paul Eggert <eggert@cs.ucla.edu>
21378 * src/ftfont.c (ftfont_close): Add comment re Bug#20890.
21380 New optional ZONE arg for format-time-string etc.
21381 This simplifies time conversions in other time zones.
21382 It also prevents display-time-world tampering with TZ (Bug#21020).
21383 * admin/admin.el (add-release-logs):
21384 Use improved add-log-time-format API.
21385 * admin/merge-gnulib (GNULIB_MODULES): Add time_rz, timegm.
21386 (GNULIB_TOOL_FLAGS): Avoid flexmember, setenv, unsetenv.
21387 * configure.ac (tzalloc): Remove test for this, since
21388 Emacs no longer uses HAVE_TZALLOC directly.
21389 * doc/lispref/os.texi (Time of Day, Time Conversion)
21391 * etc/NEWS: Document the new behavior.
21392 Merge from gnulib, incorporating:
21393 2015-07-25 strftime: fix newly-introduced bug on Solaris
21394 2015-07-23 fprintftime, strftime: use timezone_t args
21395 * lib/gnulib.mk, m4/gnulib-comp.m4: Regenerate.
21396 * lib/strftime.c, lib/strftime.h, lib/time.in.h, m4/sys_time_h.m4:
21398 Update from gnulib.
21399 * lib/time_rz.c, lib/timegm.c, m4/time_rz.m4, m4/timegm.m4:
21400 New files from gnulib.
21401 * lisp/time-stamp.el (time-stamp-string):
21402 * lisp/time.el (display-time-world-list)
21403 (display-time-world-display):
21404 Use new API, with time zone arg.
21405 * lisp/time.el (display-time-world-display):
21406 Fix race when current-time advances while we're running.
21407 * lisp/vc/add-log.el (add-log-iso8601-time-zone)
21408 (add-log-iso8601-time-string): Accept optional time zone arg.
21409 * lisp/vc/add-log.el (add-change-log-entry):
21410 * lisp/vc/log-edit.el (log-edit-changelog-ours-p): Use new arg.
21411 * nt/gnulib.mk: Propagate lib/gnulib.mk changes here.
21412 Add rules for the time module, since they're now needed
21414 * src/conf_post.h (getenv_TZ, setenv_TZ): New macros.
21415 (emacs_getenv_TZ, emacs_setenv_TZ): New decls.
21416 * src/editfns.c: Include errno.h.
21417 (set_time_zone_rule): Omit unnecessary forward decl.
21418 (initial_tz): Remove, replacing with ...
21419 (local_tz, wall_clock_tz, utc_tz): New static vars and constants.
21420 (tzeqlen): New constant; prefer it to (sizeof "TZ=" - 1).
21421 (emacs_localtime_rz, emacs_mktime_z, xtzalloc, xtzfree)
21422 (tzlookup): New static functions.
21423 (init_editfns): New arg DUMPING. All uses changed.
21424 (init_editfns): Omit most initialization if dumping, not if
21425 !initialized. Initialize wall_clock_tz and local_tz.
21426 (emacs_nmemftime, format_time_string): Time zone argument can now
21427 be any time zone, not just a boolean for UTC or local time. All
21429 (Fformat_time_string, Fencode_time, Fcurrent_time_string)
21430 (Fcurrent_time_zone): New optional arg ZONE.
21431 (Fdecode_time, Fset_time_zone_rule): ZONE arg can now also take
21432 the same form as with the other new additions.
21433 (decode_time_zone): Remove; no longer needed.
21434 (tzvalbuf): Now file-scope.
21435 (emacs_getenv_TZ, emacs_setenv_TZ): New functions.
21436 (syms_of_editfns): Define Qwall.
21437 * src/editfns.c (mktime_z) [!HAVE_TZALLOC]:
21438 * src/systime.h (mktime_z, timezone_t, tzalloc, tzfree)
21440 Remove; now supplied by gnulib.
21441 * src/emacs.c (main):
21442 * src/lisp.h (init_editfns): Adjust to init_editfns API change.
21444 2015-07-26 Shigeru Fukaya <shigeru.fukaya@gmail.com>
21446 Fix infinite loop in delete-consecutive-dups
21447 * lisp/subr.el (delete-consecutive-dups): Work even if the last
21448 element is nil (Bug#20588). Avoid rescan of a circular list in
21449 deletion of last element.
21451 2015-07-26 Martin Rudalics <rudalics@gmx.at>
21453 Have `x-frame-geometry' return nil for terminal and initial
21455 * src/nsfns.m (Fx_frame_geometry):
21456 * src/xfns.c (Fx_frame_geometry): Return nil for initial and
21458 * src/w32fns.c (Fw32_frame_menu_bar_size, Fw32_frame_rect)
21459 (Fx_frame_geometry): Return nil for terminal frames
21461 2015-07-26 HOSOYA Kei <hosoyakei.free@gmail.com> (tiny change)
21463 * etc/tutorials/TUTORIAL.ja: Improve translation.
21465 2015-07-25 Eli Zaretskii <eliz@gnu.org>
21467 Avoid crashes when w32 GUI functions are called in -batch
21468 * src/w32fns.c (Fx_frame_geometry, Fw32_frame_rect)
21469 (Fw32_frame_menu_bar_size, Fw32_send_sys_command): Don't call
21470 FRAME_W32_WINDOW for initial frame. (Bug#21132)
21472 Fix flyspell-check-previous-highlighted-word
21473 * lisp/textmodes/flyspell.el
21474 (flyspell-check-previous-highlighted-word): Really accept a
21475 numeric argument, as the doc string describes. Fix an off-by-one
21476 error in looking up overlays, so invocation with point immediately
21477 after a word would check that word. Clarify the doc string as
21478 Suggested by N. Jackson <nljlistbox2@gmail.com>. (Bug#21129)
21480 2015-07-24 Michael Albinus <michael.albinus@gmx.de>
21482 Minor cleanup in tramp-tests.el
21483 * test/automated/tramp-tests.el (tramp-test31-*, tramp-test32-*):
21484 Implement using the documented interface
21485 `tramp-connection-properties', rather than with internal functions.
21487 2015-07-24 Harald Hanche-Olsen <hanche@math.ntnu.no> (tiny change)
21489 Pass lambdas to `skeleton-read'
21490 * lisp/skeleton.el (skeleton-read): Allow PROMPT to be a function.
21491 * lisp/textmodes/sgml-mode.el (sgml-attributes, sgml-value): Pass
21492 lambdas to `skeleton-read' (bug#20386).
21494 2015-07-24 Eli Zaretskii <eliz@gnu.org>
21496 * INSTALL (DETAILED BUILDING AND INSTALLATION):
21497 Mention --without-imagemagick.
21499 Don't require GUI frames and mouse for Flyspell menus
21500 * lisp/textmodes/flyspell.el (flyspell-correct-word-before-point)
21501 (flyspell-emacs-popup): Require neither a GUI frame nor mouse
21502 support, since pop-up menus work with text terminals and can be
21503 controlled via the keyboard.
21505 Improve documentation of Flyspell commands
21506 * doc/emacs/fixit.texi (Spelling): Mention Flyspell commands that
21507 can be invoked via the keyboard. Mention those commands by name
21508 and add them to the fn index. (Bug#21125)
21510 2015-07-23 Michael Albinus <michael.albinus@gmx.de>
21512 Fix some Tramp problems with HP-UX
21513 * lisp/net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
21514 Add "tab0" to stty call.
21515 * test/automated/tramp-tests.el (tramp-persistency-file-name):
21517 (tramp--test-hpux-p): New defun.
21518 (tramp--test-utf8): Use it.
21520 2015-07-22 Glenn Morris <rgm@gnu.org>
21522 * build-aux/update-subdirs: Put "no-update-autoloads: t" in output.
21524 2015-07-22 Eli Zaretskii <eliz@gnu.org>
21526 Fix point positioning in ffap-next-guess
21527 * lisp/ffap.el (ffap-url-at-point): Set ffap-string-at-point-region,
21528 as our callers expect. This was clobbered as part of fixing
21529 bug#5673. (Bug#21107)
21530 (ffap-gopher-at-point): Set ffap-string-at-point-region.
21532 2015-07-22 Martin Rudalics <rudalics@gmx.at>
21534 * lisp/window.el (even-window-sizes): Fix customization type.
21536 Optionally even widths of `display-buffer' windows. (Bug#21100)
21537 * lisp/window.el (quit-restore-window): Restore width if
21539 (display-buffer-record-window): Record width when window is
21540 reused and horizontally combined.
21541 (even-window-sizes): New option to allow evening window widths.
21542 (even-window-heights): Defalias to `even-window-sizes'.
21543 (window--even-window-heights): Rename to
21544 `window--even-window-sizes'. Handle side-by-side windows.
21545 (display-buffer-use-some-window): Call `window--even-window-sizes'
21546 instead of `window--even-window-heights'.
21547 * lisp/help.el (resize-temp-buffer-window): Fix indentation.
21548 * doc/lispref/windows.texi (Choosing Window Options): Describe
21549 `even-window-sizes'.
21550 (Coordinates and Windows): Fix typo.
21552 2015-07-22 Stephen Leake <stephen_leake@stephe-leake.org>
21554 Add file name to autoload error messages
21555 * lisp/emacs-lisp/autoload.el (autoload-save-buffers):
21556 Add condition-case to add file name to error message.
21558 2015-07-22 Michael Albinus <michael.albinus@gmx.de>
21560 * lisp/net/tramp-sh.el (tramp-ssh-controlmaster-options):
21561 Use 0.0.0.1 as test host.
21563 2015-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
21565 (advice--called-interactively-skip): Fix inf-loop (bug#21083)
21566 * lisp/emacs-lisp/nadvice.el (advice--called-interactively-skip):
21567 Fix inf-loop (bug#21083).
21569 2015-07-21 Glenn Morris <rgm@gnu.org>
21571 * test/automated/package-test.el (package-test-signed):
21572 Update for recent changes.
21574 * test/automated/elisp-mode-tests.el
21575 (elisp-xref-finds-both-function-and-variable)
21576 (elisp-xref-finds-only-function-for-minor-mode):
21577 Update for recent xref name changes.
21579 2015-07-21 Dmitry Gutov <dgutov@yandex.ru>
21581 Make eldoc timer non-repeatable
21582 * lisp/emacs-lisp/eldoc.el (eldoc-schedule-timer): Make the timer
21583 non-repeatable. Since it's on post-command hook, that just wasted
21586 2015-07-21 Michael Albinus <michael.albinus@gmx.de>
21588 Mention `tramp-connection-properties' in NEWS
21590 Sync with Tramp repository
21591 * doc/misc/tramp.texi (Configuration): Note, that Tramp must be
21592 required prior changing its configuration.
21593 (Connection caching, Predefined connection information)
21594 (Remote shell setup): Fix typos.
21595 (Predefined connection information): Describe, how to overwrite
21596 parameters of `tramp-methods'.
21597 (Remote programs, Remote processes, Traces and Profiles):
21599 (Remote programs): Remove superfluous comment.
21600 * doc/misc/trampver.texi: Update release number.
21601 * lisp/net/tramp-cache.el (tramp-connection-properties):
21603 * lisp/net/tramp-gvfs.el (tramp-gvfs-do-copy-or-rename-file): New defun.
21604 (tramp-gvfs-handle-copy-file, tramp-gvfs-handle-rename-file): Use it.
21605 (tramp-gvfs-handle-make-directory): Reimplement PARENTS handling,
21606 "gvfs-mkdir -p ..." does not work robust.
21607 (tramp-gvfs-maybe-open-connection):
21608 Adapt `tramp-get-method-parameter' call.
21609 * lisp/net/tramp-sh.el (tramp-methods):
21610 Add `tramp-remote-shell-login' parameter where it fits.
21611 (tramp-get-remote-path): Use it.
21612 (tramp-make-copy-program-file-name): Fix quoting for "psftp" method.
21613 (all): Adapt `tramp-get-method-parameter' calls.
21614 * lisp/net/tramp.el (tramp-methods): Adapt docstring.
21615 (tramp-get-method-parameter): Replace argument METHOD by VEC.
21616 Check also for hits in `tramp-connection-properties'. Adapt docstring.
21617 (tramp-get-remote-tmpdir): Cache only the local name of tmpdir.
21618 (all): Adapt `tramp-get-method-parameter' calls.
21619 * lisp/net/trampver.el: Update release number.
21620 * test/automated/tramp-tests.el (tramp--instrument-test-case):
21621 Add "^make-symbolic-link not supported$" to `debug-ignored-errors'.
21622 (tramp-test13-make-directory, tramp--test-adb-p)
21623 (tramp--test-smb-or-windows-nt-p): Simplify.
21624 (tramp--test-ftp-p, tramp--test-gvfs-p): New defuns.
21625 (tramp--test-special-characters): Fix docstring. Add gvfs and
21627 (tramp--test-utf8): Fix docstring.
21629 2015-07-20 Dmitry Gutov <dgutov@yandex.ru>
21631 Add new xref-query-replace command
21632 * lisp/progmodes/xref.el (xref--match-buffer-bounds):
21633 New function, extracted from xref-pulse-momentarily.
21634 (xref-query-replace): New command.
21635 (xref--query-replace-1): New helper function.
21636 (xref--xref-buffer-mode-map): Add `r' binding.
21638 2015-07-20 Paul Eggert <eggert@cs.ucla.edu>
21640 Simplify icalendar decoding of Z dates
21641 * lisp/calendar/icalendar.el (icalendar--decode-isodatetime):
21642 Simplify calculation of time strings with trailing "Z".
21644 2015-07-19 Dmitry Gutov <dgutov@yandex.ru>
21646 Do not corrupt grep-find-ignored-files
21647 * lisp/progmodes/project.el (project-ignores): Change the order of
21648 the arguments to nconc, in order not to corrupt grep-find-ignored-files.
21650 Add xref-match-item, and use it
21651 * lisp/progmodes/xref.el (xref-match-bounds): New generic function.
21652 (xref-file-location): Add reader for the column slot.
21653 (xref-match-item): New class.
21654 (xref-match-bounds): A method implementation for it.
21655 (xref-make-match): New constructor function.
21656 (xref--current-item): New private variable.
21657 (xref-pulse-momentarily): Use it.
21658 (xref--pop-to-location): Change the first argument to an xref
21659 item, instead of location, bind xref--current-item.
21660 Update all callers.
21661 (xref-next-line, xref-prev-line, xref--next-error-function)
21662 (xref--mouse-2): Look for the property `xref-item',
21663 instead of `xref-location'.
21664 (xref--item-at-point): Likewise. This function replaces
21665 `xref-location-at-point'. Update all callers.
21666 (xref--insert-xrefs): Add the `xref-item' text property, instead
21667 of `xref-location'.
21668 (xref--collect-match): Use xref-make-match.
21670 * lisp/progmodes/xref.el (xref-item): Rename from `xref--xref'.
21671 Update all references.
21673 * lisp/progmodes/xref.el (xref--xref): Rename the `description'
21676 vc-hg: Perform the print-log call asynchronously
21677 * lisp/vc/vc-hg.el (vc-hg-print-log): Perform the call
21678 asynchronously (bug#21067).
21680 Add xref-after-jump-hook and xref-after-return-hook
21681 * lisp/progmodes/xref.el (xref-after-jump-hook)
21682 (xref-after-return-hook): New hooks.
21683 (xref-pulse-on-jump): Remove, in favor of the above.
21684 (xref-pulse-momentarily): Rename from xref--maybe-pulse.
21685 (xref--pop-to-location, xref--display-position)
21686 (xref-pop-marker-stack): Use the new hooks, as requested in
21687 http://lists.gnu.org/archive/html/emacs-devel/2015-07/msg00213.html
21689 2015-07-19 Bozhidar Batsov <bozhidar@batsov.com>
21691 * lisp/progmodes/js.el (js-mode): Correct the lighter.
21693 2015-07-19 Leo Liu <sdl.web@gmail.com>
21695 Fix a bug in cfengine3-mode
21696 * lisp/progmodes/cfengine.el (cfengine3-mode): Handle nil
21697 eldoc-documentation-function.
21699 2015-07-18 Julien Danjou <julien@danjou.info>
21701 sieve-mode: support "body" test command
21702 * lisp/gnus/sieve-mode.el (sieve-font-lock-keywords):
21703 Add missing "body" test command.
21705 2015-07-18 Eli Zaretskii <eliz@gnu.org>
21707 Fix info-apropos when the default encoding is Latin-N
21708 * lisp/info.el (Info-find-node-2): Reset the buffer's encoding to
21709 'undecided', so that it is set to the encoding of the Info file we
21710 are about to insert. Otherwise, 'info-apropos' will fail to find
21711 some index nodes in some UTF-8 encoded files, if the buffer's
21712 previous encoding is Latin-N or some such.
21714 2015-07-18 Ivan Andrus <darthandrus@gmail.com>
21716 * lisp/epg.el (epg--start): Check that gpgconf can be found
21719 Expose more file types to OS X that Emacs understands
21720 * nextstep/Cocoa/Emacs.base/Contents/Info.plist: Add editor role for
21721 sty, dtx, json, and org files. Export UTIs for el, elc, and org files.
21723 2015-07-18 Eli Zaretskii <eliz@gnu.org>
21725 Fix visual-order cursor movement when lines are truncated
21726 * src/xdisp.c (Fmove_point_visually): When lines are truncated,
21727 simulate display in a window of infinite width, to allow move_it_*
21728 functions reach positions outside of normal window dimensions.
21729 Remove code that tried to handle a subset of these situations by
21730 manual iteration of buffer text. (Bug#17777)
21732 Fix following Info cross-references to anchors
21733 * lisp/info.el (Info-read-subfile): Add to the returned value the
21734 length of subfile preamble, after converting it to file's byte
21735 offset, as expected by the caller. Use bufferpos-to-filepos.
21736 (Info-find-node-2): If searching for a node with a
21737 1000-character slop fails, try again with a 10000-character slop,
21738 to account for known bugs in Texinfo 5.0 and 5.1. (Bug#21055)
21739 * lisp/international/mule-util.el (bufferpos-to-filepos): New
21741 * etc/NEWS: Mention bufferpos-to-filepos.
21743 Fix scrolling backwards on TTY frames under scroll-conservatively
21744 * src/xdisp.c (move_it_vertically_backward): Fix off-by-one error
21745 in moving backwards on TTY frames. (Bug#21080)
21747 2015-07-17 Dmitry Gutov <dgutov@yandex.ru>
21749 Consider a jsdoc tag to be a beginning of a paragraph as well
21750 * lisp/progmodes/js.el (js-mode): Change c-paragraph-start to
21751 consider a jsdoc tag to be a beginning of a paragraph as well.
21753 2015-07-17 Artur Malabarba <bruce.connor.am@gmail.com>
21755 * lisp/emacs-lisp/package.el: Fix warnings.
21757 * lisp/emacs-lisp/package.el (package-buffer-info):
21758 Add author and maintainers to `package-buffer-info'.
21760 * lisp/emacs-lisp/package.el: Many small changes.
21761 Replace all instances of 'face with 'font-lock-face.
21762 (describe-package-1): Improve some strings and move the summary
21764 (package-install-file): Update docstring.
21765 (package-menu-hide-package): Bind to `H'.
21767 * lisp/emacs-lisp/package.el (package--with-work-buffer-async):
21768 Fix error handling.
21770 2015-07-17 Paul Eggert <eggert@cs.ucla.edu>
21772 Fix hang with large yanks This should fix the bug fixed by Mike
21774 https://lists.gnu.org/archive/html/emacs-devel/2015-07/msg00106.html
21775 A problem in this area has been reported by several users; see
21776 Bug#16737, Bug#17101, Bug#17026, Bug#17172, Bug#19320, Bug#20283.
21777 This fix differs from Mike Crowe's patch in that it should avoid a
21778 race condition that could lose SIGIO signals. ignore_sigio dates
21779 back to the 1980s when some platforms couldn't block signals, and
21780 could only ignore them, which led to races when signals arrived
21781 while being ignored. We shouldn't have to worry about those old
21783 * src/dispextern.h, src/sysdep.c (ignore_sigio): Remove.
21784 * src/emacs.c (shut_down_emacs):
21785 Don't call ignore_sigio; unrequest_sigio should suffice.
21786 * src/keyboard.c (kbd_buffer_store_buffered_event):
21787 Use unrequest_sigio, not ignore_sigio.
21788 (kbd_buffer_get_event):
21789 Call request_sigio when getting the ball rolling again.
21791 2015-07-17 Artur Malabarba <bruce.connor.am@gmail.com>
21793 * lisp/obsolete/longlines.el (longlines-search-function):
21794 Fallback on `isearch-search-fun-default'.
21796 2015-07-17 Tassilo Horn <tsdh@gnu.org>
21799 * lisp/net/rcirc.el (rcirc-completion-at-point): Support completion
21800 of mentions/messages with @nick instead of just nick.
21802 2015-07-16 Michael Albinus <michael.albinus@gmx.de>
21805 * lisp/autorevert.el (auto-revert-handler): Do not check for
21806 `buffer-modified-p'.
21807 * lisp/files.el (buffer-stale--default-function): Check for
21808 `buffer-modified-p'.
21809 * test/automated/auto-revert-tests.el
21810 (auto-revert-test02-auto-revert-mode-dired): Adapt test.
21812 2015-07-16 Ari Roponen <ari.roponen@gmail.com>
21814 Fix delete-dups bug on long lists
21815 * lisp/subr.el (delete-dups):
21816 Don't mistakenly keep some dups when applied to long lists.
21818 2015-07-16 Paul Eggert <eggert@cs.ucla.edu>
21820 Better heuristic for C stack overflow
21821 Improve the heuristic for distinguishing stack overflows from
21822 other SIGSEGV causes (Bug#21004). Corinna Vinschen explained that
21823 the getrlimit method wasn't portable to Cygwin; see:
21824 https://www.cygwin.com/ml/cygwin/2015-07/msg00092.html
21825 Corinna suggested pthread_getattr_np but this also has problems.
21826 Instead, replace the low-level system stuff with a simple
21827 heuristic based on known good stack addresses.
21828 * src/eval.c, src/lisp.h (near_C_stack_top): New function.
21829 * src/sysdep.c: Don't include <sys/resource.h>.
21830 (stack_direction): Remove. All uses removed.
21831 (stack_overflow): New function.
21832 (handle_sigsegv): Use it instead of incorrect getrlimit heuristic.
21833 Make SEGV fatal in non-main threads.
21835 2015-07-16 Daiki Ueno <ueno@gnu.org>
21837 epg: Automatically start pinentry server
21838 * lisp/epg-config.el (epg-gpgconf-program): New variable.
21839 * lisp/epg.el (epg--start): Call `pinentry-start' if
21840 allow-emacs-pinentry is set in ~/.gnupg/gpg-agent.conf.
21842 2015-07-15 Katsumi Yamaoka <yamaoka@jpl.org>
21844 * lisp/gnus/nnimap.el: Fix my last bogus change.
21845 Reinstall Stefan Monnier's change that was made in
21846 <83d824bc4041332f338ad7e5e830f443535aa300>.
21848 2015-07-15 Paul Eggert <eggert@cs.ucla.edu>
21852 2015-07-05 acl-permissions: Document FreeBSD ACL_TYPE_NFS4 acls
21853 2015-07-05 acl-permissions: Fix on FreeBSD
21854 2015-07-05 file-has-acl, acl-permissions: fix some more HP-UX typos
21855 * lib/acl-internal.c, lib/acl-internal.h, lib/get-permissions.c:
21856 * lib/set-permissions.c: Copy from gnulib.
21858 Port to stricter C99
21859 * src/keyboard.h (kbd_buffer_store_event_hold):
21860 Don't return a void expression.
21862 2015-07-15 Xue Fuqiao <xfq.free@gmail.com>
21864 * doc/emacs/frames.texi (Creating Frames):
21865 Fix the command `C-x 5 m' runs.
21867 2015-07-14 Michael Albinus <michael.albinus@gmx.de>
21869 New autorevert tests
21870 * test/automated/auto-revert-tests.el: New file.
21872 2015-07-14 Paul Eggert <eggert@cs.ucla.edu>
21874 Clear gcprolist etc. after stack overflow
21875 After stack overflow, command_loop calls init_eval, and this needs to
21876 clear gcprolist and byte_stack_list (Bug#20996).
21877 * src/alloc.c (init_alloc):
21878 Move gcprolist and byte_stack_list initialization from here ...
21879 * src/eval.c (init_eval): ... to here.
21881 2015-07-13 Xue Fuqiao <xfq.free@gmail.com>
21883 * doc/emacs/windows.texi (Pop Up Window): Fix the description
21886 2015-07-13 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
21888 Avoid deprecated enums in mac-ct font backend driver
21889 * src/macfont.m (mac_font_copy_default_descriptors_for_language)
21890 (mac_ctfont_get_advance_width_for_glyph)
21891 (mac_ctfont_get_bounding_rect_for_glyph): Avoid deprecated enums.
21893 Cache font family in mac-ct font backend driver
21894 * src/macfont.m (macfont_family_cache): New variable.
21895 (syms_of_macfont): Initialize it.
21896 (macfont_available_families_cache): New variable.
21897 (macfont_invalidate_family_cache, macfont_get_family_cache_if_present)
21898 (macfont_set_family_cache, macfont_invalidate_available_families_cache)
21899 (macfont_handle_font_change_notification)
21900 (macfont_init_font_change_handler)
21901 (macfont_copy_available_families_cache): New functions.
21902 (macfont_create_family_with_symbol): Use font family caches.
21903 (macfont_list, macfont_list_family):
21904 Use macfont_copy_available_families_cache instead of
21905 mac_font_create_available_families.
21907 2015-07-12 Dmitry Gutov <dgutov@yandex.ru>
21909 Show the default value in the prompt
21910 * lisp/progmodes/xref.el: Add `M-?' binding for
21911 xref-find-references. Declare functions `grep-read-files' and
21912 `grep-expand-template'.
21913 (xref--read-identifier): Show the default value in the prompt.
21915 * lisp/progmodes/xref.el (xref-find-regexp): When called with
21916 prefix argument, ask for file patterns to search as well. When
21917 prompting for the directory, require an existing one.
21918 (xref-collect-matches): Add a new argument, FILES. Use it in the
21921 Add `project-ignores'
21922 * lisp/progmodes/project.el (project-ignores): New generic
21923 function, and an implementation for the VC project type.
21924 * lisp/progmodes/xref.el (xref--rgrep-command): Split, as a
21925 variant of rgrep-default-command that handles a generic list of
21927 (xref-collect-matches): Use it, and pass through to it the value
21928 of the newly added argument.
21929 (xref-find-regexp): Handle ignored paths within the project.
21930 Remove outdated comment.
21931 * lisp/vc/vc.el (vc-default-ignore-completion-table):
21932 Skip the comments and the empty lines.
21934 2015-07-12 Xue Fuqiao <xfq.free@gmail.com>
21936 * doc/emacs/buffers.texi (Misc Buffer): Add a cross reference.
21938 2015-07-11 Eric Abrahamsen <eric@ericabrahamsen.net>
21940 gnus-registry.el: Correct function argument order
21941 * lisp/gnus/gnus-registry.el (gnus-registry--set/remove-mark):
21942 Reverse the order of function arguments.
21944 2015-07-11 Dmitry Gutov <dgutov@yandex.ru>
21946 Bind grep-highlight-matches to nil
21947 * lisp/progmodes/xref.el (xref-collect-matches):
21948 Bind grep-highlight-matches to nil (bug#20728).
21950 2015-07-11 Nikolaus Rath <Nikolaus@rath.org>
21952 nnimap.el: Fix IMAP message size parsing
21953 * lisp/gnus/nnimap.el (nnimap-transform-headers):
21954 Don't assume that UID comes before RFC822.SIZE.
21956 2015-07-10 Stefan Monnier <monnier@iro.umontreal.ca>
21958 * lisp/gnus/nnimap.el: Clean up "unused var" warnings
21959 (auth-source-creation-prompts): Declare.
21960 (nnimap-retrieve-headers, nnimap-status-message)
21961 (nnimap-request-create-group, nnimap-request-delete-group)
21962 (nnimap-close-group, nnimap-request-move-article)
21963 (nnimap-request-accept-article, nnimap-request-newgroups)
21964 (nnimap-request-post, nnimap-dummy-active-number)
21965 (nnimap-save-mail-spec, nnimap-get-groups): Add _ to unused vars.
21966 (nnimap-parse-flags): Remove unused var `p'.
21967 (nnimap-retrieve-group-data-early): Remove unused var `groups'.
21968 (nnimap-flags-to-marks): Remove unused var `totalp'.
21970 2015-07-10 Andy Moreton <andrewjmoreton@gmail.com> (tiny change)
21972 * src/w32heap.c (DUMPED_HEAP_SIZE): Bump to 20MB.
21974 2015-07-10 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
21976 * src/macfont.m (macfont_list): Ignore font families lacking
21979 2015-07-09 Dmitry Gutov <dgutov@yandex.ru>
21981 Don't check the exit status, it can be misleading
21982 * lisp/progmodes/xref.el (xref-collect-matches): Don't check the
21983 exit status, it can be misleading.
21985 Introduce a Project API
21986 * lisp/progmodes/project.el: New file.
21987 * lisp/cedet/ede.el (project-try-ede): New function.
21988 (project-root): New implementation.
21989 * lisp/progmodes/elisp-mode.el (emacs-lisp-mode):
21990 Set project-search-path-function.
21991 (elisp--xref-find-references): Delegate some logic to
21992 project-search-path.
21993 (elisp-search-path): New function.
21994 (elisp-xref-find): Don't implement `matches' anymore.
21995 * lisp/progmodes/etags.el: Don't implement `matches'.
21996 Delegate some logic to project-search-path.
21997 (etags-search-path): New function.
21998 * lisp/progmodes/xref.el (xref-find-function):
21999 Remove `matches' from the API.
22000 (xref-find-regexp): Move whatever common logic was in elisp and
22001 etags implementations, and search the directories returned by
22002 project-directories and project-search-path.
22004 2015-07-09 Nicolas Petton <nicolas@petton.fr>
22006 * test/automated/map-tests.el (test-map-delete-return-value):
22009 Add support for gv.el in map.el
22010 * lisp/emacs-lisp/map.el (map-elt, map-delete): Declare a gv-expander.
22011 * lisp/emacs-lisp/map.el (map-put): Refactor using `setf' and `map-elt'.
22012 * test/automated/map-tests.el: Update tests to work with the new
22013 implementations of map-elt and map-put.
22015 2015-07-09 Glenn Morris <rgm@gnu.org>
22017 * lisp/emacs-lisp/debug.el (debug-help-follow): Use describe-symbol.
22019 2015-07-09 Dmitry Gutov <dgutov@yandex.ru>
22021 Syntax-propertize until the end of the line first
22022 * lisp/progmodes/xref.el (xref--collect-match): Syntax-propertize
22023 until the end of the line first.
22025 2015-07-09 Xue Fuqiao <xfq.free@gmail.com>
22027 * doc/emacs/files.texi (File Archives): Add a cross reference.
22029 2015-07-08 Nikolaus Rath <Nikolaus@rath.org>
22031 nnimap.el: Handle plain value for nnimap-stream
22032 * lisp/gnus/nnimap.el (nnimap-open-connection-1): Always query
22033 capabilities, so that a 'plain value for the `nnimap-stream' server
22034 variable is handled correctly.
22035 * doc/misc/gnus.texi (Customizing the IMAP Connection):
22036 Document the 'plain option.
22038 2015-07-08 Leo Liu <sdl.web@gmail.com>
22040 Fix bug in thing-at-point--bounds-of-well-formed-url
22041 * lisp/thingatpt.el (thing-at-point--bounds-of-well-formed-url): Make
22042 sure boundary contains current point.
22044 2015-07-08 Dmitry Gutov <dgutov@yandex.ru>
22046 * lisp/progmodes/xref.el (xref-collect-matches): Use `nreverse'
22049 Declare whitespace-line-column a safe file-local
22050 * lisp/whitespace.el (whitespace-line-column): Declare to be a
22051 safe file-local when the value is an integer.
22053 2015-07-08 Eric Abrahamsen <eric@ericabrahamsen.net>
22055 gnus-group.el: Check if group names are already strings
22056 * lisp/gnus/gnus-group.el (gnus-group-group-name):
22057 The group name may already be a string.
22058 Specifically, in the group list reached from the *Server* buffer,
22059 the 'gnus-group text property returns a string. Everywhere else
22060 it returns a symbol.
22062 nnimap.el: Remove unused let variables
22063 * lisp/gnus/nnimap.el (nnimap-request-group): Variables are not used.
22065 2015-07-08 Eli Zaretskii <eliz@gnu.org>
22067 Support "maximized" property of runemacs's shortcut
22068 * nt/runemacs.c (WinMain): If runemacs is invoked "maximized", pass
22069 the '--maximized' switch to Emacs.
22071 Support "minimized" property of runemacs's shortcut
22072 * nt/runemacs.c (WinMain): If runemacs is invoked "minimized",
22073 pass the '--iconic' switch to Emacs. (Bug#20991)
22075 2015-07-08 Xue Fuqiao <xfq.free@gmail.com>
22078 * doc/emacs/files.texi (Diff Mode): Fix the description of `C-c
22080 * doc/emacs/arevert-xtra.texi (Auto Reverting the Buffer Menu):
22081 Add a cross reference.
22083 2015-07-08 Nicolas Richard <youngfrog@members.fsf.org>
22085 * lisp/obsolete/landmark.el: Add Obsolete-since header.
22087 2015-07-07 Glenn Morris <rgm@gnu.org>
22089 * test/automated/ert-tests.el (ert-test-deftest):
22090 Update for recent changes.
22092 2015-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
22094 (gv-setter, gv-synthetic-place, gv-delay-error): New funs/macros
22095 * lisp/emacs-lisp/gv.el (gv-setter): New function.
22096 (gv-invalid-place): New error.
22097 (gv-get): Use them.
22098 (gv-synthetic-place, gv-delay-error): New places.
22099 * lisp/emacs-lisp/cl-generic.el (cl--generic-setf-rewrite): Remove.
22100 (cl-defgeneric, cl-defmethod): Use gv-setter.
22102 2015-07-07 Fabrice Popineau <fabrice.popineau@gmail.com>
22104 Make vc-tests work with MSYS svn program
22105 * lisp/vc/vc-svn.el (vc-svn-create-repo): Fix the file:// URL when
22106 svn is an MSYS program.
22108 2015-07-07 Ken Brown <kbrown@cornell.edu>
22110 Improve recent change to emacsclient on Cygwin
22111 * lisp/server.el (server-process-filter): Remove redundant check
22112 that 'cygwin-convert-file-name-from-windows' is defined as a
22113 function on Cygwin. Don't call that function unless its argument
22114 starts with a drive letter.
22116 2015-07-07 Artur Malabarba <bruce.connor.am@gmail.com>
22118 * lisp/emacs-lisp/package.el (package-compute-transaction):
22119 Fix void variable due to `found-something' being in the wrong `let'.
22121 2015-07-07 Nicolas Richard <theonewiththeevillook@yahoo.fr>
22123 * lisp/play/landmark.el: Move to lisp/obsolete/.
22125 2015-07-07 Martin Rudalics <rudalics@gmx.at>
22127 Have `x-show-tip' handle `right' and `bottom' frame parameters
22128 * src/nsfns.m (compute_tip_xy, Fx_show_tip)
22129 * src/w32fns.c (compute_tip_xy, Fx_show_tip)
22130 * src/xfns.c (compute_tip_xy, Fx_show_tip): Allow aligning
22131 tooltips also via `right' and `bottom' frame parameters.
22133 2015-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
22135 Add online-help support to describe types
22136 * lisp/help-fns.el (describe-symbol-backends): Move to help-mode.el.
22137 (describe-symbol): Improve the selection of default.
22138 * lisp/help-mode.el: Require cl-lib.
22139 (describe-symbol-backends): Move from help-fns.el.
22140 (help-make-xrefs): Use it.
22141 * lisp/emacs-lisp/cl-extra.el (describe-symbol-backends): Add entry
22143 (cl--typedef-regexp): New const.
22144 (find-function-regexp-alist): Add entry for types.
22145 (cl-help-type, cl-type-definition): New buttons.
22146 (cl-find-class): New function.
22147 (cl-describe-type): New command.
22148 (cl--describe-class, cl--describe-class-slot)
22149 (cl--describe-class-slots): New functions, moved from eieio-opt.el.
22150 * lisp/emacs-lisp/cl-generic.el (cl--generic-method-documentation)
22151 (cl--generic-all-functions, cl--generic-specializers-apply-to-type-p):
22152 New functions. Moved from eieio-opt.el.
22153 (cl--generic-class-parents): New function, extracted from
22154 cl--generic-struct-specializers.
22155 (cl--generic-struct-specializers): Use it.
22156 * lisp/emacs-lisp/cl-macs.el (cl-defstruct): Use pcase-dolist.
22157 Improve constructor's docstrings.
22158 (cl-struct-unknown-slot): New error.
22159 (cl-struct-slot-offset): Use it.
22160 * lisp/emacs-lisp/cl-preloaded.el (cl-struct-define): Record the type
22161 definition in current-load-list.
22162 * lisp/emacs-lisp/eieio-core.el (eieio--known-slot-names): New var.
22163 (eieio--add-new-slot): Set it.
22164 (eieio-defclass-internal): Use new name for current-load-list.
22165 (eieio-oref): Add compiler-macro to warn about unknown slots.
22166 * lisp/emacs-lisp/eieio.el (defclass): Update eieio--known-slot-names
22167 as compile-time as well. Improve constructor docstrings.
22168 * lisp/emacs-lisp/eieio-opt.el (eieio-help-class)
22169 (eieio--help-print-slot, eieio-help-class-slots): Move to cl-extra.el.
22170 (eieio-class-def): Remove button.
22171 (eieio-help-constructor): Use new name for load-history element.
22172 (eieio--specializers-apply-to-class-p, eieio-all-generic-functions)
22173 (eieio-method-documentation): Move to cl-generic.el.
22174 (eieio-display-method-list): Use new names.
22175 * lisp/emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
22176 Add "define-linline".
22177 (lisp-fdefs): Remove "defsubst".
22178 (el-fdefs): Add "defsubst", "cl-defsubst", and "define-linline".
22179 * lisp/emacs-lisp/macroexp.el (macroexp--warned): New var.
22180 (macroexp--warn-and-return): Use it to avoid inf-loops.
22181 Add `compile-only' argument.
22183 2015-07-06 Fabián Ezequiel Gallina <fgallina@gnu.org>
22185 python.el: Fix local/remote shell environment setup
22186 * lisp/progmodes/python.el (python-shell-with-environment):
22187 Fix remote/local environment setup.
22188 * test/automated/python-tests.el (python-shell-with-environment-1)
22189 (python-shell-with-environment-2): New tests.
22191 2015-07-06 Glenn Morris <rgm@gnu.org>
22193 * lisp/simple.el (set-variable): Tweak recent doc fix.
22195 2015-07-06 Ken Brown <kbrown@cornell.edu>
22197 * src/sysdep.c (handle_sigsegv) [CYGWIN]: Increase STACK_DANGER_ZONE.
22199 2015-07-06 Glenn Morris <rgm@gnu.org>
22201 * lisp/simple.el (set-variable): Use user-error for type mismatch.
22203 2015-07-06 Ken Brown <kbrown@cornell.edu>
22205 * src/emacs.c (main): Don't increase the stack size on Cygwin.
22207 2015-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
22209 (describe-symbol): Rewrite describe-function-or-variable
22210 * lisp/help-fns.el (describe-symbol-backends): New var.
22211 (help-xref-stack-item): Declare.
22212 (describe-symbol): Rename from describe-function-or-variable.
22213 Rewrite using describe-symbol-backends instead of help-xref-interned.
22214 * lisp/help.el (help-map): Use it.
22215 * lisp/help-mode.el (help-symbol, help-follow-symbol): Use it.
22216 (help-xref-interned): Make it into an obsolete alias.
22218 * lisp/emacs-lisp/eieio-base.el (eieio-persistent-save): Don't ignore
22219 `file' arg (bug#20972). Always use utf-8-emacs. Use with-temp-buffer
22222 2015-07-06 Wolfgang Jenkner <wjenkner@inode.at>
22224 Fix parsing glitches in dired-mark-sexp (bug#13575)
22225 * lisp/dired-x.el (dired-x--string-to-number): New function.
22226 (dired-mark-sexp): Use it. Tweak dired-re-inode-size. Fix usage
22227 of directory-listing-before-filename-regexp. Consider
22228 forward-word harmful and replace it. Add more verbiage in
22229 comments and doc string.
22231 2015-07-06 Fabián Ezequiel Gallina <fgallina@gnu.org>
22233 python.el: Respect process environment for remote shells
22234 * lisp/progmodes/python.el
22235 (python-shell-calculate-process-environment): Calculate
22236 process-environment or tramp-remote-process-environment depending
22237 whether current file is remote.
22238 (python-shell-calculate-exec-path): Calculate exec-path or
22239 tramp-remote-path depending whether current file is remote.
22240 (python-shell-with-environment): New macro.
22241 (python-shell-prompt-detect, python-shell-calculate-command)
22242 (python-shell-make-comint, python-check): Use it.
22244 python.el: Avoid making let-bound defvars buffer local (Bug#18244)
22245 * lisp/progmodes/python.el (python-shell--interpreter)
22246 (python-shell--interpreter-args): New vars.
22247 (inferior-python-mode, python-shell-make-comint): Use them.
22249 python.el: Fixes for IPython 3.x (Bug#20580)
22250 * lisp/progmodes/python.el:
22251 (python-shell-completion-native-setup): Fix IPython 3.x setup.
22252 (python-shell-completion-native-get-completions): Fix timeout
22255 python.el: Fix mark-defun behavior (Bug#19665)
22256 * lisp/progmodes/python.el (python-mark-defun): New function.
22257 * test/automated/python-tests.el (python-mark-defun-1)
22258 (python-mark-defun-2, python-mark-defun-3): New tests.
22260 2015-07-05 Glenn Morris <rgm@gnu.org>
22262 * lisp/progmodes/f90.el (f90-type-def-re): Handle attribute lists
22263 such as "extends(parent), private". (Bug#20969)
22264 * test/automated/f90.el (f90-test-bug20969, f90-test-bug20969b):
22267 2015-07-05 Paul Eggert <eggert@cs.ucla.edu>
22269 Avoid duplicate calls to current_timespec
22270 * src/process.c (wait_reading_process_output):
22271 Cache current_timespec results as long as we're not waiting.
22273 2015-07-05 Ian Kelling <ian@iankelling.org>
22275 Avoid returning early reading process output due to SIGIO
22276 * src/process.c (wait_reading_process_output): Extend the behavior of
22277 not breaking due to not finding output when a timer has lowered the
22278 timeout to include when SIGIO lowers the timeout.
22280 Don't return as fast reading any process output
22281 * src/process.c (wait_reading_process_output):
22282 The patch for Bug#17647 returns too fast sometimes when reading
22283 from any processes. Revert part of it, and limit the timeout more
22284 sensibly (Bug#20978).
22286 Refactor timeouts in wait_reading_process_output
22287 * src/process.c (wait_reading_process_output):
22288 Simplify timeouts with an enum. Remove a redundant condition.
22291 Remove ADAPTIVE_READ_BUFFERING ifdef
22292 * src/process.c (make-process, make-pipe-process, deactivate_process)
22293 (wait_reading_process_output, read_process_output, send_process)
22294 (init_process_emacs): ifdef ADAPTIVE_READ_BUFFERING was originally
22295 added in case there was an operating system in which it was not
22296 useful. That was 11 years ago and it hasn't happened. Make
22297 development easier by not considering the effect of changes on a
22298 theoretical OS where this is disabled (Bug#20978).
22300 2015-07-05 Glenn Morris <rgm@gnu.org>
22302 * lisp/simple.el (set-variable): Doc fix.
22304 * lisp/progmodes/fortran.el (fortran-line-length): Doc fix.
22306 2015-07-05 Ian Kelling <ian@iankelling.org>
22308 accept-process-output fix
22309 This is a followon to the fix for bug#17647 (Bug#20976).
22310 * src/process.c (status_notify): Fix too high return in some cases.
22312 2015-07-05 Artur Malabarba <bruce.connor.am@gmail.com>
22314 * lisp/character-fold.el (character-fold-table):
22315 Only fold decompositions if at least one character is non-spacing.
22318 2015-07-05 Paul Eggert <eggert@cs.ucla.edu>
22322 2015-07-04 file-has-acl, acl-permissions: fix HP-UX typos
22323 2015-07-03 set-permissions.c: adjust acl_from_mode's cpp guard
22324 2015-07-02 update-copyright: fix test failure with perl >= 5.22
22325 2015-07-01 gnulib-common.m4: change the ARFLAGS default to 'cr'
22326 2015-07-01 acl: fix definition of acl_from_mode on FreeBSD
22327 * build-aux/update-copyright, doc/misc/texinfo.tex, lib/acl-internal.h:
22328 * lib/set-permissions.c, m4/gnulib-common.m4: Copy from gnulib.
22330 2015-07-05 Christoph Wedler <christoph.wedler@sap.com>
22332 Respect `prog-indentation-context' in python.el
22333 * lisp/progmodes/python.el (python-indent-guess-indent-offset)
22334 (python-indent-context, python-indent--calculate-indentation)
22335 (python-info-current-defun)
22336 (python-info-dedenter-opening-block-message)
22337 (python-info-line-ends-backslash-p)
22338 (python-info-beginning-of-backslash)
22339 (python-info-continuation-line-p): Use `prog-widen'.
22340 (python-indent--calculate-indentation)
22341 (python-indent--calculate-levels)
22342 (python-indent-calculate-indentation): Use `prog-first-column'.
22343 (python-indent--calculate-levels): Simplify.
22344 Ignore also initial empty lines for syntax calculation.
22345 * lisp/progmodes/python.el (python-indent-context): Return
22346 :no-indent for first non-empty line, not just in line 1.
22347 * test/automated/python-tests.el (python-indent-base-case)
22348 (python-indent-inside-paren-1, python-indent-inside-paren-2)
22349 (python-indent-inside-paren-3, python-indent-inside-paren-4)
22350 (python-indent-inside-paren-5, python-indent-inside-paren-6)
22351 (python-indent-after-backslash-1)
22352 (python-indent-after-backslash-2)
22353 (python-indent-after-backslash-3)
22354 (python-indent-after-backslash-4, python-indent-inside-string-1):
22355 Expect :no-indent for first non-empty line.
22357 2015-07-04 Daniel Colascione <dancol@dancol.org>
22359 Factor isearch word description into new function
22360 * lisp/isearch.el (isearch--describe-word-mode): New function.
22361 (isearch-message-prefix, isearch-query-replace): Use it.
22363 2015-07-04 Eli Zaretskii <eliz@gnu.org>
22365 Fix mouse pointer on w32 when a menu is active
22366 * src/w32fns.c (w32_wnd_proc): Don't change the mouse pointer
22367 shape while a menu is in use. This started happening since we now
22368 send WM_EMACS_SHOWCURSOR messages when the mouse moves.
22370 2015-07-04 Martin Rudalics <rudalics@gmx.at>
22372 Fix processing of alpha parameter for Windows tip frames (Bug#17344)
22373 * src/w32fns.c (x_create_tip_frame): Fix processing alpha
22374 parameter. (Bug#17344)
22376 Have `compilation-set-window' use right window for getting fringes
22378 * lisp/progmodes/compile.el (compilation-set-window):
22379 Take `window-fringes' from argument window.
22381 2015-07-03 Glenn Morris <rgm@gnu.org>
22383 Update eieio tests for recent eieio-core change.
22384 * test/automated/eieio-test-persist.el (persist-test-save-and-compare):
22385 * test/automated/eieio-tests.el
22386 (eieio-test-32-slot-attribute-override-2):
22387 Replace the deleted eieio--class-v with cl--find-class.
22389 2015-07-03 Martin Rudalics <rudalics@gmx.at>
22391 Fix some issues with `window-divider-mode'
22392 * lisp/frame.el (window-divider-default-places): New option.
22393 (window-divider-mode): Remove option.
22394 (window-divider-mode): Make it a "regular" minor mode.
22395 (window-divider-width-valid-p): Drop frame- prefix.
22396 (window-divider-mode-apply): New argument ENABLE. Drop frame-
22397 prefix. Handle `window-divider-default-places'.
22398 (frame--window-divider-mode-set-and-apply): Remove.
22399 (window-divider-default-bottom-width)
22400 (window-divider-default-right-width): Drop :group entries.
22401 * lisp/menu-bar.el (menu-bar-bottom-and-right-window-divider)
22402 (menu-bar-right-window-divider, menu-bar-bottom-window-divider)
22403 (menu-bar-no-window-divider): Set `window-divider-default-places'
22404 and call `window-divider-mode'.
22405 * doc/emacs/frames.texi (Window Dividers): Document
22406 `window-divider-default-places'.
22408 2015-07-02 Xue Fuqiao <xfq.free@gmail.com>
22410 * doc/emacs/display.texi (Displaying Boundaries):
22411 * doc/emacs/search.texi (Word Search): Add cross references.
22413 2015-07-02 Paul Eggert <eggert@cs.ucla.edu>
22415 -batch should not affect ‘’ -> `' display
22416 * lisp/startup.el (command-line): Do the ‘’ -> `' check even if
22417 -batch (Bug#20926).
22419 2015-07-02 Stefan Monnier <monnier@iro.umontreal.ca>
22421 * lisp/emacs-lisp/eieio-core.el (eieio--class-v): Remove
22422 * lisp/emacs-lisp/eieio-core.el, lisp/emacs-lisp/eieio.el:
22423 * lisp/emacs-lisp/eieio-opt.el, lisp/emacs-lisp/eieio-compat.el:
22424 Use cl--find-class instead.
22426 * lisp/term/xterm.el (xterm--query): Fix paren typo (bug#20951).
22428 2015-07-02 Martin Rudalics <rudalics@gmx.at>
22430 Some further fixes in Change Window node (Bug#20183)
22431 * doc/emacs/windows.texi (Change Window): Replace "rearranging"
22432 by "resizing" in section title. Add some concept indices.
22433 Suggested by N. Jackson (Bug#20183).
22435 * doc/emacs/windows.texi (Change Window): Reference window
22438 Document new `window-divider-mode'.
22439 * lisp/frame.el (window-divider-mode): Fix doc-string.
22440 * doc/emacs/frames.texi (Window Dividers): New section.
22442 Improve accessibility of window dividers (Bug#20183)
22443 * lisp/faces.el (window-divider)
22444 (window-divider-first-pixel, window-divider-last-pixel): Change
22445 membership from `frames' to `window-divider' customization group.
22446 * lisp/frame.el (window-divider): New customization group.
22447 (window-divider-mode): New minor mode.
22448 (window-divider-default-bottom-width)
22449 (window-divider-default-right-width): New options.
22450 (frame--window-divider-previous-mode): New variable.
22451 (frame-window-divider-width-valid-p)
22452 (frame--window-divider-mode-apply)
22453 (frame--window-divider-mode-set-and-apply): New functions.
22454 * lisp/menu-bar.el (menu-bar-options-save): Save
22455 window-divider-mode settings.
22456 (menu-bar-window-divider-customize)
22457 (menu-bar-bottom-and-right-window-divider)
22458 (menu-bar-right-window-divider, menu-bar-bottom-window-divider)
22459 (menu-bar-no-window-divider): New functions.
22460 (menu-bar-showhide-window-divider-menu): New variable.
22461 (menu-bar-showhide-menu): Show/hide window divider menu.
22462 * lisp/mouse.el (mouse-split-window-vertically)
22463 (mouse-split-window-horizontally): Replace `error' by
22464 `user-error'. Bind `window-combination-resize' to nil.
22465 (top-level): Add/reorder mouse key bindings on mode- and
22468 2015-07-02 Paul Eggert <eggert@cs.ucla.edu>
22470 Don't display ‘’ as `' under X in en_GB
22471 The curved quote setup code invokes (char-displayable-p ?‘),
22472 but this isn’t reliable until after the X frame replaces the
22473 terminal frame (Bug#20926).
22474 * lisp/international/mule-cmds.el (set-locale-environment):
22475 Move curved quote setup code from here ...
22476 * lisp/startup.el (command-line): ... to here, after creating
22479 2015-07-01 Nicolas Richard <youngfrog@members.fsf.org>
22481 * lisp/emacs-lisp/seq.el (seq-difference): Fix typo in docstring.
22483 * lisp/ido.el (ido-restrict-to-matches): Add an optional argument
22484 to reverse the meaning (Bug#15631).
22486 2015-07-01 Eli Zaretskii <eliz@gnu.org>
22488 Be more tolerant to fonts named "Foobar-12"
22489 * src/frame.c (x_set_font): If font_spec_from_name returns nil,
22490 don't barf; instead, request a new fontset to be generated. This
22491 avoids unnecessarily rejecting fonts named against XLFD rules. See
22492 http://lists.gnu.org/archive/html/help-emacs-windows/2015-06/msg00001.html,
22493 for the description of the original problem.
22494 * lisp/faces.el (set-face-attribute): Don't be fooled too easily
22495 by a hyphen in a font's name.
22497 Fix value of posn-at-pont in R2L lines
22498 * src/keyboard.c (Fposn_at_x_y, Fposn_at_point): Allow X pixel
22499 coordinate of -1, for a newline in a right-to-left line that
22500 overflowed into the left fringe.
22502 2015-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
22504 (cl--copy-slot-descriptor): Copy the `props' alist as well
22505 * lisp/emacs-lisp/cl-preloaded.el (cl--copy-slot-descriptor-1):
22506 Rename from cl--copy-slot-descriptor.
22507 (cl--copy-slot-descriptor): New function. Copy the alist (bug#20914).
22509 2015-06-30 Stefan Monnier <monnier@iro.umontreal.ca>
22511 * lisp/term/xterm.el (xterm--query): Avoid generating garbage
22512 (xterm-query-timeout): New var.
22513 (xterm--query): Use it. Fallback on async method if we timeout before
22514 getting the first byte of the reply (bug#12354).
22516 2015-06-30 Paul Eggert <eggert@cs.ucla.edu>
22519 * lisp/character-fold.el (character-fold-search):
22520 * lisp/emacs-lisp/package.el (package-hidden-regexps):
22523 2015-06-30 Xue Fuqiao <xfq.free@gmail.com>
22525 * doc/emacs/frames.texi (Frame Commands): Typo fix. (Bug#20946)
22527 2015-06-30 Paul Eggert <eggert@cs.ucla.edu>
22529 In strings, prefer plain ` and ' to \` and \'
22530 * lisp/allout.el (allout-insert-listified):
22531 * lisp/emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
22532 * lisp/ls-lisp.el (ls-lisp-UCA-like-collation)
22533 (ls-lisp-string-lessp):
22534 * lisp/menu-bar.el (menu-bar-open):
22535 * lisp/obsolete/otodo-mode.el (todo-top-priorities):
22536 * lisp/progmodes/compile.el (compile):
22537 * lisp/progmodes/etags.el (tags-loop-scan):
22538 * lisp/progmodes/make-mode.el (makefile-browser-insert-continuation):
22539 * lisp/subr.el (posn-actual-col-row):
22540 * lisp/term/pc-win.el (x-list-fonts):
22541 * lisp/textmodes/texinfmt.el (texinfmt-version):
22542 * lisp/textmodes/texnfo-upd.el (texinfo-master-menu):
22543 * lisp/time.el (display-time-world-list):
22544 * lisp/tmm.el (tmm-menubar):
22545 * src/buffer.c (syms_of_buffer):
22546 * src/fileio.c (syms_of_fileio):
22547 Omit unnecessary and confusing backslash before quote.
22548 * lisp/erc/erc.el (erc-cmd-LASTLOG):
22549 * lisp/progmodes/flymake.el (flymake-fix-file-name):
22550 * lisp/progmodes/vhdl-mode.el (vhdl-in-extended-identifier-p):
22551 Fix string that was intended to escape a backslash and not a quote.
22553 2015-06-30 Glenn Morris <rgm@gnu.org>
22555 * leim/Makefile.in, lisp/Makefile.in: Add missing EXEEXT definition.
22557 * lisp/Makefile.in (MH_E_SRC, TRAMP_SRC, CAL_SRC):
22558 Replace hard-coded lists with wildcard + filter-out.
22560 * configure.ac (system-configuration-features): Add X11, NS.
22562 Improve reproducibility of generated loaddefs file
22563 * lisp/emacs-lisp/autoload.el (autoload-generate-file-autoloads):
22564 Make the return value the modtime of the input file (if no autoloads).
22565 (update-directory-autoloads): In the "no autoloads" section,
22566 use "most recent modtime" rather than "current time".
22568 2015-06-30 Artur Malabarba <bruce.connor.am@gmail.com>
22570 * lisp/emacs-lisp/package.el (package--remove-hidden): Fix logic.
22573 2015-06-30 Nicolas Petton <nicolas@petton.fr>
22575 * doc/lispref/sequences.texi: Add documentation for seq-min and seq-max.
22577 Add seq-min and seq-max
22578 Bump version number.
22579 * lisp/emacs-lisp/seq.el (seq-min, seq-max): New functions.
22580 * test/automated/seq-tests.el: Add tests for seq-min and seq-max.
22582 2015-06-30 Eli Zaretskii <eliz@gnu.org>
22584 Make sure sleep-for always delays for as long as it's told
22585 * src/dispnew.c (Fsleep_for): Call wait_reading_process_output in
22586 a loop, to ensure we always wait exactly the required amount of
22589 2015-06-30 Paul Eggert <eggert@cs.ucla.edu>
22591 Fix pointer signedness glitch
22592 * src/font.c (font_load_for_lface): Use SSDATA, not SDATA.
22594 2015-06-30 Eli Zaretskii <eliz@gnu.org>
22596 Don't block changes in mouse pointer inside 'track-mouse'
22598 * doc/lispref/frames.texi (Mouse Tracking): Document the special
22599 effect of setting 'track-mouse' to 'dragging'.
22600 * lisp/textmodes/artist.el (artist-mouse-draw-continously):
22601 * lisp/ruler-mode.el (ruler-mode-mouse-drag-any-column-iteration):
22602 * lisp/mouse-drag.el (mouse-drag-throw):
22603 * lisp/mouse.el (mouse-drag-line): Set 'track-mouse' to 'dragging'
22604 to avoid changes in the shape of the mouse pointer.
22605 * src/xdisp.c (define_frame_cursor1): Don't change the mouse
22606 pointer shape when do_mouse_tracking has the value of 'dragging',
22607 not just any non-nil value. (Bug#20934)
22608 (syms_of_xdisp): DEFSYM 'dragging'.
22610 2015-06-30 Artur Malabarba <bruce.connor.am@gmail.com>
22612 * lisp/isearch.el (isearch-toggle-word): Fix toggle.
22614 * lisp/emacs-lisp/package.el (package-compute-transaction):
22615 Don't assume version sorting.
22617 * lisp/emacs-lisp/package.el (package--save-selected-packages):
22618 Don't save before init time, to avoid overwriting configurations.
22621 2015-06-30 Xue Fuqiao <xfq.free@gmail.com>
22623 * doc/emacs/display.texi (Standard Faces, Fringes): Add cross
22626 2015-06-29 Ted Zlatanov <tzz@lifelogs.com>
22628 Update for the upcoming CFEngine 3.7 release: support macros and
22629 quoted context strings; reformat JSON; indent promise attributes 2
22630 units by default; give function parameter descriptions in the eldoc
22632 * lisp/progmodes/cfengine.el: Update version and docs and fix name.
22633 Autoload `json-pretty-print'. Support new features in 3.7.
22634 (cfengine-parameters-indent): Set default promise attribute indent to
22635 2 more than the promise itself.
22636 (cfengine3-macro-regex): New variable to match the new macro syntax.
22637 (cfengine3-font-lock-keywords): Use it to highlight macros.
22638 (cfengine3-indent-line): Use it to indent macros to column 0.
22639 (cfengine3-class-selector-regex): Update for the new quoted strings
22641 (cfengine3-reformat-json-string): New function to reformat a JSON
22642 string using `json-pretty-print'.
22643 (cfengine3-format-function-docstring): Use function parameter
22644 description if it's provided by the cf-promises syntax dump.
22646 2015-06-29 Michael R. Mauger <michael@mauger.com>
22648 Cygwin emacsclient handles w32 file names
22649 * lisp/server.el (server-process-filter): Allow Cygwin's
22650 emacsclient to be used as a file handler on MS-Windows.
22652 2015-06-29 Katsumi Yamaoka <yamaoka@jpl.org>
22654 * lisp/isearch.el (isearch-exit): Don't call isearch-done twice
22657 2015-06-29 Eli Zaretskii <eliz@gnu.org>
22659 * doc/lispref/text.texi (Sticky Properties): Improve wording.
22662 Allow font names that end in "-NN", where NN is a number
22663 * src/font.c (font_load_for_lface): If the font-spec didn't match
22664 any available fonts, try again without interpreting trailing "-NN"
22665 as the font size. For the description of the original problem, see
22666 http://lists.gnu.org/archive/html/help-emacs-windows/2015-06/msg00001.html
22668 .gdbinit followup to changes in !USE_LSB_TAG
22669 * src/.gdbinit (xgetsym): Don't left-shift $ptr even under
22670 !USE_LSB_TAG, as Emacs no longer does.
22672 2015-06-29 Wolfgang Jenkner <wjenkner@inode.at>
22674 * lisp/calc-store.el (calc-insert-permanent-variable): Heed case.
22675 Otherwise `s p' of f and F will stomp on each other's value.
22678 2015-06-29 Artur Malabarba <bruce.connor.am@gmail.com>
22680 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-print):
22681 Don't block remember-pos if buffer isn't displayed. (Bug#20921)
22683 2015-06-29 Nicolas Richard <theonewiththeevillook@yahoo.fr>
22685 * lisp/emacs-lisp/package.el (describe-package): Use symbol-at-point
22686 as additional guess.
22688 * lisp/emacs-lisp/package.el (describe-package): Convert the guess
22691 2015-06-28 Paul Eggert <eggert@cs.ucla.edu>
22693 apropos-library quoting fix
22694 * lisp/apropos.el (apropos-library): Quote library consistently
22695 with the rest of the quoting used by apropos.
22697 Clarify interpreter-mode-alist doc
22698 * lisp/files.el (interpreter-mode-alist):
22699 Reword to avoid confusing quoting that wasn't working anyway.
22701 2015-06-28 Michael Albinus <michael.albinus@gmx.de>
22703 Sync with Tramp 2.2.12
22704 * doc/misc/trampver.texi:
22705 * lisp/net/trampver.el: Update release number.
22706 * test/automated/tramp-tests.el (tramp-test13-make-directory):
22709 2015-06-28 Artur Malabarba <bruce.connor.am@gmail.com>
22711 * lisp/isearch.el (isearch-mode): Don't char-fold regexps (bug#20913).
22713 2015-06-27 Dmitry Gutov <dgutov@yandex.ru>
22715 Bind grep-highlight-matches around the rgrep call
22716 * lisp/progmodes/grep.el (zrgrep): Bind grep-highlight-matches
22717 around the rgrep call (bug#20728).
22719 Put "--color" before the other options in grep-command
22720 * lisp/progmodes/grep.el (grep-compute-defaults): Put "--color"
22721 before the other options in grep-command (bug#20912).
22723 Add --color Grep option to the command dynamically
22724 * lisp/progmodes/grep.el (grep-template, grep-find-template):
22725 Update the description for <C>. (Bug#20728)
22726 (grep-compute-defaults): Don't add the --color option to
22727 grep-options. Only add it to grep-command.
22728 (grep-expand-keywords): Expand the env value opts into <C>.
22729 (grep-expand-template): Replace cf in the env with the opts list,
22730 that can include -i and --color.
22731 * lisp/progmodes/xref.el (xref-collect-matches): Do not remove
22732 "--color=always" from the template, because we don't have to.
22734 2015-06-27 Paul Eggert <eggert@cs.ucla.edu>
22736 cl-extra fixes for most-negative-fixnum
22737 * lisp/emacs-lisp/cl-extra.el (cl-gcd, cl-lcm, cl-random):
22738 Don't mishandle an argument equal to most-negative-fixnum,
22739 whose absolute value equals itself.
22740 (cl-gcd, cl-lcm): Use dolist rather than doing it by hand.
22742 Initialize cl--gensym-counter to 0
22743 Previously it was initialized to a random value, which made it
22744 harder to reproduce earlier Emacs runs. The need for a random
22745 value went away when Emacs introduced and used the #: syntax for
22746 uninterned symbols (Bug#20862).
22747 * doc/misc/cl.texi (Creating Symbols, Common Lisp Compatibility):
22748 Document that cl--gensym-counter now starts with 0.
22749 * lisp/emacs-lisp/cl-lib.el (cl--gensym-counter): Remove.
22750 (cl--random-time): Move to near only remaining use.
22751 * lisp/emacs-lisp/cl-macs.el (cl--gensym-counter): Initialize to 0.
22753 Improve docstring for macroexp-let2
22754 * lisp/emacs-lisp/macroexp.el (macroexp-let2):
22755 Improve as per suggestion by RMS in:
22756 http://lists.gnu.org/archive/html/emacs-devel/2015-06/msg00621.html
22757 Also, rename args to match new doc string.
22759 2015-06-27 Eli Zaretskii <eliz@gnu.org>
22761 Fix VC test suite on MS-Windows
22762 * lisp/vc/vc-svn.el (vc-svn-create-repo): Make sure the file: URL
22763 always starts with 3 slashes after the colon.
22764 * test/automated/vc-tests.el (vc-test--create-repo-function): Use
22765 'w32-application-type' to invoke CVS on MS-Windows with properly
22766 formatted CVSROOT directory name.
22768 Add a new function w32-application-type
22769 * src/w32proc.c (Fw32_application_type): New function.
22771 Avoid error in TLS connections due to incorrect format
22772 * src/gnutls.c (Fgnutls_boot): Use the %x conversion specifier in
22773 the call to 'error', instead of the unsupported %u. Reported by
22774 lo2net <fangtao0901@gmail.com>. (Bug#20908)
22776 2015-06-26 Artur Malabarba <bruce.connor.am@gmail.com>
22778 * lisp/replace.el (replace-search): Fix regexp case (bug#20901).
22780 2015-06-26 Leo Liu <sdl.web@gmail.com>
22782 * lisp/emacs-lisp/cl-indent.el: Fix indentation for
22783 `with-output-to-string' in elisp.
22785 Revert "lisp/emacs-lisp/cl-indent.el: Fix indent of
22786 with-output-to-string".
22787 This reverts commit 659199f2ca5f283fb246faa78a244e5ca25f53dd.
22789 2015-06-26 Eli Zaretskii <eliz@gnu.org>
22791 Minor corrections in ELisp manual
22792 * doc/lispref/nonascii.texi (Character Properties): Correct
22793 inaccuracies in description of values of the Unicode properties.
22795 Fix invisible mouse pointers on Windows.
22796 * src/w32fns.c: Include windowsx.h.
22797 (w32_wnd_proc): If the mouse moved and the mouse pointer is
22798 invisible, make it visible again even when the main (Lisp)
22800 * src/w32term.c (w32_toggle_invisible_pointer): Rather then
22801 garbaging the frame have the input thread call SetCursor.
22803 2015-06-26 Martin Rudalics <rudalics@gmx.at>
22805 Provide invisible mouse pointers on Windows (Bug#6105) (Bug#12922)
22806 * src/w32fns.c (w32_wnd_proc): Handle f->pointer_invisible
22807 for WM_SETCURSOR and WM_EMACS_SETCURSOR cases.
22808 * src/w32term.c (w32_hide_hourglass): Handle f->pointer_invisible.
22809 (w32_toggle_invisible_pointer): New function.
22810 (w32_create_terminal): Add w32_toggle_invisible_pointer as
22811 toggle_invisible_pointer_hook for this terminal.
22813 2015-06-25 Xue Fuqiao <xfq.free@gmail.com>
22815 Doc fix for deletion commands
22816 'delete-char' does not respect the value of 'delete-active-region'.
22817 * doc/emacs/killing.texi (Deletion):
22818 Fix documentation for some single-char deletion commands.
22820 * doc/emacs/help.texi (Apropos):
22821 Improve documentation of 'apropos-do-all'.
22823 * doc/emacs/help.texi (Help Summary):
22824 Improve documentation of 'describe-mode'.
22826 2015-06-25 Paul Eggert <eggert@cs.ucla.edu>
22828 Fix submake dependency bug with .h files
22829 * src/Makefile.in ($(libsrc)/make-docfile$(EXEEXT)):
22830 Depend on $(lib)/libgnu.a, so that we build $(lib)/*/*.h
22831 before the submake in $(libsrc) would spin off a subsubmake
22832 for $(lib) in parallel with our submake for $(lib) (Bug#20894).
22834 2015-06-25 Artur Malabarba <bruce.connor.am@gmail.com>
22836 * lisp/character-fold.el (character-fold-table): Reuse `table'.
22838 2015-06-25 Paul Eggert <eggert@cs.ucla.edu>
22840 Translate undisplayable ‘ to `
22841 * doc/lispref/help.texi (Keys in Documentation):
22842 * lisp/international/mule-cmds.el (set-locale-environment):
22843 * lisp/term/w32console.el (terminal-init-w32console):
22844 * src/doc.c (Fsubstitute_command_keys, Vhelp_quote_translation):
22845 If ‘ is not displayable, transliterate it to `, not to '. See:
22846 http://lists.gnu.org/archive/html/emacs-devel/2015-06/msg00542.html
22848 Fix C99 incompatibilities in Cairo code
22849 * src/image.c (xpm_load) [USE_CAIRO]:
22850 * src/xterm.c (x_cr_accumulate_data) [USE_CAIRO]:
22851 Fix pointer signedness problem.
22853 2015-06-25 Oleh Krehel <ohwoeowho@gmail.com>
22855 lisp/emacs-lisp/cl-indent.el: Fix indent of with-output-to-string
22856 * lisp/emacs-lisp/cl-indent.el (common-lisp-indent-function):
22857 `with-output-to-string' should have the same indent as `progn'.
22858 This is in line with the declaration of `with-output-to-string'.
22860 2015-06-25 Paul Eggert <eggert@cs.ucla.edu>
22862 Get ‘./configure; make -C src emacs’ to work
22863 Without this fix, lib/fcntl.h isn't built in time (Bug#20894).
22864 * lib-src/Makefile.in (../lib/libgnu.a):
22865 * src/Makefile.in ($(lib)/libgnu.a): Build all, not libgnu.a.
22867 2015-06-24 Paul Eggert <eggert@cs.ucla.edu>
22869 Fix GC bugs --with-wide-int and Qnil == 0
22870 Use the same alignment for the !USE_LSB_TAG case as for the
22871 more-typical USE_LSB_TAG case. The attempt to support arbitrary
22872 alignments with !USE_LSB_TAG had subtle bugs in garbage collection
22873 once we changed the representation of symbols so that Qnil == 0.
22874 Problem reported by Eli Zaretskii (Bug#20862).
22875 * src/alloc.c (XMALLOC_HEADER_ALIGNMENT) [XMALLOC_OVERRUN_CHECK]:
22876 * src/alloc.c (vector_alignment, union aligned_Lisp_Symbol)
22877 (union aligned_Lisp_Misc, maybe_lisp_pointer, pure_alloc):
22878 Use same alignment for !USE_LSB_TAG as for USE_LSB_TAG.
22879 * src/alloc.c (POINTERS_MIGHT_HIDE_IN_OBJECTS): Remove.
22880 This optimization in the !USE_LSB_TAG case is no longer valid when
22881 symbols are represented via offsets. Change the only use to
22882 assume that pointers might hide in objects.
22883 * src/lisp.h (alignas) [!USE_LSB_TAG]:
22884 Require support in this case, too.
22885 (TAG_SYMOFFSET, XSYMBOL) [!USE_LSB_TAG]: Do not shift the offset.
22886 This is OK, because the !USE_LSB_TAG case now applies only when
22887 Lisp_Object is wider than void *, so there's no longer any need
22888 to shift the offset. Not shifting the offset means that
22889 symbol representations have the same alignment as pointers,
22890 which the GC assumes.
22892 2015-06-24 Xue Fuqiao <xfq.free@gmail.com>
22894 * doc/lispintro/emacs-lisp-intro.texi (Data types):
22895 Improve documentation of 'substring'.
22897 2015-06-24 Artur Malabarba <bruce.connor.am@gmail.com>
22899 * lisp/character-fold.el (character-fold-table): Fix table generation.
22901 2015-06-24 Glenn Morris <rgm@gnu.org>
22903 * nextstep/Makefile.in (all): Make it the first target.
22904 (../src/emacs${EXEEXT}): Add rule for making it.
22906 2015-06-24 Artur Malabarba <bruce.connor.am@gmail.com>
22908 * etc/NEWS: Fix mention to old function name.
22910 * lisp/character-fold.el: New file (Bug#20887)
22911 (character-fold-to-regexp): New function.
22912 * lisp/replace.el (replace-search): Check value of
22913 `character-fold-search'.
22914 * lisp/isearch.el: Move character-folding code to
22916 (isearch-toggle-character-fold): New command.
22917 (isearch-mode-map): Bind it to "\M-sf".
22918 (isearch-mode): Check value of `character-fold-search'.
22920 2015-06-24 Stefan Monnier <monnier@iro.umontreal.ca>
22922 lisp/subr.el (remove-from-invisibility-spec): Handle the t case
22923 * lisp/subr.el (remove-from-invisibility-spec): Make sure `element'
22924 is visible even if it's not yet in buffer-invisibility-spec (bug#20468).
22926 * lisp/progmodes/xref.el (xref-location-group, xref-location-marker)
22927 (xref--insert-xrefs, xref-collect-references): Avoid init-args in oref.
22929 2015-06-24 Glenn Morris <rgm@gnu.org>
22931 * Makefile.in (install-arch-dep): Don't set sticky bit on the binary.
22933 2015-06-24 Stefan Monnier <monnier@iro.umontreal.ca>
22935 lisp/gnus/nnmaildir.el: Silence lexical warnings
22936 * lisp/gnus/nnmaildir.el (nnmaildir--prepare): Use a more
22938 (nnmaildir--update-nov): Remove unused var `numdir'.
22939 (nnmaildir-request-type, nnmaildir--scan, nnmaildir-request-newgroups)
22940 (nnmaildir-request-group, nnmaildir-request-create-group)
22941 (nnmaildir-request-post, nnmaildir-request-move-article)
22942 (nnmaildir-request-accept-article, nnmaildir-active-number):
22944 (nnmaildir-get-new-mail, nnmaildir-group-alist)
22945 (nnmaildir-active-file): Declare.
22946 (nnmaildir-request-scan): Remove unused vars `group' and `grp-dir'.
22947 (nnmaildir-request-update-info): Remove unused vars `dotfile', `num',
22948 `mark', `end', `new-mark', and `mark-sym'.
22949 (nnmaildir-retrieve-headers): Remove unused args `srv-dir', `dir',
22951 (nnmaildir-request-expire-articles):
22952 Remove unused vars `article', `stop' and `nlist2'.
22953 (nnmaildir-request-set-mark): Remove unused vars `begin', `article' and
22954 `end'. Use nnmaildir--article when dyn-binding is needed.
22955 Give the value directly in the `let' for `del-mark', `del-action',
22956 `add-action', and `set-action'. Don't use `add-to-list' on a local var.
22957 (nnmaildir-close-server): Declare those local vars that need to be
22960 2015-06-24 Paul Eggert <eggert@cs.ucla.edu>
22962 * src/keyboard.h (kbd_buffer_store_event_hold): Remove unused local.
22964 Port selection info fix to clang
22965 * src/keyboard.h (kbd_buffer_store_event_hold):
22966 Don't assume C11 semantics for alignof (Bug#20756).
22968 Fix bug that munged selection info
22969 On some optimizing C compilers, copying a structure did not
22970 copy the padding bytes between elements, and the type punning
22971 between struct input_data and struct selection_input_data did
22972 not work. Change the C code to use a proper union type instead.
22973 Problem reported by YAMAMOTO Mitsuharu (Bug#20756).
22974 * src/keyboard.c (kbd_buffer, kbd_fetch_ptr, kbd_store_ptr)
22975 (readable_events, discard_mouse_events, kbd_buffer_events_waiting)
22976 (kbd_buffer_get_event, process_special_events, stuff_buffered_input)
22978 Use union buffered_input_event, not struct input_event.
22979 (clear_event, deliver_input_available_signal, process_special_events):
22980 Remove unnecessary forward decls.
22981 (kbd_buffer_store_buffered_event): New function, mostly just the
22982 old kbd_buffer_store_event_hold, except its argument is of type
22983 union buffered_input_event, not struct input_event.
22984 (kbd_buffer_unget_event): Define only if HAVE_X11, since it's
22985 not needed otherwise. Argument is now of type
22986 struct selection_input_event *, not struct input_event *.
22987 All callers changed.
22988 (clear_event): Arg is now of type union buffered_input_event *,
22989 not struct input_event *. All callers changed.
22990 * src/keyboard.h [HAVE_X11]: Include "xterm.h".
22991 (union buffered_input_event): New type.
22992 (kbd_buffer_store_event_hold): Now an inline function,
22994 * src/termhooks.h (EVENT_KIND_WIDTH): New constant.
22995 (struct input_event): Use it.
22996 * src/xselect.c (struct selection_event_queue):
22997 Make elements be of type struct selection_input_event,
22998 not struct input_event.
22999 (selection_input_event_equal): New static function.
23000 (x_queue_event): Use it.
23001 (x_queue_event, x_decline_selection_request)
23002 (x_selection_current_request, x_reply_selection_request)
23003 (x_handle_selection_request, x_handle_selection_clear)
23004 (x_handle_selection_event): Use struct selection_input_event,
23005 not struct input_event. All callers changed.
23006 (x_convert_selection): Omit unused first arg. All callers changed.
23007 (Fx_disown_selection_internal): Omit unnecessary union.
23008 * src/xterm.c (handle_one_xevent): Use new union buffered_input_event
23009 rather than rolling our own equivalent. Prefer sie.kind when
23010 setting up that kind of structure.
23011 Call kbd_buffer_store_buffered_event, not kbd_buffer_store_event_hold.
23012 * src/xterm.h (struct selection_input_event: Use EVENT_KIND_WIDTH.
23013 (SELECTION_EVENT_DISPLAY, SELECTION_EVENT_DPYINFO)
23014 (SELECTION_EVENT_REQUESTOR, SELECTION_EVENT_SELECTION)
23015 (SELECTION_EVENT_TARGET, SELECTION_EVENT_PROPERTY)
23016 (SELECTION_EVENT_TIME, x_handle_selection_event):
23017 Arg is now of type struct selection_input_event *)
23018 not struct input_event *. All callers changed.
23020 2015-06-23 Glenn Morris <rgm@gnu.org>
23022 * Makefile.in (install-arch-dep): Simplify with Make conditionals.
23024 2015-06-23 Artur Malabarba <bruce.connor.am@gmail.com>
23026 * lisp/isearch.el: Fold many unicode characters to ASCII.
23027 (isearch-character-fold-search, isearch--character-fold-extras)
23028 (isearch--character-fold-table): New variable.
23029 (isearch--character-folded-regexp): New function.
23030 (isearch-search-fun-default): Use them.
23031 * lisp/replace.el (replace-character-fold): New variable.
23032 (replace-search): Use it.
23033 * etc/NEWS: Document it.
23035 2015-06-23 Glenn Morris <rgm@gnu.org>
23037 Check for an input event before showing a dialog box. (Bug#20813)
23038 * lisp/subr.el (y-or-n-p):
23039 * src/fns.c (Fyes_or_no_p): Check last-input-event as well
23040 as last-nonmenu-event.
23042 2015-06-23 Jürgen Hartmann <juergen_hartman_@hotmail.com> (tiny change)
23044 Respect ‘switch-to-visible-buffer’ more rigidly. (Bug#20861)
23045 * lisp/window.el (switch-to-visible-buffer): Doc adjustment.
23046 (switch-to-prev-buffer, switch-to-next-buffer): Respect
23047 switch-to-visible-buffer independent of the windows history.
23049 2015-06-23 Paul Eggert <eggert@cs.ucla.edu>
23051 * src/keyboard.c (last_timer_event): Remove unused var.
23053 2015-06-23 Artur Malabarba <bruce.connor.am@gmail.com>
23055 * test/automated/package-test.el (package-test-update-listing):
23058 2015-06-23 Glenn Morris <rgm@gnu.org>
23060 Revert 2014-06-25 nextstep/Makefile change.
23061 * nextstep/Makefile.in (${ns_appbindir}): Remove rule.
23062 (${ns_appbindir}/Emacs, links): Create ns_appbindir in the rule,
23063 not as an order-only prerequisite.
23065 * configure.ac (--with-ns): Enable by default on OS X.
23067 2015-06-23 Leo Liu <sdl.web@gmail.com>
23069 Fix shell-for/backward-command to exclude spaces
23070 * lisp/shell.el (shell-forward-command, shell-backward-command):
23071 Handle the 'move case from re-search-forward/backward.
23072 fixes debbugs:20873
23074 2015-06-22 Juri Linkov <juri@linkov.net>
23076 * lisp/replace.el (query-replace-read-from): Add separator to
23077 the local binding of text-property-default-nonsticky. (Bug#20690)
23079 * lisp/simple.el (shell-command-on-region): Replace 'error' with 'user-error'.
23082 2015-06-22 Ken Brown <kbrown@cornell.edu>
23084 Enable CPU profiling on Cygwin
23085 * src/syssignal.h [CYGWIN] (PROFILER_CPU_SUPPORT): Revert previous
23086 change that undefined this.
23087 (SIGEV_SIGNAL): Ensure that this is defined as a macro.
23088 * src/profiler.c [CYGWIN] (timer_getoverrun): Define as a macro on
23091 Improve diagnostics of profiler-cpu-start
23092 * src/profiler.c (setup_cpu_timer): Change return type to 'int';
23093 return -1 if the sampling interval is invalid.
23094 (Fprofiler_cpu_start): Improve error message if 'setup_cpu_timer'
23097 2015-06-22 Artur Malabarba <bruce.connor.am@gmail.com>
23099 * lisp/emacs-lisp/package.el: Exclude packages by name.
23100 (package-hidden-regexps): New variable.
23101 (package-menu--refresh): Use it.
23102 (package-menu-hide-package): New command.
23104 * lisp/emacs-lisp/package.el: Rename hide-obsolete to toggle-hiding.
23106 2015-06-22 Eli Zaretskii <eliz@gnu.org>
23108 Fix debug-timer-check on systems without HAVE_TIMERFD
23109 * src/atimer.c (Fdebug_timer_check) [!HAVE_TIMERFD]: Actively run
23110 the expired timers, since wait_reading_process_output doesn't.
23111 (debug_timer_callback): Enlarge the tolerance to 20 msec.
23113 Fix RCS crashes in vc-test
23114 * lisp/vc/vc-rcs.el (vc-rcs-register): Avoid crashes with some old
23115 ports of 'ci' on MS-Windows by always passing the -t- switch.
23117 2015-06-22 Glenn Morris <rgm@gnu.org>
23119 * doc/emacs/package.texi (Packages):
23120 * doc/emacs/trouble.texi (Known Problems): Remove faq cross-references.
23122 * doc/misc/efaq-w32.texi (Downloading): Copyedits. (Bug#20851)
23124 2015-06-22 Paul Eggert <eggert@cs.ucla.edu>
23126 Port tests to help-quote-translation
23127 * test/automated/ert-x-tests.el (ert-test-describe-test):
23128 * test/automated/package-test.el (package-test-describe-package)
23129 (package-test-signed): Allow straight quotes, too.
23131 2015-06-22 Dmitry Gutov <dgutov@yandex.ru>
23133 Make find-function-on-key use the current window
23134 * lisp/emacs-lisp/find-func.el (find-function-on-key-do-it):
23135 Extract from `find-function-on-key', add a second argument.
23136 (find-function-on-key): Use it (bug#19679).
23137 (find-function-on-key-other-window)
23138 (find-function-on-key-other-frame): New commands.
23140 2015-06-21 Nicolas Petton <nicolas@petton.fr>
23142 Revert "Define `map-elt' as a generalized variable"
23143 This reverts commit 8b6d82d3ca86f76ed964063b3941a7c6ab0bf1c6.
23145 2015-06-21 Ken Brown <kbrown@cornell.edu>
23147 Drop support for CPU profiling on Cygwin
23148 * src/syssignal.h (PROFILER_CPU_SUPPORT): Don't define on Cygwin.
23151 2015-06-21 Paul Eggert <eggert@cs.ucla.edu>
23153 Fix some “nested” quoting confusion in doc strings
23154 * lisp/emacs-lisp/advice.el (ad-map-arglists):
23155 * lisp/kermit.el (kermit-clean-on):
23156 * lisp/mh-e/mh-comp.el (mh-repl-group-formfile):
23157 * src/keyboard.c (Frecursive_edit):
23158 Use curved quotes when quoting text containing apostrophe,
23159 so that the apostrophe isn't curved in the output.
23161 2015-06-21 Nicolas Petton <nicolas@petton.fr>
23163 Define `map-elt' as a generalized variable
23164 * lisp/emacs-lisp/map.el (map-elt): Define a gv-expander.
23165 * lisp/emacs-lisp/map.el (map--dispatch): Tighten the code.
23166 * lisp/emacs-lisp/map.el (map-put): Redefine it as a function using a
23167 `setf' with `map-elt'.
23168 * test/automated/map-tests.el: Comment out `test-map-put-literal'.
23170 2015-06-21 Michael Albinus <michael.albinus@gmx.de>
23172 Improve error handling in tramp-adb.el
23173 * lisp/net/tramp-adb.el (tramp-adb-handle-file-local-copy):
23174 Improve error handling.
23176 2015-06-21 Nicolas Petton <nicolas@petton.fr>
23178 Reuse `alist-get' in map.el
23179 * lisp/emacs-lisp/map.el (map-elt): Use `alist-get' to retrieve alist
23182 2015-06-21 Eli Zaretskii <eliz@gnu.org>
23184 Fix bytecomp-tests--warnings when $TMPDIR has a long name
23185 * test/automated/bytecomp-tests.el (bytecomp-tests--warnings):
23186 Allow the warning to begin on the 3rd, not only 2nd line, which
23187 happens if temporary-file-directory has a very long name.
23189 Expect 2 icalendar tests to fail on MS-Windows
23190 * test/automated/icalendar-tests.el (icalendar-import-with-timezone)
23191 (icalendar-real-world): Make them expected failures on MS-Windows.
23193 2015-06-20 Paul Eggert <eggert@cs.ucla.edu>
23195 Improve port of settings UI to older displays
23196 * lisp/cus-start.el (standard): Don't assume curved quotes are
23197 easily distinguishable when users are tinkering with a setting
23198 that affects how curved quotes are generated.
23200 Fix quoting in electric-quote-mode doc string
23201 * lisp/electric.el (electric-quote-mode): Fix quoting.
23202 This is a fallout from the recent change introducing
23203 ‘help-quote-translation’.
23207 * doc/misc/texinfo.tex, lib/set-permissions.c: Merge from gnulib.
23209 * src/doc.c (syms_of_doc): Remove unused symbols.
23211 2015-06-20 Martin Rudalics <rudalics@gmx.at>
23213 * lisp/window.el (window-state-put): Undedicate target window
23214 before putting STATE into it. (Bug#20848)
23216 2015-06-19 Paul Eggert <eggert@cs.ucla.edu>
23218 Merge from origin/emacs-24
23219 a5e6f33 Fixes: debbugs:20832
23220 b9f02cf Fixes: debbugs:20832
23222 2015-06-19 Eli Zaretskii <eliz@gnu.org>
23224 Fix file-in-directory-p when the directory is UNC
23225 * lisp/files.el (file-in-directory-p): Support files and
23226 directories that begin with "//". (Bug#20844)
23228 2015-06-19 Stephen Berman <stephen.berman@gmx.net>
23230 * lisp/calendar/todo-mode.el (todo-show): Don't visit todo file
23231 in the minibuffer. (Bug#20832)
23233 2015-06-19 Nicolas Richard <youngfrog@members.fsf.org>
23235 * lisp/calendar/todo-mode.el (todo-show): Signal an error if buffer
23236 for adding new todo file is empty but modified. (Bug#20832)
23238 2015-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
23240 (filepos-to-bufferpos): Further tweaks to the utf-16 code
23241 * lisp/international/mule-util.el (filepos-to-bufferpos):
23242 Fix typo. Move non-exact check to the utf-16 branch (the only one
23243 affected). Don't use byte-to-position for the utf-16 case.
23245 2015-06-19 Eli Zaretskii <eliz@gnu.org>
23247 Minor fixes in filepos-to-bufferpos
23248 * lisp/international/mule-util.el (filepos-to-bufferpos): Remove
23249 test for utf-8-emacs. Exempt single-byte encodings from the
23250 'use-exact' path when QUALITY is 'exact'. Test UTF-16 encodings
23251 for BOM before subtracting 2 bytes. Use 'identity' when adjusting
23252 UTF-16 encoded files for CR-LF EOLs.
23254 2015-06-19 Paul Eggert <eggert@cs.ucla.edu>
23256 Improve the optional translation of quotes
23257 Fix several problems with the recently-added custom variable
23258 help-quote-translation where the code would quote inconsistently
23259 in help buffers. Add support for quoting 'like this', which
23260 is common in other GNU programs in ASCII environments. Change
23261 help-quote-translation to use more mnemonic values: values are now the
23262 initial quoting char, e.g., (setq help-quote-translation ?`) gets the
23263 traditional Emacs help-buffer quoting style `like this'. Change the
23264 default behavior of substitute-command-keys to match what's done in
23265 set-locale-environment, i.e., quote ‘like this’ if displayable,
23266 'like this' otherwise.
23267 * doc/lispref/help.texi (Keys in Documentation): Document
23268 new behavior of substitute-command-keys, and document
23269 help-quote-translation.
23270 * doc/lispref/tips.texi (Documentation Tips):
23271 Mention the effect of help-quote-translation.
23272 * etc/NEWS: Mention new behavior of substitute-command-keys,
23273 and merge help-quote-translation news into it.
23274 When talking about doc strings, mention new ways to type quotes.
23275 * lisp/cedet/mode-local.el (overload-docstring-extension):
23276 Revert my recent change to this function, which shouldn't be
23277 needed as the result is a doc string.
23278 * lisp/cedet/mode-local.el (mode-local-print-binding)
23279 (mode-local-describe-bindings-2):
23280 * lisp/cedet/srecode/srt-mode.el (srecode-macro-help):
23281 * lisp/cus-theme.el (describe-theme-1):
23282 * lisp/descr-text.el (describe-text-properties-1, describe-char):
23283 * lisp/emacs-lisp/cl-generic.el (cl--generic-describe):
23284 * lisp/emacs-lisp/eieio-opt.el (eieio-help-class)
23285 (eieio-help-constructor):
23286 * lisp/emacs-lisp/package.el (describe-package-1):
23287 * lisp/faces.el (describe-face):
23288 * lisp/help-fns.el (help-fns--key-bindings)
23289 (help-fns--compiler-macro, help-fns--parent-mode)
23290 (help-fns--obsolete, help-fns--interactive-only)
23291 (describe-function-1, describe-variable):
23292 * lisp/help.el (describe-mode):
23293 Use substitute-command-keys to ensure a more-consistent quoting
23294 style in help buffers.
23295 * lisp/cus-start.el (standard):
23296 Document new help-quote-translation behavior.
23297 * lisp/emacs-lisp/lisp-mode.el (lisp-fdefs):
23298 * lisp/help-mode.el (help-xref-symbol-regexp, help-xref-info-regexp)
23299 (help-xref-url-regexp):
23300 * lisp/international/mule-cmds.el (help-xref-mule-regexp-template):
23301 * lisp/wid-edit.el (widget-documentation-link-regexp):
23302 Also match 'foo', in case we're in a help buffer generated when
23303 help-quote-translation is ?'.
23304 * src/doc.c: Include disptab.h, for DISP_CHAR_VECTOR.
23305 (LEFT_SINGLE_QUOTATION_MARK, uLSQM0, uLSQM1, uLSQM2, uRSQM0)
23306 (uRSQM1, uRSQM2, LSQM, RSQM): New constants.
23307 (Fsubstitute_command_keys): Document and implement new behavior.
23308 (Vhelp_quote_translation): Document new behavior.
23310 2015-06-18 Glenn Morris <rgm@gnu.org>
23312 * lisp/cus-start.el (help-quote-translation): Add :version.
23314 * src/doc.c (Fsubstitute_command_keys): Make previous change compile.
23316 2015-06-18 Alan Mackenzie <acm@muc.de>
23318 Make translation of quotes to curly in doc strings optional.
23319 * src/doc.c (traditional, prefer-unicode): New symbols.
23320 (help-quote-translation): New variable.
23321 (Fsubstitute_command_keys): Make translation of quotes dependent on
23322 `help-quote-translation'; also translate curly quotes back to ASCII
23324 * lisp/cus-start.el (top-level): Add a customization entry for
23325 `help-quote-translation'.
23327 2015-06-18 Artur Malabarba <bruce.connor.am@gmail.com>
23329 * lisp/emacs-lisp/package.el: Don't always propagate async errors
23330 (package--with-work-buffer-async): Only propagate the error if the
23331 callback returns non-nil.
23332 (package--download-one-archive): Return nil on the signature
23333 checking callback if we accept unsigned.
23334 (package--download-and-read-archives): Return non-nil on the
23335 archive download callback.
23337 2015-06-18 Martin Rudalics <rudalics@gmx.at>
23339 Set image_cache_refcount before x_default_parameter calls. (Bug#20802)
23340 * src/nsfns.m (Fx_create_frame):
23341 * src/xfns.c (Fx_create_frame, x_create_tip_frame): Move setting
23342 image_cache_refcount before first x_default_parameter call.
23344 2015-06-18 Eli Zaretskii <eliz@gnu.org>
23346 Improve and extend filepos-to-bufferpos
23347 * lisp/international/mule-util.el (filepos-to-bufferpos--dos):
23348 Don't barf if F returns nil for some argument.
23349 (filepos-to-bufferpos): Expand to support UTF-16 and not assume
23350 that every encoding of type 'charset' is single-byte.
23352 2015-06-18 Artur Malabarba <bruce.connor.am@gmail.com>
23354 * lisp/emacs-lisp/package.el (package-menu--perform-transaction):
23355 Properly delete packages. (Bug#20836)
23357 2015-06-18 Eli Zaretskii <eliz@gnu.org>
23359 Update data files from just-released Unicode 8.0
23360 * etc/NEWS: Update wording since Unicode 8.0 is no longer in draft
23362 * test/BidiCharacterTest.txt: Update from Unicode 8.0.
23363 * admin/unidata/BidiMirroring.txt:
23364 * admin/unidata/BidiBrackets.txt:
23365 * admin/unidata/UnicodeData.txt: Update from Unicode 8.0.
23367 2015-06-18 Paul Eggert <eggert@cs.ucla.edu>
23369 Document curved quotes a bit better
23370 * doc/emacs/basic.texi (Inserting Text):
23371 Mention C-x 8. Change example to use curved quote rather
23372 than infinity, as this lets us give more ways to do it.
23373 * doc/emacs/mule.texi (International Chars): Mention C-x 8 shortcuts
23374 and quotation marks.
23375 * doc/emacs/text.texi (Quotation Marks):
23376 * doc/lispref/tips.texi (Documentation Tips):
23377 Add "curly quotes" and "curved quotes" to the index.
23378 * doc/emacs/text.texi (Quotation Marks):
23379 Give the C-x 8 shorthands for curved quotes.
23380 Cross-reference to "Quotation Marks".
23382 2015-06-17 Daiki Ueno <ueno@gnu.org>
23384 Add pinentry.el for better GnuPG integration
23385 * lisp/pinentry.el: New file.
23386 * etc/NEWS: Add entry about pinentry.el.
23387 * lisp/epg.el (epg--start): Set INSIDE_EMACS envvar.
23390 2015-06-17 Artur Malabarba <bruce.connor.am@gmail.com>
23392 * lisp/emacs-lisp/package.el: Slightly better error reporting.
23394 2015-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
23396 (define-minor-mode): Use setq-default for :global minor modes
23397 * lisp/emacs-lisp/easy-mmode.el (define-minor-mode):
23398 Use setq-default for :global minor modes (bug#20712).
23400 2015-06-17 Eli Zaretskii <eliz@gnu.org>
23402 Avoid infloop in redisplay with tall images
23403 * src/xdisp.c (try_scrolling): Prevent an infloop when scrolling
23404 down near ZV. (Bug#20808)
23405 Call bidi_unshelve_cache to avoid memory leaks. Use IT_CHARPOS
23406 instead of CHARPOS.
23408 2015-06-17 Artur Malabarba <bruce.connor.am@gmail.com>
23410 * lisp/emacs-lisp/package.el (package--with-work-buffer-async):
23411 Fix error reporting.
23413 * lisp/emacs-lisp/let-alist.el: Move to lisp/emacs-lisp/let-alist.el
23415 * lisp/emacs-lisp/package.el: Revert buffer after any operation
23416 Call `package-menu--post-refresh' after any operation that changes
23417 the package database (`package-install' and `package-delete'). To
23418 avoid performance issues in large transactions, these functions
23419 add `post-refresh' to `post-command-hook' instead of calling it
23421 (package-menu--mark-or-notify-upgrades): New function.
23422 (list-packages): Add it to `package--post-download-archives-hook'.
23423 (package-menu--post-refresh): Lose the upgrade-checking code, add
23424 code to remove itself from `post-command-hook'.
23425 (package-install, package-delete): Add it to `post-command-hook'.
23426 (package-menu-execute): Don't call `package-menu--post-refresh'.
23428 2015-06-17 Stephen Leake <stephen_leake@stephe-leake.org>
23430 Add missing function xref-location-group for elisp-mode.
23431 * lisp/progmodes/elisp-mode.el: Add missing function xref-location-group.
23433 2015-06-17 Wolfgang Jenkner <wjenkner@inode.at>
23435 * src/editfns.c (Fbyte_to_position): Fix bytepos not at char boundary.
23436 The behavior now matches the description in the manual. (Bug#20783)
23438 2015-06-17 Xue Fuqiao <xfq.free@gmail.com>
23440 * etc/tutorials/TUTORIAL.cn: Update; synchronize with TUTORIAL.
23442 2015-06-17 Glenn Morris <rgm@gnu.org>
23444 Generate char-script-table from Unicode source. (Bug#20789)
23445 * admin/unidata/Makefile.in (AWK): New, set by configure.
23446 (all): Add charscript.el.
23447 (blocks): New variable.
23448 (charscript.el, ${unidir}/charscript.el): New targets.
23449 (extraclean): Also remove generated charscript.el.
23450 * admin/unidata/blocks.awk: New script.
23451 * admin/unidata/Blocks.txt: New data file, from unicode.org.
23452 * lisp/international/characters.el: Load charscript.
23453 * src/Makefile.in (charscript): New variable.
23454 (${charscript}): New target.
23455 (${lispintdir}/characters.elc): Depend on charscript.elc.
23456 (temacs$(EXEEXT)): Depend on charscript.
23458 * lisp/international/characters.el (char-script-table): Tweak
23459 some ranges to better match the source. (Bug#20789#17)
23461 Remove "no-byte-compile: t" from a few files.
23462 * lisp/obsolete/bruce.el, lisp/obsolete/keyswap.el:
23463 * lisp/obsolete/patcomp.el: No reason not to compile these.
23465 2015-06-16 Glenn Morris <rgm@gnu.org>
23467 Fix some typos in copied Unicode data. (Bug#20789)
23468 * lisp/international/characters.el (char-script-table):
23469 * lisp/international/fontset.el (script-representative-chars)
23470 (setup-default-fontset): Fix typos.
23472 * lisp/emacs-lisp/check-declare.el (check-declare-warn):
23473 Don't print filename twice (it's in the prefix now).
23475 * lisp/emacs-lisp/pcase.el (pcase--u1): Revert earlier workaround.
23478 Address a compilation warning.
23479 * lisp/emacs-lisp/bytecomp.el (byte-compile-file-form-defalias):
23480 Replace 't' with '_' in pcase.
23482 Address some check-declare warnings.
23483 * lisp/simple.el (tabulated-list-print):
23484 * lisp/progmodes/elisp-mode.el (xref-collect-matches):
23485 * lisp/term/ns-win.el (ns-selection-owner-p, ns-selection-exists-p)
23486 (ns-get-selection): Update declarations.
23488 Address some compilation warnings.
23489 * lisp/elec-pair.el (electric-pair-post-self-insert-function):
23490 * lisp/vc/vc-git.el (vc-git-file-type-as-string):
23491 Replace 't' with '_' in pcase.
23493 Address some compilation warnings.
23494 * lisp/face-remap.el (text-scale-adjust):
23495 * lisp/menu-bar.el (popup-menu-normalize-position):
23496 * lisp/emacs-lisp/byte-opt.el (byte-compile-inline-expand):
23497 * lisp/emacs-lisp/bytecomp.el (byte-compile-arglist-warn):
23498 * lisp/emacs-lisp/generator.el (cps--transform-1):
23499 * lisp/emacs-lisp/macroexp.el (macroexp--expand-all):
23500 * lisp/obsolete/vc-arch.el (vc-arch-mode-line-string):
23501 * lisp/progmodes/octave.el (octave-goto-function-definition)
23502 (octave-find-definition-default-filename):
23503 Replace 't' with '_' in pcase.
23505 * lisp/emacs-lisp/pcase.el (pcase--u1):
23506 Paper-over today's bootstrap failure.
23508 2015-06-16 Nicolas Petton <nicolas@petton.fr>
23510 * lisp/emacs-lisp/seq.el: Fix a byte-compiler warnings related to pcase.
23512 * lisp/emacs-lisp/map.el (map-into): Fix a byte-compiler warning.
23514 Better confirmation message in `find-alternate-file' (Bug#20830)
23515 * lisp/files.el (find-alternate-file'): Improve the confirmation
23516 message to show the buffer name.
23518 Better docstring for null. (Bug#20815)
23519 * src/data.c (null): Improves the docstring, saying what null returns
23520 when OBJECT is non-nil.
23522 2015-06-16 Stefan Monnier <monnier@iro.umontreal.ca>
23524 * lisp/net/newst-treeview.el: Use lexical-binding.
23526 (filepos-to-bufferpos): Add missing cases. Make sure it terminates.
23527 * lisp/international/mule-util.el (filepos-to-bufferpos--dos):
23528 New auxiliary function, extracted from filepos-to-bufferpos.
23529 Make sure it terminates.
23530 (filepos-to-bufferpos): Use it to fix the latin-1-dos case.
23531 Add support for the `exact' quality.
23533 2015-06-16 Cédric Chépied <cedric.chepied@gmail.com>
23535 Identify feeds in newsticker treeview with :nt-feed property
23536 * lisp/net/newst-treeview.el:
23537 (newsticker--treeview-nodes-eq): Use property :nt-feed instead of :tag.
23539 2015-06-16 Stefan Monnier <monnier@iro.umontreal.ca>
23541 * lisp/emacs-lisp/pcase.el: Improve docs and error handling.
23542 (pcase--self-quoting-p): Floats aren't self-quoting.
23543 (pcase): Tweak docstring.
23544 (pcase--u1): Deprecate the t pattern. Improve error detection for
23546 (\`): Tweak docstring. Signal an error for unrecognized cases.
23549 2015-06-16 Eli Zaretskii <eliz@gnu.org>
23551 Fix infloop in filepos-to-bufferpos
23552 * lisp/international/mule-util.el (filepos-to-bufferpos): Fix EOL
23553 offset calculation, and make it conditional on the eol-type of the
23554 file's encoding. (Bug#20825)
23556 2015-06-16 Martin Rudalics <rudalics@gmx.at>
23558 Fix handling of image cache refcounts. (Bug#20802)
23559 This backports Eli Zaretskii's solution of this problem for W32
23561 * src/nsfns.m (image_cache_refcount): Define unconditionally.
23562 (unwind_create_frame): If the image cache's reference count
23563 hasn't been updated yet, do that now.
23564 (Fx_create_frame): Set image_cache_refcount unconditionally.
23565 * src/xfns.c (image_cache_refcount): Define unconditionally.
23566 (unwind_create_frame): If the image cache's reference count
23567 hasn't been updated yet, do that now.
23568 (Fx_create_frame, x_create_tip_frame): Set image_cache_refcount
23570 * src/w32fns.c (image_cache_refcount): Make it a ptrdiff_t as on
23573 2015-06-16 Nils Ackermann <nils@ackermath.info>
23575 Improve reftex-label-regexps default value
23576 * lisp/textmodes/reftex-vars.el (reftex-label-regexps): Make
23577 keyvals label regexp more strict to better cope with unbalanced
23578 brackets common in math documents.
23580 2015-06-16 Glenn Morris <rgm@gnu.org>
23582 * doc/emacs/calendar.texi (Format of Diary File):
23583 Move "nonmarking" from here...
23584 (Displaying the Diary): ... to here.
23586 * doc/emacs/calendar.texi (Format of Diary File, Displaying the Diary):
23587 Swap the order of these nodes.
23588 * doc/emacs/emacs.texi: Update detailed menu for the above change.
23590 * doc/emacs/calendar.texi (Specified Dates, Special Diary Entries):
23591 Update date of examples.
23592 (Diary, Format of Diary File): Move example from former to latter.
23593 Reduce duplication.
23595 No need for cp51932.el, eucjp-ms.el to not be compiled any more.
23596 * admin/charsets/cp51932.awk, admin/charsets/eucjp-ms.awk:
23597 Don't set no-byte-compile in the outputs.
23598 * lisp/loadup.el: Don't specify uncompiled cp51932, eucjp-ms.
23600 2015-06-15 Glenn Morris <rgm@gnu.org>
23602 * lisp/calendar/calendar.el (diary-file): Use locate-user-emacs-file.
23603 * doc/emacs/calendar.texi (Diary, Format of Diary File):
23604 Update for above diary-file change.
23606 * lisp/macros.el (name-last-kbd-macro, kbd-macro-query)
23607 (apply-macro-to-region-lines): Use user-error.
23609 * lisp/textmodes/page-ext.el (add-new-page, pages-directory)
23610 (pages-directory-for-addresses): Doc fixes.
23612 2015-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
23614 * lisp/info.el: Cleanup bytepos/charpos issues
23615 * lisp/international/mule-util.el: Use lexical-binding.
23616 (filepos-to-bufferpos): New function.
23617 * lisp/info.el (Info-find-in-tag-table-1): Use 0-based file positions.
23618 (Info-find-node-2): Use filepos-to-bufferpos (bug#20704).
23619 (Info-read-subfile, Info-search): Use 0-based file positions.
23621 * lisp/progmodes/perl-mode.el: Refine handling of /re/ and y/abc/def/
23622 (perl--syntax-exp-intro-keywords): New var.
23623 (perl--syntax-exp-intro-regexp, perl-syntax-propertize-function): Use it.
23626 2015-06-15 Paul Eggert <eggert@cs.ucla.edu>
23628 Fix quoting when making derived mode docstring
23629 * lisp/emacs-lisp/derived.el (derived-mode-make-docstring):
23630 Nest regexp-quote inside format, not the reverse.
23631 Problem reported by Artur Malabarba in:
23632 http://lists.gnu.org/archive/html/emacs-devel/2015-06/msg00206.html
23634 2015-06-15 Eli Zaretskii <eliz@gnu.org>
23636 Fix current-iso639-language on MS-Windows
23637 * lisp/international/mule-cmds.el (set-locale-environment):
23638 Downcase the locale name before interning it. This is so the
23639 'current-iso639-language' on MS-Windows matches the ':lang'
23640 property of font-spec objects.
23642 Limit Symbola usage some more
23643 * lisp/international/fontset.el (setup-default-fontset): Limit
23644 Symbol coverage of Currency Symbols to u+20B6..u+20CF.
23647 2015-06-15 Nicolas Petton <nicolas@petton.fr>
23649 * lisp/emacs-lisp/map.el (map-let): Better docstring.
23651 2015-06-15 Paul Eggert <eggert@cs.ucla.edu>
23653 * test/automated/help-fns.el (abc\\\[universal-argument\]b\`c\'d\\e\"f):
23654 (help-fns-test-funny-names): Spelling fixes.
23656 2015-06-14 Glenn Morris <rgm@gnu.org>
23658 * lisp/version.el (emacs-repository-version-git): Demote errors.
23659 Check result is a hash.
23661 2015-06-14 Artur Malabarba <bruce.connor.am@gmail.com>
23663 * lisp/emacs-lisp/package.el (package--with-work-buffer-async):
23664 Catch errors that happen before going async. (Bug#20809)
23666 2015-06-14 Eli Zaretskii <eliz@gnu.org>
23668 Another improvement of documentation of set-fontset-font
23669 * doc/lispref/display.texi (Fontsets): Say explicitly that
23670 CHARACTER can be a single codepoint.
23671 * src/fontset.c (Fset_fontset_font): Doc fix.
23673 Another improvement for symbol and punctuation characters
23674 * lisp/international/fontset.el (setup-default-fontset): Exclude
23675 from Symbola character ranges for symbols and punctuation covered
23676 well by popular Unicode fonts. Prefer fixed-misc Unicode font, if
23677 installed and where its coverage of symbols and punctuation is
23678 known to be good. (Bug#20727)
23680 2015-06-14 Christoph Wedler <christoph.wedler@sap.com>
23682 Some generic support for multi-mode indentation.
23683 * lisp/progmodes/prog-mode.el (prog-indentation-context):
23685 (prog-first-column, prog-widen): New convenience functions.
23687 2015-06-14 Artur Malabarba <bruce.connor.am@gmail.com>
23689 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-print):
23690 Don't assume that `tabulated-list-printer' will leave point at the
23691 end of the buffer. (Bug#20810)
23693 2015-06-13 Glenn Morris <rgm@gnu.org>
23695 Tweaks for getting repository version; a bit more like it was for bzr
23696 * lisp/version.el (emacs-repository-version-git)
23697 (emacs-repository--version-git-1): New functions,
23698 split from emacs-repository-get-version.
23699 (emacs-repository-get-version): Make the second argument meaningful.
23701 * lisp/startup.el (command-line-1): Inform if skipping relative
23702 file names due to deleted PWD.
23704 * src/xsmfns.c (x_session_initialize): Avoid libSM crash
23705 when starup directory is missing. (Bug#18851)
23706 (errno.h): Include it.
23708 2015-06-13 Paul Eggert <eggert@cs.ucla.edu>
23710 Better fix for documenting `X as "`X"
23711 Fix suggested by Stefan Monnier.
23712 * lisp/help-fns.el (help-fns--signature):
23713 Insert "`X", not "(\` X)", when documenting `X (Bug#20759).
23714 * lisp/help.el (help-split-fundoc, help--make-usage-docstring):
23715 Don't treat `X specially, as help-fns--signature now handles this.
23717 2015-06-13 Eli Zaretskii <eliz@gnu.org>
23719 Improve the default fontset when Symbola is not installed
23720 * lisp/international/fontset.el (setup-default-fontset): Only
23721 prepend Symbola and FreeMono font specs for symbols and
23722 punctuation; do not replace the default spec for them. This
23723 should have better results when Symbola/FreeMono are not
23724 installed. (Bug#20727)
23726 Improve documentation of ':lang' in font specs
23727 * src/font.c (Ffont_spec): Doc fix: elaborate on the values and
23728 use of the ':lang' property of the font spec.
23729 * doc/emacs/frames.texi (Fonts): Document the language names that
23730 can be in the STYLE part of XLFD.
23731 * doc/lispref/display.texi (Low-Level Font): Document the ':lang'
23734 * nt/README: Don't advertise the (obsolescent) w32 FAQ.
23736 * nt/README.W32: Don't advertise the (obsolescent) w32 FAQ.
23738 Revert last change in fontset.el
23739 * lisp/international/fontset.el (setup-default-fontset): Revert
23740 the change "Configure Symbola font only if installed", since font
23741 search is evidently not yet set up when this function is called.
23744 2015-06-12 Glenn Morris <rgm@gnu.org>
23746 Ensure early startup warnings are visible at the end. (Bug#20792)
23747 * lisp/emacs-lisp/warnings.el (display-warning):
23748 If startup isn't complete, delay the warning.
23749 * lisp/startup.el (normal-top-level, command-line):
23750 Let display-warning automatically handle the needed delays.
23751 Run delayed-warnings-hook.
23753 * lisp/version.el (emacs-repository-get-version):
23754 Avoid calling external executable if possible. (Bug#20799)
23756 2015-06-12 Paul Eggert <eggert@cs.ucla.edu>
23758 Document `X as "`X", not as "(` X)"
23759 * lisp/help.el (help-split-fundoc, help--make-usage-docstring):
23760 Document (backquote FOO) as "`FOO", not as "(` FOO)" (Bug#20759).
23762 * src/print.c (print_object): Minor simplification.
23764 2015-06-12 Glenn Morris <rgm@gnu.org>
23766 * src/buffer.c (init_buffer): Add final newline to message.
23768 2015-06-12 Paul Eggert <eggert@cs.ucla.edu>
23770 Configure Symbola font only if installed
23771 * lisp/international/fontset.el (setup-default-fontset):
23772 Don't specify the Symbola font if it's not installed.
23773 Likewise for FreeMono. (Bug#20727)
23775 2015-06-12 Eli Zaretskii <eliz@gnu.org>
23777 Configure Symbola font only for symbols and punctuation
23778 * lisp/international/fontset.el (setup-default-fontset): Leave
23779 only symbols and punctuation in the fontset setup for Symbola
23780 font; remove "Greek and Coptic" and "Cyrillic Supplement".
23783 2015-06-12 Andreas Schwab <schwab@linux-m68k.org>
23785 Fix crash in fontset-info
23786 * src/fontset.c (Ffontset_info): Check that the RFONT-DEF elt is
23789 2015-06-12 Paul Eggert <eggert@cs.ucla.edu>
23791 Port to Solaris 10 sparc + Sun C 5.13
23792 * configure.ac (SETUP_SLAVE_PTY) [sol2* | unixware]:
23793 Adjust to process.c change.
23794 * src/process.c (create_process): Declare volatile variables at
23795 top level of this function, so that they're less likely to be
23796 reused later in the function in the code executed by the vforked
23797 child. Do not declare locals used only in the vforked child, as
23798 they might share memory with locals still live in the parent.
23799 Instead, use the same variables in the child as in the parent.
23800 This works around a subtle bug that causes a garbage collector
23801 crash when Emacs is built with Sun C 5.13 sparc on Solaris 10.
23803 2015-06-12 Glenn Morris <rgm@gnu.org>
23805 * lisp/startup.el (normal-top-level): Don't let *Messages* get
23806 a nil default-directory.
23808 2015-06-11 Glenn Morris <rgm@gnu.org>
23810 * lisp/startup.el (normal-top-level): Use delay-warning. (Bug#20792)
23812 Some progress towards starting with PWD deleted. (Bug#18851)
23813 * src/buffer.c (init_buffer): Handle get_current_dir_name failures.
23814 * lisp/startup.el (normal-top-level, command-line-1):
23815 * lisp/minibuffer.el (read-file-name-default):
23816 Handle default-directory being nil.
23818 2015-06-11 Paul Eggert <eggert@cs.ucla.edu>
23820 Fix "not a tty" bug on Solaris 10
23821 * configure.ac (PTY_OPEN): Define to plain 'open'
23822 on SVR4-derived hosts, so that the O_CLOEXEC flag isn't set.
23823 * src/process.c (allocate_pty): Set the O_CLOEXEC flag after
23824 calling PTY_TTY_NAME_SPRINTF, for the benefit of SVR4-derived
23825 hosts that call grantpt which does its work via a setuid subcommand
23826 (Bug#19191, Bug#19927, Bug#20555, Bug#20686).
23827 Also, set O_CLOEXEC even if PTY_OPEN is not defined, since it
23828 seems relevant in that case too.
23830 2015-06-11 Juri Linkov <juri@linkov.net>
23832 * lisp/bindings.el (debug-ignored-errors): Add mark-inactive.
23833 * lisp/simple.el (kill-region): Replace 'error' with 'user-error'.
23836 2015-06-11 Glenn Morris <rgm@gnu.org>
23838 * lisp/international/characters.el (char-script-table): Fix typo.
23840 2015-06-11 Paul Eggert <eggert@cs.ucla.edu>
23842 Fix quoting of help for functions with odd names
23843 While investigating Bug#20759, I discovered other quoting problems:
23844 C-h f mishandled characters like backslash and quote in function names.
23845 This fix changes the behavior so that 'C-h f pcase RET' now
23846 generates "... (\` QPAT) ..." instead of "... (` QPAT) ...",
23847 because '(format "%S" '(` FOO))' returns "(\\` FOO)". A comment
23848 in src/lread.c's read1 function says that the backslash will be
23849 needed starting in Emacs 25, which implies that 'format' is
23850 correct and the old pcase documention was wrong to omit the backslash.
23851 * lisp/emacs-lisp/nadvice.el (advice--make-docstring):
23852 * lisp/help-fns.el (help-fns--signature):
23853 * lisp/help.el (help-add-fundoc-usage):
23854 * lisp/progmodes/elisp-mode.el (elisp-function-argstring):
23855 Use help--make-usage-docstring rather than formatting
23857 * lisp/emacs-lisp/pcase.el (pcase--make-docstring):
23858 Return raw docstring.
23859 * lisp/help-fns.el (help-fns--signature): New arg RAW, to return
23860 raw docstring. Take more care to distinguish raw from cooked dstrings.
23861 (describe-function-1): Let help-fns--signature substitute
23863 * lisp/help.el (help--docstring-quote): New function.
23864 (help-split-fundoc): Use it, to quote funny characters more
23866 (help--make-usage): Rename from help-make-usage, since this
23867 should be private. Leave an obsolete alias for the old name.
23868 (help--make-usage-docstring): New function.
23869 * test/automated/help-fns.el (help-fns-test-funny-names): New test.
23871 2015-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
23873 * lisp/thingatpt.el (in-string-p): Revert last change,
23874 since in-string-p is not used in thingatpt.el but only from outside.
23875 Also, use lexical binding.
23877 2015-06-11 Artur Malabarba <bruce.connor.am@gmail.com>
23879 * lisp/emacs-lisp/let-alist.el (let-alist--deep-dot-search): Fix cons.
23880 * test/automated/let-alist.el (let-alist-cons): Test it.
23882 2015-06-11 Nicolas Richard <theonewiththeevillook@yahoo.fr>
23884 * src/syntax.c (Fbackward_prefix_chars): Reword docstring.
23886 2015-06-10 Glenn Morris <rgm@gnu.org>
23888 * build-aux/gitlog-to-emacslog: Also ignore pointless merge commits.
23890 Improve generated ChangeLog for gitmerge.el commits. (Bug#20717)
23891 * build-aux/gitlog-to-changelog: Handle gitmerge.el skipped commits.
23892 * admin/gitmerge.el (gitmerge-commit-message):
23893 Exclude "skipped" messages from ChangeLog once again.
23895 Slight namespace cleanup for thingatpt.el.
23896 * lisp/thingatpt.el (thing-at-point--in-string-p)
23897 (thing-at-point--end-of-sexp, thing-at-point--beginning-of-sexp)
23898 (thing-at-point--read-from-whole-string): Rename from
23899 old versions without "thing-at-point--" prefix.
23900 Keep old versions as obsolete aliases. Update all uses.
23902 * lisp/emacs-lisp/checkdoc.el (checkdoc-get-keywords):
23903 Move requiring of finder from here...
23904 (checkdoc-package-keywords): ... to here.
23906 Use 'user-error' in a few calendar files.
23907 * lisp/calendar/appt.el (appt-add):
23908 * lisp/calendar/calendar.el (calendar-absolute-from-gregorian)
23909 (calendar-generate):
23910 * lisp/calendar/diary-lib.el (diary-mail-entries, diary-cyclic):
23911 Replace 'error' with 'user-error'.
23913 * lisp/progmodes/f90.el (f90-backslash-not-special): Use user-error.
23915 * lisp/files-x.el (add-file-local-variable):
23916 Special-case 'lexical-binding'. (Bug#20641)
23918 * lisp/progmodes/executable.el (executable-self-display): Obsolete.
23919 No longer autoload.
23920 * doc/misc/autotype.texi (Executables):
23921 Undocument executable-self-display.
23923 * lisp/progmodes/executable.el (executable-self-display):
23924 Use non-obsolete tail syntax. (Bug#20779)
23925 (executable-self-display): Doc update.
23927 2015-06-10 Stefan Monnier <monnier@iro.umontreal.ca>
23929 * lisp/emacs-lisp/checkdoc.el: Use lexical-binding
23930 (finder-known-keywords): Silence byte-compiler.
23932 2015-06-09 Stefan Monnier <monnier@iro.umontreal.ca>
23934 * lisp/simple.el (eval-expression): Macroexpand before evaluating
23937 * lisp/progmodes/sh-script.el: Better handle nested quotes.
23938 (sh-here-doc-open-re): Don't mis-match the <<< operator (bug#20683).
23939 (sh-font-lock-quoted-subshell): Make sure double quotes within single
23940 quotes don't mistakenly end prematurely the surrounding string.
23942 * lisp/progmodes/elisp-mode.el: Require cl-lib for cl-defstruct.
23944 2015-06-09 Glenn Morris <rgm@gnu.org>
23946 * test/automated/Makefile.in (ELFILES): Sort.
23948 * Makefile.in (SUBDIR_MAKEFILES):
23949 * lwlib/Makefile.in (WARN_CFLAGS):
23950 Use built-in Make functions rather than echo+sed.
23952 2015-06-09 Eli Zaretskii <eliz@gnu.org>
23954 Update char-script-table
23955 * lisp/international/characters.el (char-script-table): Update
23956 from Unicode 8.0 Draft.
23958 Improve font selection for punctuation and other symbols
23959 * src/fontset.c (face_for_char): If the character's script is
23960 'symbol', and the font used for ASCII face has a glyph for it, use
23961 the font for the ASCII face instead of searching the fontsets.
23962 This comes instead of NS-specific code that used the current
23963 face's font instead, which is now disabled due to undesirable
23964 consequences. (Bug#20727)
23966 2015-06-08 Dmitry Gutov <dgutov@yandex.ru>
23968 * lisp/progmodes/elisp-mode.el (elisp-completion-at-point):
23969 Skip past `#' to find BEG (bug#20771).
23970 * test/automated/elisp-mode-tests.el
23971 (elisp-completes-functions-after-hash-quote): New test.
23973 2015-06-08 Eli Zaretskii <eliz@gnu.org>
23975 Fix compilation warning/error in --without-x builds
23976 * src/xdisp.c (append_space_for_newline): Condition GUI-specific
23977 code on HAVE_WINDOW_SYSTEM.
23979 Improve the default fontset wrt symbols
23980 * lisp/international/fontset.el (setup-default-fontset): Better
23981 setup of fontset-default for symbols: use Symbola and FreeMono.
23984 2015-06-08 Oleh Krehel <ohwoeowho@gmail.com>
23986 Add new command checkdoc-package-keywords
23987 * lisp/emacs-lisp/checkdoc.el (checkdoc-package-keywords-flag):
23989 (checkdoc-list-of-strings-p): Add doc.
23990 (checkdoc-current-buffer): When `checkdoc-package-keywords-flag' is
23991 non-nil, call `checkdoc-package-keywords'.
23992 (checkdoc-get-keywords): New defun.
23993 (checkdoc-package-keywords): New command. Warns if the current file
23994 has package.el-style keywords that aren't in `finder-known-keywords'.
23995 * etc/NEWS: Add entry.
23997 2015-06-08 Eli Zaretskii <eliz@gnu.org>
23999 Avoid crashes when key-binding is called from a timer
24000 * src/keymap.c (Fkey_binding): Don't segfault if called with an
24001 empty vector as KEY. (Bug#20705)
24003 Fix a thinko in arc-mode.el
24004 * lisp/arc-mode.el (archive-zip-summarize): Fix last change in the
24005 non-Zip64 case. (Bug#20769)
24007 2015-06-08 Artur Malabarba <bruce.connor.am@gmail.com>
24009 * lisp/emacs-lisp/package.el (package-delete): Make interactive.
24011 2015-06-08 Oleh Krehel <ohwoeowho@gmail.com>
24013 * lisp/emacs-lisp/checkdoc.el (checkdoc-file): New function.
24014 (checkdoc-error): When `checkdoc-diagnostic-buffer' is set to
24015 "*warn*", print the warning to the standard output. (bug#20754)
24017 2015-06-07 Glenn Morris <rgm@gnu.org>
24019 * admin/update_autogen (changelog_files): Remove gitlog-to-emacslog.
24021 * src/font.c (syms_of_font) <font-log>: Doc fix.
24023 Remove the obsolete leading "*" from some C doc strings.
24024 * src/coding.c (syms_of_coding):
24025 * src/font.c (syms_of_font): Remove leading "*" from docs.
24026 * lisp/cus-start.el (enable-character-translation): Add it.
24028 2015-06-07 Paul Eggert <eggert@cs.ucla.edu>
24030 Move gen_origin from program to data
24031 That way, 'make change-history' needs to change only ChangeLog.2,
24032 instead of having to change two files.
24033 * ChangeLog.2: Add commit info for range that this file covers.
24034 * Makefile.in (new_commit_regexp): New macro.
24035 (change-history-nocommit): Simplify, by putting what used to be
24036 the gen_origin value into the data (ChangeLog.2) rather than
24037 into the program (gitlog-to-emacslog).
24038 * build-aux/gitlog-to-emacslog (gen_origin): Calculate from
24039 the input file (e.g., ChangeLog.2) rather than by having a
24040 constant in the program. Substitute it into the output.
24042 2015-06-07 Dmitry Gutov <dgutov@yandex.ru>
24044 * lisp/help-fns.el (help-fns--signature): Quote any quotes in the
24045 function name (bug#20759).
24047 2015-06-07 Eli Zaretskii <eliz@gnu.org>
24049 Adapt 'struct timespec' to next release of MinGW runtime
24050 * nt/inc/ms-w32.h (struct timespec): Don't declare if
24051 __struct_timespec_defined is defined.
24053 2015-06-06 Paul Eggert <eggert@cs.ucla.edu>
24057 2015-06-06 acl-permissions: pacify -Wsuggest-attribute=const
24058 2015-06-05 stdio: Don't redefine gets when using C++
24059 2015-06-05 acl-permissions: port to AIX, C89 HP-UX
24060 2015-06-02 file-has-acl: fix build on Mac OS X 10
24061 2015-06-01 gnulib-tool: concatenate lib_SOURCES to a single line
24062 2015-06-01 pthread_sigmask: discount system version if a simple macro
24063 2015-05-31 readlinkat: avoid OS X 10.10 trailing slash bug
24064 * doc/misc/texinfo.tex, lib/acl-internal.h, lib/get-permissions.c:
24065 * lib/readlinkat.c, lib/set-permissions.c, lib/stdio.in.h:
24066 * m4/acl.m4, m4/pthread_sigmask.m4, m4/readlinkat.m4: Copy from gnulib.
24067 * lib/gnulib.mk: Regenerate.
24069 2015-06-06 Juri Linkov <juri@linkov.net>
24071 * lisp/progmodes/grep.el (zrgrep): Let-bind grep-highlight-matches
24072 before calling grep-compute-defaults because now it affects the
24073 command lines computed in grep-compute-defaults. (Bug#20728)
24075 2015-06-06 Glenn Morris <rgm@gnu.org>
24077 Address some compilation warnings.
24078 * lisp/international/mule-cmds.el (w32-get-console-codepage)
24079 (w32-get-console-output-codepage):
24080 * lisp/progmodes/elisp-mode.el (xref-collect-references):
24081 * lisp/version.el (cairo-version-string): Declare.
24082 * lisp/erc/erc.el (erc-nickname-in-use): Fix typo.
24084 2015-06-06 Eli Zaretskii <eliz@gnu.org>
24086 Fix display when a font claims large values of ascent and descent
24087 This fixes bug#20628.
24088 * src/xdisp.c (get_phys_cursor_geometry): Correct the Y
24089 coordinate of a hollow cursor glyph when the original glyph's
24090 ascent is too small.
24091 (get_font_ascent_descent, normal_char_ascent_descent)
24092 (normal_char_height): New functions.
24093 (handle_single_display_spec, append_space_for_newline)
24094 (calc_pixel_width_or_height, produce_stretch_glyph)
24095 (calc_line_height_property): Use normal_char_ascent_descent and
24096 normal_char_height.
24097 (x_produce_glyphs): When font-global values of ascent and descent
24098 are too large, use per-character glyph metrics instead, if
24099 possible. But don't allow the glyph row's ascent and descent
24100 values become smaller than the values from the metrics of the
24101 font's "normal" character.
24102 * src/xftfont.c (xftfont_draw):
24103 * src/w32font.c (w32font_draw): Correct the values of ascent and
24104 descent used to draw glyphless characters' hex code in a box.
24105 * src/xterm.c (x_draw_glyph_string_background):
24106 * src/xdisp.c (x_produce_glyphs):
24107 * src/w32term.c (x_draw_glyph_string_background):
24108 * src/nsterm.m (ns_maybe_dumpglyphs_background): Use FONT_TOO_HIGH
24109 to detect fonts whose global ascent and descent values are too
24110 large to be used in layout decision, and redraw the background
24112 * src/dispextern.h (FONT_TOO_HIGH): New macro.
24113 (get_font_ascent_descent): Add prototype.
24114 * src/xterm.c (x_new_font):
24115 * src/w32term.c (x_new_font):
24116 * src/nsterm.m (x_new_font):
24117 * src/font.c (font_open_entity):
24118 * src/composite.c (composition_gstring_width):
24119 Use get_font_ascent_descent to obtain reasonable values for ascent
24120 and descent of a font.
24122 2015-06-06 Nicolas Richard <youngfrog@members.fsf.org>
24124 Add assertion in adjust_point_for_property
24125 * src/keyboard.c (adjust_point_for_property): Add eassert for
24126 current buffer being shown in selected window.
24128 2015-06-06 Dmitry Gutov <dgutov@yandex.ru>
24130 Replace uses of in-string-p; make it obsolete
24131 * lisp/thingatpt.el (in-string-p): Declare obsolete (bug#20732).
24132 (end-of-sexp, beginning-of-sexp): Use syntax-ppss instead.
24134 2015-06-06 Eli Zaretskii <eliz@gnu.org>
24136 Fix Dired display of an explicit list of files by ls-lisp.el
24137 * lisp/ls-lisp.el (ls-lisp-uid-d-fmt, ls-lisp-uid-s-fmt)
24138 (ls-lisp-gid-d-fmt, ls-lisp-gid-s-fmt): Make the initial values be
24139 correct for when displaying individual files separately, not as
24140 part of listing a directory, in which case these values are not
24141 recomputed by 'ls-lisp-insert-directory', but used verbatim.
24143 * lisp/dired.el (dired): Doc fix. (Bug#20739)
24145 2015-06-06 Nicolas Richard <youngfrog@members.fsf.org>
24147 Do not adjust point in a non-selected window
24148 * src/keyboard.c (command_loop_1): Do not adjust point when
24149 current buffer is not shown in selected window (Bug#20590).
24151 * etc/DEBUG: Mention 'maybe_call_debugger'
24153 2015-06-05 Nicolas Petton <nicolas@petton.fr>
24155 Fix a unit test for map.el
24156 * test/automated/map-tests.el (test-map-let): Fix the test to work
24157 with the new syntax of `map-let'.
24159 * lisp/emacs-lisp/map.el (map-let): Better docstring.
24161 Better syntax for the map pcase pattern
24162 * lisp/emacs-lisp/map.el: Improves the map pcase pattern to take
24163 bindings of the form (KEY PAT) or SYMBOL. KEY is not quoted.
24165 * lisp/emacs-lisp/map.el (map--dispatch): Better docstring.
24167 Fix a byte-compiler error in map-put and map-delete
24168 * lisp/emacs-lisp/map.el (map-put, map-delete): Ensure that `setq' is
24169 called with a symbol.
24171 2015-06-05 Glenn Morris <rgm@gnu.org>
24173 * admin/gitmerge.el (gitmerge-commit-message):
24174 Revert to including "skipped" messages in ChangeLog once again.
24176 2015-06-05 Tassilo Horn <tsdh@gnu.org>
24178 Use string> instead of equiv lambda with string<
24179 * lisp/help.el (view-emacs-news): Use string> instead of equivalent
24180 lambda with string<.
24182 2015-06-05 Glenn Morris <rgm@gnu.org>
24184 * lisp/emacs-lisp/map.el (map--dispatch): Move before use.
24185 (map--delete-array): Fix typo.
24187 * test/automated/map-tests.el: Replace "assert" with "should".
24189 * lisp/Makefile.in (SUBDIRS): Rename from SUBDIRS_ABS.
24190 (SUBDIRS_REL): Derive from SUBDIRS.
24192 Tweak some build messages.
24193 * lisp/Makefile.in ($(lisp)/loaddefs.el):
24194 * lisp/cus-dep.el (custom-make-dependencies):
24195 * lisp/finder.el (finder-compile-keywords): Say what we are doing.
24196 * lisp/international/titdic-cnv.el (batch-titdic-convert):
24197 Don't say how to compile.
24199 2015-06-05 Paul Eggert <eggert@cs.ucla.edu>
24201 Omit U+0332 COMBINING LOW LINE in previous change
24202 It turns out that it does not work on Ubuntu 15.04.
24204 Fix transliteration of Bahá'í months
24205 * lisp/calendar/cal-bahai.el (calendar-bahai-month-name-array):
24206 Improve quality of Latin transliteration of Bahá'í month names.
24208 Fix curved quotes in a few places
24209 * lisp/calc/calc-misc.el (calc-help): Fix quoting.
24210 The strings in question are not doc strings, so this partially
24211 undoes the recent change that assumed they were doc strings.
24212 * lisp/cedet/srecode/srt-mode.el (srecode-macro-help):
24213 * lisp/info.el (Info-finder-find-node):
24215 * lisp/emacs-lisp/derived.el (derived-mode-make-docstring):
24216 Also allow curved quotes in doc strings.
24218 2015-06-04 Glenn Morris <rgm@gnu.org>
24220 * lisp/Makefile.in (AM_V_at): Add missing definition.
24222 * lisp/Makefile.in: Quieten output a bit.
24223 ($(lisp)/cus-load.el, $(lisp)/finder-inf.el):
24224 Don't echo directories, since the commands we invoke print them.
24226 * lisp/Makefile.in: Replace shell fragments in variables with $(shell).
24227 (SUBDIRS_REL, SUBDIRS_ABS, SUBDIRS_ALMOST, SUBDIRS_FINDER)
24228 (SUBDIRS_SUBDIRS): New variables.
24229 (setwins, setwins_almost, setwins_finder, setwins_for_subdirs):
24231 ($(lisp)/cus-load.el, $(lisp)/finder-inf.el, $(lisp)/loaddefs.el)
24232 (update-subdirs, compile-main, compile-clean):
24233 Replace "setwins" usage with new "SUBDIRS" variables.
24235 * lisp/vc/compare-w.el (compare-windows-get-window-function):
24238 2015-06-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
24240 * src/ftfont.c (ftfont_open2): Round divisions by upEM.
24242 Undo removal of x_clear_area call on expose for GTK3 or cairo
24243 * src/xterm.c (handle_one_xevent) [HAVE_GTK3 || USE_CAIRO]:
24244 Clear exposed area. (Bug#20677)
24246 2015-06-04 Glenn Morris <rgm@gnu.org>
24248 * doc/lispref/hash.texi (Creating Hash): Remove obsolete makehash.
24250 * lisp/Makefile.in (check-defun-dups): Also skip ldefs-boot.
24252 * lisp/leim/quail/lrt.el (quail-lrt-update-translation):
24253 Rename from quail-lao-update-translation, since lao.el defines that.
24255 2015-06-04 Dmitry Gutov <dgutov@yandex.ru>
24257 Handle new-style advice in find-funct
24258 * lisp/emacs-lisp/find-func.el (find-function-advised-original):
24259 Handle new-style advice. Return the symbol's function definition.
24261 (find-function-library): Update accordingly.
24263 2015-06-04 Nicolas Petton <nicolas@petton.fr>
24267 * lisp/emacs-lisp/map.el: Better docstring for the map pcase macro.
24269 Add new function string-greaterp
24270 * lisp/subr.el (string-greaterp): New function. Also aliased to
24272 * test/automated/subr-tests.el (string-comparison-test): Add unit
24273 tests for `string>'and `string<'.
24274 * src/fns.c (string-lessp): Better docstring.
24276 2015-06-04 Eli Zaretskii <eliz@gnu.org>
24278 Fix timezone-related functions on MS-Windows
24279 * src/editfns.c (set_time_zone_rule) [WINDOWSNT]: Always call
24280 'xputenv', even if no reallocation of tzvalbuf was necessary.
24281 This fixes a bug in timezone-related functions on MS-Windows.
24282 Reported by Fabrice Popineau <fabrice.popineau@gmail.com>.
24284 2015-06-03 Paul Eggert <eggert@cs.ucla.edu>
24286 Don't pass raw directory name to 'error'
24287 * lisp/files.el (basic-save-buffer-2): Avoid format error if
24288 a directory name contains a string like "%s".
24290 2015-06-03 Dmitry Gutov <dgutov@yandex.ru>
24292 Override 'grep --color=always'
24293 * lisp/progmodes/xref.el (xref-collect-matches):
24294 Override --color=always in grep-find-template.
24296 2015-06-03 Michael Albinus <michael.albinus@gmx.de>
24298 Fix error introduced recently in file-notify-tests.el
24299 * test/automated/file-notify-tests.el
24300 (file-notify--test-remote-enabled): Do not use `file-notify--test-desc'.
24301 (file-notify--deftest-remote): Revert previous patch, not
24304 2015-06-03 Wolfgang Jenkner <wjenkner@inode.at>
24306 * src/indent.c (Fvertical_motion): Amend motion by 0 lines.
24307 Starting from a display string after a newline, point went to the
24308 previous line. Also, fix an inadvertent use of a buffer position
24309 with FETCH_BYTE. (Bug#20701)
24311 2015-06-03 Michael Albinus <michael.albinus@gmx.de>
24313 Instrument file-notify-test.el in order to catch hydra error
24314 * test/automated/file-notify-tests.el (file-notify--deftest-remote):
24315 Wrap body by `ignore-case', in order to trap non-local errors.
24317 2015-06-03 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
24319 Undo previous changes in non-toolkit scroll bar drawing
24320 * src/xterm.c (x_scroll_bar_set_handle, x_scroll_bar_expose)
24321 [!USE_TOOLKIT_SCROLL_BARS]: Draw into scroll bar window. (Bug#20668)
24323 2015-06-03 Paul Eggert <eggert@cs.ucla.edu>
24325 * .gitignore: Also ignore doc/*/*/*.html and .ps.
24327 Support quotes 'like this' in info files
24328 This is possible when 'makeinfo --disable-encoding' is used
24330 * lisp/calc/calc-help.el (calc-describe-thing):
24331 * lisp/gnus/gnus-art.el (gnus-button-alist):
24332 * lisp/info.el (Info-find-index-name):
24333 * lisp/vc/ediff-help.el (ediff-help-for-quick-help):
24334 Also support quotes 'like this'.
24335 * lisp/calc/calc-help.el (calc-describe-thing): Simplify.
24336 * lisp/finder.el (finder-font-lock-keywords): Remove var that
24337 hasn't been used in years, instead of bothering to fix its quoting.
24339 2015-06-02 Paul Eggert <eggert@cs.ucla.edu>
24341 * .gitignore: Remove !test/etags/html-src/*.html.
24342 It's no longer needed, since *.html was removed. Sort.
24344 2015-06-02 Dmitry Gutov <dgutov@yandex.ru>
24346 Restore <D> instead of '.' in grep-find-template
24347 * lisp/cedet/semantic/symref/grep.el
24348 (semantic-symref-grep-use-template): Update a comment.
24349 * lisp/progmodes/grep.el (grep-compute-defaults): Restore <D>
24350 instead of '.' in grep-find-template (bug#20719).
24351 (rgrep): Pass nil as the directory to rgrep-default-command.
24352 * lisp/progmodes/grep.el (grep-expand-keywords): Use '.' as the
24353 default value for DIR.
24354 * lisp/progmodes/xref.el (xref-collect-matches): Drop the
24357 2015-06-02 Glenn Morris <rgm@gnu.org>
24359 * configure.ac (emacs_config_features): Add X toolkit and scroll-bars.
24361 * configure.ac (emacs_config_features): Add Cairo.
24363 * configure.ac [HAVE_GTK3]: Remove USE_CAIRO that gets reset later.
24365 2015-06-02 Michael Albinus <michael.albinus@gmx.de>
24367 Ensure that autorevert works for remote files in file-notify-tests.el
24368 * test/automated/file-notify-tests.el (file-notify--test-desc):
24370 (file-notify--test-remote-enabled)
24371 (file-notify-test00-availability, file-notify-test01-add-watch)
24372 (file-notify-test02-events): Use it.
24373 (file-notify--test-event-test): Check proper descriptor.
24374 (file-notify-test03-autorevert): Ensure that
24375 `visited-file-modtime' has changed. (Bug#20392)
24377 2015-06-02 Nicolas Petton <nicolas@petton.fr>
24379 Add a pcase pattern for maps and `map-let' based on it
24380 * lisp/emacs-lisp/map.el (map-let): New macro.
24381 (map--make-pcase-bindings, map--make-pcase-patterns): New functions.
24382 * test/automated/map-tests.el: New test for `map-let'.
24384 2015-06-02 Dmitry Gutov <dgutov@yandex.ru>
24386 Reuse rgrep mechanics in xref-find-regexp
24387 * lisp/progmodes/grep.el (rgrep-default-command):
24388 Extract from `rgrep'.
24389 * lisp/progmodes/xref.el (xref-collect-references): Split from
24390 `xref-collect-matches'. Only handle the case of symbol search.
24391 (xref-collect-matches): Instead of Semantic Symref, use
24392 `rgrep-default-command', to take advantage of its directory and
24393 file ignore settings.
24394 (xref--collect-match): Remove the last argument, leaving the
24395 regexp construction up to the caller.
24396 * lisp/progmodes/elisp-mode.el (elisp--xref-find-matches):
24397 Change to take the xref-collect- function to use as an argument.
24398 (elisp-xref-find): Update accordingly.
24399 * lisp/progmodes/etags.el (etags--xref-find-matches)
24400 (etags-xref-find): Same.
24402 Move xref-elisp-location to elisp-mode.el
24403 * lisp/progmodes/xref.el (xref-elisp-location)
24404 (xref-make-elisp-location, xref-location-marker): Remove here.
24405 (xref--xref): Don't limit the type of the location slot.
24406 * lisp/progmodes/elisp-mode.el (xref-elisp-location):
24407 Define as a cl-struct here.
24408 (xref-location-marker): Move here.
24410 2015-06-02 Eli Zaretskii <eliz@gnu.org>
24412 Minor tweaks for .gitignore
24413 * .gitignore: Don't ignore versioned *.html and *.ps files.
24414 Don't ignore admin/notes/tags that might be ignored as TAGS
24415 on case-insensitive filesystems. (Bug#20710)
24417 2015-06-02 Paul Eggert <eggert@cs.ucla.edu>
24419 Generate curved quotes in ert doc
24420 * lisp/emacs-lisp/ert.el (ert--print-test-for-ewoc)
24421 (ert-results-mode-menu)
24422 (ert-results-pop-to-backtrace-for-test-at-point)
24423 (ert-results-pop-to-messages-for-test-at-point)
24424 (ert-results-pop-to-should-forms-for-test-at-point)
24425 (ert-describe-test):
24426 Quote ‘like this’, not `like this', when generating doc strings
24428 * test/automated/ert-x-tests.el (ert-test-describe-test):
24429 Allow quoting ‘like this’.
24431 2015-06-02 Nicolas Richard <youngfrog@members.fsf.org>
24433 Add test for previous commit
24434 * test/automated/replace-tests.el: New file.
24435 (query-replace--split-string-tests): Add test for previous commit.
24437 Avoid confusion in query-replace history when replacing NUL chars
24438 * lisp/replace.el (query-replace--split-string): New function.
24439 (query-replace-read-from): Rely on the 'separator' property
24440 instead of searching for the NUL character (Bug#20690).
24442 2015-06-02 Glenn Morris <rgm@gnu.org>
24444 Merge from origin/emacs-24
24445 8b5f2f4 * doc/emacs/emacs.texi: Update the ISBN of the Emacs manual.
24447 * admin/gitmerge.el (gitmerge-commit-message):
24448 Exclude "skipped" messages from ChangeLog.
24450 2015-06-01 Michael Albinus <michael.albinus@gmx.de>
24452 Sync with Tramp repository
24453 * lisp/net/tramp.el (tramp-message): Dump connection buffer error
24455 (tramp-handle-make-auto-save-file-name): When calling
24456 `make-auto-save-file-name' internally, make sure it uses Unix-like
24457 behavior, not Windows-like behavior.
24458 * lisp/net/tramp-sh.el (tramp-set-file-uid-gid): Add a timeout for
24459 the local case, because "chown" might fail on w32.
24460 * lisp/net/trampver.el (tramp-repository-get-version): Don't run
24463 2015-06-01 Eli Zaretskii <eliz@gnu.org>
24465 MS-Windows followup for batch stdout/stderr output changes
24466 * lisp/international/mule-cmds.el (set-locale-environment):
24467 In batch mode, use console codepages for keyboard and terminal
24468 encoding. (Bug#20545)
24470 Update .gitattributes for DOS EOL files
24471 * .gitattributes: Use "whitespace=cr-at-eol" for files with DOS
24472 CRLF end-of-line format.
24474 NS equivalents of xterm.c and w32term.c changes
24475 * src/nsterm.m (ns_maybe_dumpglyphs_background): Force redraw of
24476 glyph string background also when the font in use claims
24477 preposterously large global height value. Helps to remove
24478 artifacts left from previous displays when glyphless characters
24479 are displayed as hex code in a box.
24480 (x_new_font): Call get_font_ascent_descent to obtain a reasonable
24481 value for FRAME_LINE_HEIGHT, even when a font claims very large
24482 value for its height.
24484 2015-06-01 Paul Eggert <eggert@cs.ucla.edu>
24486 Avoid grave accent quoting in stderr diagnostics
24487 A few Emacs diagnostics go directly to stderr, and so can't easily
24488 contain curved quotes (as non-UTF-8 locales might mishandle them).
24489 Instead of bothering to add support for this rarity, reword the
24490 diagnostics so that they don't use grave accent to quote.
24491 * src/alloc.c (mark_memory): Fix comment.
24492 * src/buffer.c (init_buffer):
24493 * src/dispnew.c (init_display):
24494 * src/emacs.c (main, sort_args):
24495 * src/lread.c (dir_warning):
24496 * src/term.c (init_tty):
24497 * src/unexmacosx.c (unexec):
24498 * src/xfns.c (select_visual):
24499 * src/xterm.c (cvt_string_to_pixel, x_io_error_quitter):
24500 Reword stderr diagnostics to avoid quoting `like this'.
24501 * src/unexmacosx.c: Include errno.h.
24502 * src/xfns.c (select_visual): Encode value for locale.
24504 2015-05-31 Paul Eggert <eggert@cs.ucla.edu>
24506 Treat batch stdout/stderr like standard display
24507 Calls like (print FOO) could generate improperly encoded or
24508 hard-to-read output if FOO contains characters outside the system
24509 locale. Fix this by treating batch stdout and stderr like
24510 interactive standard display, when it comes to transliterating and
24511 encoding characters (Bug#20545).
24512 * doc/emacs/mule.texi (Communication Coding):
24513 * doc/lispref/display.texi (Active Display Table):
24514 * doc/lispref/nonascii.texi (Locales):
24516 * src/coding.c (syms_of_coding):
24517 * src/dispnew.c (syms_of_display):
24519 * src/print.c: Include disptab.h.
24520 (printchar_to_stream): New function, with much of the guts of the
24521 old Fexternal_debugging_output, except this one also uses the
24522 standard display table.
24523 (printchar, strout, Fexternal_debugging_output): Use it.
24525 2015-05-31 Glenn Morris <rgm@gnu.org>
24527 * src/emacs.c (syms_of_emacs) <system-configuration-features>: Doc fix.
24529 2015-05-31 Paul Eggert <eggert@cs.ucla.edu>
24531 Remove DEFSYMs that aren't used at the C level. Also:
24532 * src/decompress.c (Qzlib_dll):
24533 * src/font.c (Qunicode_sip):
24534 * src/frame.c (Qtip_frame):
24535 * src/ftfont.c (Qserif):
24536 * src/gnutls.c (Qgnutls_dll):
24537 * src/xml.c (Qlibxml2_dll):
24539 * src/w32fns.c (syms_of_w32fns): ... to here,
24540 as these are used only on MS-Windows.
24542 2015-05-31 Michael Albinus <michael.albinus@gmx.de>
24544 Use another default value for tramp-histfile-override
24545 * lisp/net/tramp-sh.el (tramp-histfile-override):
24546 Use ".tramp_history" as default.
24549 2015-05-29 Nicolas Petton <nicolas@petton.fr>
24551 * doc/emacs/emacs.texi: Update the ISBN of the Emacs manual.
24553 2015-05-16 Nicolas Petton <nicolas@petton.fr>
24555 * etc/NEWS: Add an entry about map.el.
24557 Improve the docstring of functions in map.el
24558 Since a map is not a data structure but a concept, adding information
24559 about the possible types of maps can be useful information.
24560 * lisp/emacs-lisp/map.el: Add documentation about the type of MAP to
24561 each public function.
24563 2015-04-29 Nicolas Petton <nicolas@petton.fr>
24565 * lisp/emacs-lisp/map.el (map-empty-p): Faster implementation using
24566 specific tests depending on the type of the map.
24568 * lisp/emacs-lisp/map.el: Better docstrings.
24570 2015-04-25 Artur Malabarba <bruce.connor.am@gmail.com>
24572 * lisp/emacs-lisp/map.el (map-pairs): Dump redundant lambda.
24574 2015-04-25 Nicolas Petton <nicolas@petton.fr>
24576 * lisp/emacs-lisp/map.el (map--elt-list): Better docstring.
24578 * lisp/emacs-lisp/map.el (map--elt-list): Minor refactoring.
24580 Fix a false negative in `map-elt' with alists and values being nil
24581 * lisp/emacs-lisp/map.el (map-elt): If map is an alist and key is
24582 found but its associated value is nil, do not return the default
24584 * test/automated/map-tests.el: Add a regression test.
24586 2015-04-24 Nicolas Petton <nicolas@petton.fr>
24588 * lisp/emacs-lisp/map.el (map--dispatch): Improve the docstring.
24590 Do not signal an error when trying to delete a key from an array
24591 * lisp/emacs-lisp/map.el (map-delete): When map is an array, check if
24592 the key is present to avoid signaling an error.
24593 * test/automated/map-tests.el: Add a test for deleting non-existing
24596 * lisp/emacs-lisp/map.el: Better docstring.
24598 Minor improvement in map-elt
24599 * lisp/emacs-lisp/map.el (map-elt): Do not use `ignore-errors' when
24600 doing a lookup in arrays, but check the boundaries of the array
24602 * test/automated/map-tests.el: Adds a test for `map-elt' with arrays
24603 and a negative integer as key.
24605 2015-04-21 Nicolas Petton <nicolas@petton.fr>
24607 * test/automated/map-tests.el: Refactoring of test methods.
24609 * test/automated/map-tests.el: Renamed from map-test.el.
24611 2015-04-18 Nicolas Petton <nicolas@petton.fr>
24613 * lisp/emacs-lisp/map.el (map-into): Better error message.
24615 * lisp/emacs-lisp/map.el: Remove byte-compilation warnings.
24617 Throw an error when converting a map into an unknown map type
24618 * lisp/emacs-lisp/map.el (map-into): Throw an error if type is
24620 * test/automated/map-tests.el: Add a regression test.
24622 New library map.el similar to seq.el but for mapping data structures.
24623 * test/automated/map-tests.el: New file.
24624 * lisp/emacs-lisp/map.el: New file.
24626 2015-05-30 Dmitry Gutov <dgutov@yandex.ru>
24628 * lisp/progmodes/etags.el (tag-implicit-name-match-p): Make sure
24629 there's no explicit tag name (bug#20629).
24631 2015-05-30 Paul Eggert <eggert@cs.ucla.edu>
24634 * src/editfns.c, src/lisp.h (format2): Remove.
24635 It is more trouble than it's worth, now that we have CALLN.
24636 This is just a minor refactoring.
24637 * src/buffer.c (Fkill_buffer):
24638 * src/dbusbind.c (XD_OBJECT_TO_STRING):
24639 * src/fileio.c (barf_or_query_if_file_exists):
24640 Adjust to format2 going away.
24642 Don't misencode C-generated messages
24643 Also, be more consistent about calls to 'Fmessage' vs 'message'.
24644 * src/alloc.c (Fgc_status):
24645 Prefer AUTO_STRING to build_string for Fmessage call.
24646 * src/data.c (Fmake_variable_buffer_local)
24647 (Fmake_local_variable, Fmake_variable_frame_local):
24648 * src/doc.c (store_function_docstring):
24649 Use Fmessage, not message, since the argument can contain
24650 non-ASCII characters, and this can cause the resulting message
24651 to be incorrectly encoded for the current environment.
24652 * src/fns.c (maybe_resize_hash_table):
24653 * src/xselect.c (x_clipboard_manager_save_all):
24654 Use message, not Fmessage, since Fmessage's power isn't needed here.
24655 * src/process.c (Fmake_network_process): Reword message to avoid %s.
24656 * src/xdisp.c (vmessage): Document restrictions on message contents.
24657 (message_nolog) [false]: Remove unused code.
24659 Use \r rather than ^M in string literals
24660 This is less likely to cause problems on platforms that
24661 use CRLF (or CR!) termination for lines.
24663 Update .gitattributes to match current sources
24664 http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00879.html
24665 * .gitattributes: Accommodate tests that insist on DOS format.
24666 Remove test/automated/data/decompress/foo-gzipped.
24667 Add etc/e/eterm-color.
24669 2015-05-30 Eli Zaretskii <eliz@gnu.org>
24671 * doc/emacs/mule.texi (Modifying Fontsets):
24672 Document face-ignored-fonts. (Bug#20628)
24674 Add etags test for the new -Q option
24675 * test/etags/ETAGS.good_1, test/etags/ETAGS.good_2:
24676 * test/etags/ETAGS.good_3, test/etags/ETAGS.good_4:
24677 * test/etags/ETAGS.good_5, test/etags/CTAGS.good: Adjust to
24678 test-case changes below.
24679 * test/etags/ETAGS.good_6: New file.
24680 * test/etags/cp-src/x.cc: New file.
24681 * test/etags/Makefile (CPSRC): Add x.cc.
24682 (check): Add one more test, for -Q.
24684 2015-05-30 Dmitry Gutov <dgutov@yandex.ru>
24686 Use list for the tags completion table, not obarray
24687 * lisp/progmodes/etags.el (etags-tags-completion-table): Return a
24688 list instead of an obarray
24689 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00876.html).
24690 (tags-completion-table): Combine those lists.
24691 (tags-completion-table): Update the docstring.
24693 2015-05-30 Eli Zaretskii <eliz@gnu.org>
24695 Restore EOL format testing in etags
24696 * test/etags/ETAGS.good_1, test/etags/ETAGS.good_2:
24697 * test/etags/ETAGS.good_3, test/etags/ETAGS.good_4:
24698 * test/etags/ETAGS.good_5, test/etags/CTAGS.good: Adjust to
24699 test-case changes below.
24700 * test/etags/html-src/algrthms.html: Convert back to DOS EOL format.
24701 * test/etags/cp-src/c.C (B): Add back stray CR character.
24702 * test/etags/c-src/dostorture.c: Add back.
24703 * test/etags/Makefile (CSRC): Add back c-src/dostorture.c.
24705 2015-05-30 Vitaly Takmazov <vitalyster@gmail.com> (tiny change)
24707 Declare Emacs on MS-Windows to be DPI-aware
24708 * nt/emacs-x86.manifest (asmv3:windowsSettings): Add dpiAware.
24709 * nt/emacs-x64.manifest (asmv3:windowsSettings): Add dpiAware.
24710 This avoids Windows entering compatibility mode for Emacs,
24711 which causes fonts to look less nice.
24713 2015-05-30 Michael Albinus <michael.albinus@gmx.de>
24715 Improve Tramp traces
24716 * lisp/net/trampver.el (tramp-repository-get-version): New defun.
24717 * lisp/net/tramp.el (tramp-debug-message): Use it.
24719 2015-05-30 Paul Eggert <eggert@cs.ucla.edu>
24721 backup-buffer minor reworking of internals
24722 * lisp/files.el (backup-buffer): Rework to avoid a couple of
24723 unused locals inadvertently introduced in the previous change.
24725 backup-buffer now reports .emacs.d/%backup% ills
24726 * lisp/files.el (backup-buffer): If the write to .emacs.d/%backup%
24727 fails due to disk space exhaustion or whatever, do not pretend
24728 that it succeeded. More generally, do a better job of checking
24729 for I/O failures, and limit the scope of the condition-case to
24730 just the operations where file errors should be caught and ignored
24731 (Bug#20595). Also, don't bother trying to delete later backups if
24732 an earlier deletion fails, as this is a sign of trouble and it's
24733 better to stop when there's trouble.
24735 copy-file now truncates output after writing
24736 * src/fileio.c (Fcopy_file): Truncate output after writing rather
24737 than before. This is more likely to work than truncation before
24738 writing, if the file system is out of space or the user is over
24739 disk quota (Bug#20595). Also, check for read errors.
24741 2015-05-29 Artur Malabarba <bruce.connor.am@gmail.com>
24743 * lisp/emacs-lisp/package.el (package-load-all-descriptors):
24744 Don't load descriptors from directories above the package directories.
24746 2015-05-29 Paul Eggert <eggert@cs.ucla.edu>
24749 This incorporates the following (Bug#20681):
24750 2015-05-29 acl-permissions: Fix build on Mac OS X and older AIX
24751 2015-05-29 acl-permissions: Fix build on Solaris and Cygwin
24752 * lib/set-permissions.c: Copy from gnulib.
24754 2015-05-29 Michael Albinus <michael.albinus@gmx.de>
24756 Improve Tramp traces
24757 * lisp/net/tramp.el (tramp-call-process-region): New defun.
24758 * lisp/net/tramp-sh.el (tramp-get-inline-coding): Use it.
24760 2015-05-29 Glenn Morris <rgm@gnu.org>
24762 * test/automated/vc-tests.el: Try enabling tests on hydra.nixos.org.
24764 2015-05-29 Wolfgang Jenkner <wjenkner@inode.at>
24766 * src/xfns.c (x_set_menu_bar_lines): Fix calls to x_clear_area.
24767 The signature was changed in the cairo branch, merged on 2015-05-23.
24768 This oversight broke compiling only the non-toolkit X version.
24770 2015-05-29 Samer Masterson <samer@samertm.com>
24772 * doc/lispref/os.texi: Update initial-buffer-choice docs.
24774 2015-05-29 Glenn Morris <rgm@gnu.org>
24776 * test/automated/vc-tests.el (vc-test-rcs04-checkout-model):
24777 Mark as an expected failure.
24779 2015-05-29 Paul Eggert <eggert@cs.ucla.edu>
24781 Change package test to look for curved quotes
24782 * test/automated/package-test.el (package-test-describe-package)
24783 (package-test-signed): Search for curved single quotes as well as
24784 for grave accent and apostrophe.
24786 2015-05-28 Katsumi Yamaoka <yamaoka@jpl.org>
24788 * lisp/gnus/gnus-art.el (gnus-button-alist): Re-revert last change.
24789 cf. <http://news.gmane.org/group/gmane.emacs.devel/thread=186896>
24791 2015-05-28 Samer Masterson <samer@samertm.com>
24793 Show files when `initial-buffer-choice' is non-nil
24794 * lisp/startup.el (command-line-1): When Emacs is given a file as an
24795 argument and `initial-buffer-choice' is non-nil, display both the file
24796 and `initial-buffer-choice'. For more than one file, show
24797 `initial-buffer-choice' and *Buffer List*. Refactor display-changing
24798 commands out of the command line arg parser.
24799 (initial-buffer-choice): Clarify docstring.
24801 2015-05-28 Eli Zaretskii <eliz@gnu.org>
24804 * nt/gnulib.mk (libgnu_a_SOURCES): Add get-permissions.c back.
24805 (gnulib module qcopy-acl): Add back, as it is harmless. This
24806 minimizes differences wrt lib/gnulib.mk.
24808 Fix the MS-Windows build as followup to gnulib update
24809 * nt/gnulib.mk (libgnu_a_SOURCES): Remove get-permissions.c and
24810 set-permissions.c, as they don't compile on MinGW.
24811 (gnulib module qcopy-acl): Remove, as it needs set-permissions.c.
24813 2015-05-28 Paul Eggert <eggert@cs.ucla.edu>
24815 Revert my change to gnus-art.el
24816 * lisp/gnus/gnus-art.el (gnus-button-alist): Revert last change.
24817 It wasn't that important, and it caused a Gnus build to fail. See:
24818 http://www.randomsample.de:4456/builders/emacs-devel/builds/734
24820 New minor mode Electric Quote
24821 This lets you easily insert quotes ‘like this’ by typing
24822 quotes `like this', and similarly you can easily insert
24823 quotes “like this” by typing quotes ``like this'' (Bug#20545).
24824 * doc/emacs/basic.texi (Inserting Text):
24825 * doc/emacs/modes.texi (Minor Modes):
24826 * etc/NEWS: Document it.
24827 * doc/emacs/text.texi (Quotation Marks): New section.
24828 * lisp/electric.el (electric-quote-comment)
24829 (electric-quote-string, electric-quote-paragraph):
24831 (electric--insertable-p)
24832 (electric-quote-post-self-insert-function): New functions.
24833 (electric-quote-mode, electric-quote-local-mode): New minor modes.
24834 * lisp/progmodes/elisp-mode.el (emacs-lisp-mode):
24835 Add curved single quotes to electric-pair-text-pairs.
24836 Set electric-quote-string in this buffer.
24838 A few more doc string fixes (Bug#20385)
24840 Accept curved quotes in doc strings
24841 * lisp/info-look.el (info-lookup-guess-custom-symbol):
24842 (info-lookup-alist): Treat ‘’ like `' when parsing help buffers etc.
24844 Generate curved quotes in pseudo-info nodes
24845 * lisp/info.el (Info-virtual-index-find-node)
24846 (Info-virtual-index, Info-apropos-find-node, info-apropos):
24847 Quote ‘like this’, not `like this', when generating pseudo-info nodes.
24849 Fix minor quoting problems in doc strings
24850 Most of these fixes involve escaping grave accents that are
24851 actually intended to be grave accents, not left quotes.
24854 Support curved quotes in doc strings
24855 Emacs's traditional doc string style has been to quote symbols
24856 `like this'. This worked well on now-obsolete terminals where
24857 ` and ' were symmetric quotes, but nowadays curved quotes
24858 ‘like this’ look better. Support quoting the new way too.
24860 * doc/lispref/tips.texi (Documentation Tips): Symbols can be quoted
24861 ‘like-this’ as well as `like-this'.
24862 * etc/NEWS: Mention this.
24863 * lisp/cedet/mode-local.el (overload-docstring-extension)
24864 (mode-local-print-binding, mode-local-describe-bindings-2):
24865 * lisp/cus-theme.el (describe-theme-1):
24866 * lisp/descr-text.el (describe-text-properties-1, describe-char):
24867 * lisp/emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine):
24868 * lisp/emacs-lisp/cl-generic.el (cl--generic-describe):
24869 * lisp/emacs-lisp/eieio-opt.el (eieio-help-class)
24870 (eieio-help-constructor):
24871 * lisp/emacs-lisp/package.el (describe-package-1):
24872 * lisp/faces.el (describe-face):
24873 * lisp/help-fns.el (help-fns--key-bindings)
24874 (help-fns--compiler-macro, help-fns--parent-mode, help-fns--obsolete):
24875 (help-fns--interactive-only, describe-function-1):
24876 (describe-variable):
24877 * lisp/help.el (describe-mode):
24878 * lisp/international/mule-cmds.el (describe-input-method)
24879 (describe-language-environment):
24880 * lisp/international/mule-diag.el (describe-character-set)
24881 (print-coding-system-briefly, list-input-methods)
24882 (list-input-methods-1):
24883 Insert curved quotes rather than grave accent and apostrophe.
24884 * lisp/cedet/srecode/texi.el (srecode-texi-texify-docstring):
24885 * lisp/emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine)
24886 (checkdoc-proper-noun-region-engine):
24887 * lisp/emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2)
24888 (lisp-cl-font-lock-keywords-2):
24889 * lisp/finder.el (finder-font-lock-keywords):
24890 * lisp/gnus/gnus-art.el (gnus-button-alist):
24891 * lisp/help-fns.el (help-do-arg-highlight)
24892 (describe-function-1, describe-variable):
24893 * lisp/help-mode.el (help-xref-symbol-regexp)
24894 (help-xref-info-regexp, help-xref-url-regexp):
24895 * lisp/help.el (describe-mode):
24896 * lisp/international/mule-cmds.el (help-xref-mule-regexp-template):
24897 * lisp/wid-edit.el (widget-documentation-link-regexp):
24898 Parse symbols quoted ‘like-this’ as well as `like-this'.
24899 * lisp/progmodes/elisp-mode.el (emacs-lisp-mode):
24900 Add "‘" and "’" to electric-pair-text-pairs.
24901 (elisp--form-quoted-p): Also allow "‘" as a quoting char.
24902 (elisp-completion-at-point, elisp--preceding-sexp):
24903 Also treat "‘" and "’" as quoting chars.
24905 substitute-command-keys now curves quotes
24906 So, for example, it turns "`abc'" into "‘abc’" (Bug#20385).
24907 * doc/lispref/help.texi (Keys in Documentation):
24908 * etc/NEWS: Document this.
24909 * src/doc.c (Fsubstitute_command_keys): Implement it.
24911 2015-05-28 Glenn Morris <rgm@gnu.org>
24913 * lisp/mail/rmailsum.el (rmail-summary-by-recipients)
24914 (rmail-summary-by-topic, rmail-summary-by-senders):
24915 No longer strip leading/trailing whitespace.
24917 * lisp/progmodes/f90.el (f90-type-def-re): Add "type, extends".
24918 (f90-no-block-limit): Add "enum". (Bug#20680)
24919 * test/automated/f90.el (f90-test-bug20680, f90-test-bug20680b):
24922 2015-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
24924 * lisp/isearch.el (isearch--current-buffer): Give a default value.
24925 Un-revert changes mistakenly dropped by f9fabb2b.
24927 2015-05-27 Paul Eggert <eggert@cs.ucla.edu>
24931 2015-05-27 qacl: Reimplement qset_acl and qcopy_acl (Bug#20666)
24932 2015-05-27 file-has-acl: Split feature tests again (Bug#20667)
24933 2015-05-27 string: fix build failure on BSD/OSX with FORTIFY_SOURCE
24934 2015-05-26 stdio: limit __gnu_printf__ witness to gcc 4.4+
24935 2015-05-26 inttypes: force correct mingw PRIdMAX even without <stdio.h>
24936 2015-05-26 stdio: fix probe on mingw under gcc 5.1
24937 * admin/merge-gnulib (GNULIB_MODULES):
24938 Replace qacl with qcopy-acl, since we don't need the rest of qacl.
24939 * doc/misc/texinfo.tex, lib/acl-internal.c, lib/acl-internal.h:
24940 * lib/inttypes.in.h, lib/qcopy-acl.c, lib/qset-acl.c:
24941 * lib/string.in.h, m4/acl.m4, m4/stdio_h.m4:
24942 Get latest versions from gnulib.
24943 * lib/get-permissions.c, lib/set-permissions.c: New files.
24944 * lib/gnulib.mk, m4/gnulib-comp.m4:
24946 * nt/gnulib.mk: Merge lib/gnulib.mk changes by hand.
24948 2015-05-27 Dmitry Gutov <dgutov@yandex.ru>
24950 * lisp/vc/vc-dispatcher.el (vc-setup-buffer): Delete the old
24952 (vc-do-command): Rather than here (bug#20608).
24954 2015-05-27 Ivan Shmakov <ivan@siamics.net>
24956 Avoid gratuitous delete-dups in face-at-point
24957 * lisp/faces.el (face-at-point): Do not compute the properly
24958 ordered, duplicate-free list if only a single value is
24959 requested anyway. (Bug#20519)
24961 Show the exact C-x 8 RET invocation in describe-char
24962 * lisp/descr-text.el (describe-char): Show the exact C-x 8 RET
24963 invocation instead of a template. (Bug#20522)
24965 2015-05-27 Artur Malabarba <bruce.connor.am@gmail.com>
24967 * lisp/emacs-lisp/package.el: Don't erase tags on refresh.
24968 (package-menu--post-refresh): Call `tabulated-list-print' with the
24969 UPDATE argument. This only affects the refresh action, the revert
24970 action still erases tags.
24971 (package-menu-get-status): Change `assq' to `assoc'.
24972 (package-menu--mark-upgrades-1): New function.
24973 (package-menu--mark-upgrades-pending): New variable.
24974 (package-menu-mark-upgrades): Use them to delay marking until
24975 after refresh is done.
24976 (package-menu--post-refresh): Call mark-upgrades-1 if
24977 mark-upgrades-pending is non-nil.
24979 2015-05-27 Michael Albinus <michael.albinus@gmx.de>
24981 * lisp/net/tramp.el (tramp-handle-make-auto-save-file-name):
24982 Fix typo in "Improve tramp-handle-make-auto-save-file-name"
24983 (commit 3953c4be2816537be95520605d45b866dc731f4b).
24985 2015-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
24987 * lisp/isearch.el (isearch--current-buffer): New var.
24988 (isearch-update): Set cursor-sensor-inhibit here.
24989 (isearch-done): Unset cursor-sensor-inhibit in the right buffer
24992 Change inhibit-point-motion-hooks to t
24993 * src/textprop.c (syms_of_textprop): Default Vinhibit_point_motion_hooks
24994 to t and document it as obsolete.
24996 2015-05-27 Eli Zaretskii <eliz@gnu.org>
24998 Support ZIP files that use Zip64 extensions
24999 * lisp/arc-mode.el (archive-zip-summarize): Handle the new ZIP
25000 format of central directory offsets used by Zip64 extensions.
25003 2015-05-27 Michael Albinus <michael.albinus@gmx.de>
25005 New test tramp-test30-make-auto-save-file-name
25006 * test/automated/tramp-tests.el
25007 (tramp-test30-make-auto-save-file-name): New test.
25008 (tramp-test31-special-characters)
25009 (tramp-test31-special-characters-with-stat)
25010 (tramp-test31-special-characters-with-perl)
25011 (tramp-test31-special-characters-with-ls, tramp-test32-utf8)
25012 (tramp-test32-utf8-with-stat, tramp-test32-utf8-with-perl)
25013 (tramp-test32-utf8-with-ls, tramp-test33-asynchronous-requests)
25014 (tramp-test34-recursive-load, tramp-test35-unload): Rename.
25016 Improve tramp-handle-make-auto-save-file-name
25017 * lisp/net/tramp.el (tramp-auto-save-directory): Add :tags.
25018 (tramp-handle-make-auto-save-file-name): Let native
25019 `make-auto-save-file-name' use `auto-save-file-name-transforms',
25020 if `tramp-auto-save-directory' is not set.
25022 2015-05-27 Glenn Morris <rgm@gnu.org>
25024 No longer set dired-directory in eshell. (Bug#16477)
25025 * lisp/eshell/esh-mode.el (eshell-mode):
25026 * lisp/eshell/em-dirs.el (eshell/cd): No longer set dired-directory.
25028 * lisp/mail/sendmail.el (mail-position-on-field): Doc fix.
25030 Make c-submit-bug-report file reports at debbugs.gnu.org. (Bug#15784)
25031 * lisp/progmodes/cc-mode.el (c-mode-help-address):
25032 Change to submit@debbugs.
25033 (c-mode-bug-package): New constant.
25034 (mail-position-on-field): Declare.
25035 (c-submit-bug-report): Insert X-Debbugs-Package header.
25036 * doc/misc/cc-mode.texi (Mailing Lists and Bug Reports):
25037 Mention debbugs.gnu.org.
25039 2015-05-26 Glenn Morris <rgm@gnu.org>
25041 * lisp/mail/rmailsum.el: Commas no longer separate regexps. (Bug#19026)
25042 (rmail-summary-by-recipients, rmail-summary-by-topic)
25043 (rmail-summary-by-senders): No longer use mail-comma-list-regexp.
25044 * doc/emacs/rmail.texi (Rmail Make Summary): Update for this change.
25046 2015-05-26 Paul Eggert <eggert@cs.ucla.edu>
25048 Handle curved quotes in info files
25049 * lisp/calc/calc-help.el (calc-describe-thing):
25050 * lisp/info.el (Info-find-index-name)
25051 (Info-try-follow-nearest-node, Info-fontify-node):
25052 * lisp/vc/ediff-help.el (ediff-help-for-quick-help):
25053 In info files, process quotes ‘like this’ the same way we process
25054 quotes `like this'. This catches a few places we missed earlier.
25056 2015-05-26 Dmitry Gutov <dgutov@yandex.ru>
25058 xref-prompt-for-identifier: Use a list value
25059 * lisp/progmodes/xref.el (xref-prompt-for-identifier): Allow list
25060 value, to be interpreted as a list of commands.
25061 (xref--prompt-p): New function.
25062 (xref--read-identifier): Use it.
25064 2015-05-26 Eli Zaretskii <eliz@gnu.org>
25066 Teach MS-Windows font back-end return per-glyph ascent/descent
25067 * src/w32font.h (struct w32_metric_cache): Add ascent and descent
25069 * src/w32font.c (w32font_text_extents): Compute, cache, and
25070 accumulate per-glyph ascent and descent values, instead of copying
25071 global values from the font. If the values are not available from
25072 the font data, i.e., non-TTF fonts, fall back on font-global values.
25073 (compute_metrics): Compute and return per-glyph ascent and descent
25074 values, if returned by GetGlyphOutlineW, falling back on
25075 font-global values. (Bug#20628)
25076 * src/w32term.c (w32_draw_rectangle): Add 1 pixel to width and
25077 height of rectangle to be drawn, to be compatible with
25078 XDrawRectangle. Fixes glyphless-char display as hex codes in a
25079 box, when per-glyph ascent/descent values are used.
25081 2015-05-26 Artur Malabarba <bruce.connor.am@gmail.com>
25083 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-print):
25084 Don't sort if sorter is nil.
25086 2015-05-26 Michael Albinus <michael.albinus@gmx.de>
25089 * lisp/net/tramp-sh.el (tramp-set-auto-save): Remove it. There
25090 are major modes which set `auto-save-mode' on their own rules;
25091 Tramp shall not overwrite such settings.
25093 2015-05-26 Glenn Morris <rgm@gnu.org>
25095 * lisp/desktop.el: If modes aren't autoloaded, try simple guesswork.
25096 (desktop-load-file): Guess that "foobar" defines "foobar-mode".
25097 (desktop-buffer-mode-handlers, desktop-minor-mode-handlers):
25099 (vc-dir-mode): Remove unnecessary autoload.
25101 2015-05-25 Philipp Stephani <phst@google.com>
25103 * lisp/term/xterm.el: Add gui-get-selection support via OSC-52.
25104 (xterm--extra-capabilities-type): Add `getSelection'.
25105 (xterm--query): Add `no-async' argument.
25106 (xterm--init-activate-get-selection): New function.
25107 (terminal-init-xterm): Use it.
25108 (xterm--init-modify-other-keys): Rename from
25109 terminal-init-xterm-modify-other-keys.
25110 (xterm--init-bracketed-paste-mode): Rename from
25111 terminal-init-xterm-bracketed-paste-mode.
25112 (xterm--init-activate-set-selection): Rename from
25113 terminal-init-xterm-activate-set-selection.
25114 (xterm--selection-char): New function.
25115 (gui-backend-set-selection): Use it. Use the &context to only apply
25116 this method in terminals where we enabled the feature.
25117 (gui-backend-get-selection): New method.
25119 2015-05-25 Daniel Colascione <dancol@dancol.org>
25121 * lisp/progmodes/cc-langs.el (c-constant-kwds): Add C-language
25122 keyword constants to C++.
25124 2015-05-25 Paul Eggert <eggert@cs.ucla.edu>
25126 Make TAGS files more portable to MS-Windows
25127 * etc/NEWS: Document this.
25128 * lib-src/etags.c (readline_internal) [DOS_NT]:
25129 Don't treat CRs differently from GNUish hosts.
25130 * lisp/progmodes/etags.el (etags-goto-tag-location):
25131 Adjust STARTPOS to account for the skipped CRs in dos-style files.
25133 2015-05-25 Michael Albinus <michael.albinus@gmx.de>
25135 Improve fix of bug#20634 in tramp-sh.el
25137 2015-05-25 Eli Zaretskii <eliz@gnu.org>
25139 Fix a typo in last commit
25140 * lib-src/etags.c (C_entries): Fix a typo.
25141 * test/etags/ETAGS.good_1:
25142 * test/etags/ETAGS.good_2:
25143 * test/etags/ETAGS.good_3:
25144 * test/etags/ETAGS.good_4:
25145 * test/etags/ETAGS.good_5:
25146 * test/etags/CTAGS.good: Update due to the change in etags.c.
25148 Fix tagging of class members in C-like OO languages
25149 * lib-src/etags.c (longopts): Add new option --class-qualify and
25151 (print_help): Add help text for --class-qualify.
25152 (main): Add handling of -Q.
25153 (consider_token, C_entries) <omethodparm>: Append argument types
25154 to Objective C methods only if --class-qualify was specified.
25155 Qualify C++, Objective C, and Java class members with their class
25156 names only if --class-qualify was specified.
25157 (C_entries): If --class-qualify was not specified, remove the
25158 namespace and class qualifiers from tag names of C++ methods.
25159 This allows to use etags.el as xref back-end without the
25160 tag-symbol-match-p method, which greatly increases the number of
25161 potentially false positives. (Bug#20629)
25162 * doc/man/etags.1: Update to document the new --class-qualify
25164 * test/etags/ETAGS.good_1:
25165 * test/etags/ETAGS.good_2:
25166 * test/etags/ETAGS.good_3:
25167 * test/etags/ETAGS.good_4:
25168 * test/etags/ETAGS.good_5:
25169 * test/etags/CTAGS.good: Update due to changes in etags.c.
25171 2015-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
25173 (cl-generic-define-method): Side effects are evil (bug#20644)
25174 * lisp/emacs-lisp/cl-generic.el (cl-generic-define-method): Don't reuse
25175 cons-cells that might be used as keys in an `equal' hash-table.
25177 2015-05-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
25179 Make erc timestamps visible again
25180 * lisp/erc/erc-stamp.el (erc-munge-invisibility-spec):
25181 Make timestamps visible again (if requested).
25183 2015-05-25 Eli Zaretskii <eliz@gnu.org>
25185 Fix last change in etags.c that broke tagging compresed files
25186 * lib-src/etags.c (process_file_name) [MSDOS || DOS_NT]: Fix
25187 quoting of decompression shell command for MS-Windows/MS-DOS.
25189 2015-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
25191 * lisp/emacs-lisp/cl-macs.el (cl-tagbody): Scope cl--tagbody-alist.
25194 2015-05-25 Michael Albinus <michael.albinus@gmx.de>
25196 * lisp/net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer):
25197 Fix typo in "Inhibit `epa-file-handler' in Tramp"
25198 (commit 89035e247591c8d688fce922b7079881aa110f33).
25200 2015-05-25 Orivej Desh <orivej@gmx.fr> (tiny change)
25202 Fix IPv6 addresses in Tramp
25203 * lisp/net/tramp-sh.el (tramp-make-copy-program-file-name):
25204 Add square brackets around host name.
25206 2015-05-25 Michael Albinus <michael.albinus@gmx.de>
25208 Inhibit `epa-file-handler' in Tramp (Bug#20634)
25209 * lisp/net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
25210 (tramp-sh-handle-insert-file-contents-literally): Inhibit also
25211 `epa-file-handler'.
25213 2015-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
25215 * lisp/emacs-lisp/pcase.el: Use PAT rather than UPAT in docstring.
25216 (pcase-let): Document the behavior in case the pattern doesn't match.
25218 2015-05-24 Artur Malabarba <bruce.connor.am@gmail.com>
25220 * lisp/emacs-lisp/tabulated-list.el: New optional print method.
25221 (tabulated-list-print): New optional argument, UPDATE. If
25222 non-nil, the list is printed by only adding and deleting the
25223 changed entries, instead of erasing the whole buffer. This method
25224 is much faster when few or no entries have changed.
25225 * doc/lispref/modes.texi (Tabulated List Mode): Document it.
25226 * etc/NEWS: Document it.
25228 * lisp/emacs-lisp/tabulated-list.el: Improve printing.
25229 (tabulated-list--get-sorter): New function.
25230 (tabulated-list-print): Restore window-line when remember-pos is
25231 passed and optimize away the `nreverse'.
25233 2015-05-24 Paul Eggert <eggert@cs.ucla.edu>
25235 Simpilify etags TEX mode scanning
25236 * lib-src/etags.c (TEX_mode, TEX_esc, TEX_opgrp, TEX_clgrp):
25237 Remove static vars.
25238 (TeX_commands): Deduce escapes here instead.
25239 (TEX_LESC, TEX_SESC, TEX_mode): Remove; all uses removed.
25240 This removes the need for a reset_input call.
25242 Improve etags I/O error reporting
25244 Don't include sys/types.h and sys/stat.h; no longer needed.
25245 (infilename): New static var.
25246 (process_file_name): Don't call 'stat'. Instead, just open the
25247 file for reading and report any errors. Don't bother making
25248 a copy of the file argument; it's not needed. Be more careful to
25249 use the failing errno when reporting an error.
25250 Quote the real name better (though no perfectly)
25251 when passing it to the shell.
25252 (reset_input): New function, which reports I/O errors.
25253 All uses of 'rewind' changed to use this function.
25254 (perhaps_more_input): New function, which also checks for
25255 I/O errors. All uses of 'feof' changed to use this function.
25256 (analyze_regex): Report an error if fclose fails.
25257 (readline_internal): Report an error if getc fails.
25258 (etags_mktmp): Return an error if close fails.
25260 etags.c: avoid side effects in 'if'
25261 * lib-src/etags.c (process_file_name, Perl_functions)
25262 (TEX_decode_env): Hoist side effects into previous statement.
25265 * .gitignore: Ignore all *.stamp files. Sort.
25266 Ignore [0-9]*.txt (commonly used name for git patches)
25267 and /vc-dwim-log-* (vc-dwim temporary).
25269 2015-05-24 Eli Zaretskii <eliz@gnu.org>
25271 Fix last change in etags.c, which failed the test suite
25272 * lib-src/etags.c (intoken): Add '$' to the set, as it was there
25273 before the last change.
25275 2015-05-23 Glenn Morris <rgm@gnu.org>
25277 Remove charset map files from repository, generate in first bootstrap
25278 * admin/charsets/Makefile.in (${srcdir}/charsets.stamp): New.
25279 (all): Create the stamp file.
25280 (extraclean): Delete the stamp file.
25281 * src/Makefile.in (lispintdir, charsets): New variables.
25282 (${lispintdir}/cp51932.el, ${lispintdir}/eucjp-ms.el, ${charsets}):
25284 (emacs$(EXEEXT), temacs$(EXEEXT)): Depend on $charsets.
25285 * lisp/international/cp51932.el, lisp/international/eucjp-ms.el:
25286 * etc/charsets/*.map: Remove from repository.
25288 2015-05-23 Paul Eggert <eggert@cs.ucla.edu>
25290 Cleanup etags.c to use locale-independent code
25291 Although this doesn't alter behavior (as etags doesn't use
25292 setlocale), the new version is more clearly locale-independent and
25293 the executable is a bit smaller on my platform.
25294 * lib-src/etags.c: Include <limits.h>, for UCHAR_MAX.
25295 Include <c-ctype.h> instead of <ctype.h>.
25296 (CHARS, CHAR, init, _wht, _nin, _itk, _btk, _etk, white, nonam, endtk)
25298 Remove; no longer needed.
25299 (iswhite, ISALNUM, ISALPHA, ISDIGIT, ISLOWER, lowcase): Remove.
25300 All callers changed to use c_isspace, c_isalnum, c_isalpha, c_isdigit,
25301 c_islower, c_tolower, respectively.
25302 (notinname, begtoken, intoken, endtoken): Rewrite as functions
25303 instead of macros, and initialize the tables at compile-time
25304 rather than at run-time.
25306 Put default action first in src/Makefile
25307 * src/Makefile.in (all): Put this rule before lisp.mk.
25308 That way, plain 'make' works in the src directory again.
25310 2015-05-23 Glenn Morris <rgm@gnu.org>
25312 * Makefile.in: Fix extraclean rule.
25313 (extraclean_dirs): New.
25314 (extraclean): Use it.
25316 2015-05-23 Eli Zaretskii <eliz@gnu.org>
25318 Avoid compiler warning in image.c on MS-Windows
25319 * src/w32term.h (x_query_color): Add prototype, to avoid compiler
25320 warning in image.c.
25322 2015-05-23 Glenn Morris <rgm@gnu.org>
25324 Fix --without-toolkit-scroll-bars builds.
25325 * src/xterm.c (x_scroll_bar_set_handle) [!USE_TOOLKIT_SCROLL_BARS]:
25326 Add new argument to x_clear_area1.
25327 (XTset_horizontal_scroll_bar) [!USE_TOOLKIT_SCROLL_BARS]:
25328 Update x_clear_area arguments.
25330 * admin/charsets/glibc/: New directory, imported from glibc 2.21.
25331 * admin/charsets/Makefile.in (GLIBC_CHARMAPS):
25332 Change to included version.
25333 (LOCAL, local, totalclean): Remove.
25334 (extraclean): Delete all generated files.
25336 2015-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
25338 * lisp/pcmpl-cvs.el (pcmpl-cvs-entries): Don't assume
25339 CVS/Entries exists.
25341 * lisp/progmodes/xref.el (xref-find-apropos): Use read-string.
25343 * lisp/progmodes/etags.el (tags-completion-at-point-function):
25344 Don't trust the find-tag function.
25346 2015-05-23 Paul Eggert <eggert@cs.ucla.edu>
25348 Pacify --enable-gcc-warnings
25349 * src/frame.h (x_query_color): Remove redundant extern decl.
25350 * src/ftcrfont.c (ftcrfont_glyph_extents, ftcrfont_list)
25351 (ftcrfont_match, ftcrfont_open, ftcrfont_close)
25352 (ftcrfont_text_extents, ftcrfont_draw):
25353 * src/xterm.c (x_set_window_size_1, *x_color_cells, x_update_end)
25354 (XTframe_up_to_date, x_clear_area1, x_clear_frame)
25355 (x_ins_del_lines, frame_highlight, frame_unhighlight)
25356 (x_new_focus_frame, x_focus_changed, XTframe_rehighlight)
25357 (x_draw_hollow_cursor, x_draw_bar_cursor, x_flush, x_update_begin)
25358 (x_update_window_begin, x_connection_closed)
25359 (x_set_clip_rectangles, x_reset_clip_rectangles, x_fill_rectangle)
25360 (x_draw_rectangle, x_fill_trapezoid_for_relief, x_clear_window)
25361 (*x_gc_get_ext_data, x_extension_initialize)
25362 (x_cr_accumulate_data):
25363 Remove redundant static decl. Many of these GCC doesn't complain
25364 about, but we might as well clean out the duplication while we're
25365 in the neighborhood.
25366 * src/xterm.c (x_fill_trapezoid_for_relief):
25367 Remove decl of nonexistent function.
25369 2015-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
25371 Replace gui-method macros with cl-generic with &context
25372 * lisp/frame.el (gui-method--name, gui-method, gui-method-define)
25373 (gui-method-declare, gui-call): Remove.
25374 (frame-creation-function): Use cl-defgeneric.
25375 (make-frame): Adjust callers.
25376 * lisp/menu-bar.el (menu-bar-edit-menu):
25377 Use gui-backend-selection-exists-p.
25378 * lisp/select.el (x-get-clipboard): Use gui-backend-get-selection.
25379 (gui-backend-get-selection): New cl-generic to replace
25380 gui-get-selection method.
25381 (gui-backend-set-selection): New cl-generic to replace
25382 gui-set-selection method.
25383 (gui-selection-owner-p): New cl-generic to replace
25384 gui-selection-owner-p method.
25385 (gui-backend-selection-exists-p): New cl-generic to replace
25386 gui-selection-exists-p method. Adjust all callers.
25387 * lisp/server.el (server-create-window-system-frame): Don't ignore
25388 window-system spec even when unsupported.
25389 * lisp/simple.el (deactivate-mark): Use new gui-backend-* functions.
25390 * lisp/startup.el (handle-args-function, window-system-initialization):
25392 (command-line): Adjust calls accordingly.
25393 * lisp/term/ns-win.el (ns-window-system-initialization): Turn into
25394 a window-system-initialization method.
25395 (handle-args-function, frame-creation-function): Use cl-defmethod.
25396 (gui-set-selection, gui-selection-owner-p, gui-selection-exists-p)
25397 (gui-get-selection): Use cl-defmethod on the new functions instead.
25398 * lisp/term/pc-win.el (w16-get-selection-value): Turn into
25399 a gui-backend-get-selection method.
25400 (gui-selection-exists-p, gui-selection-owner-p, gui-set-selection):
25401 Use cl-defmethod on the new functions instead.
25402 (msdos-window-system-initialization): Turn into
25403 a window-system-initialization method.
25404 (frame-creation-function, handle-args-function): Use cl-defmethod.
25405 * lisp/term/w32-win.el (w32-window-system-initialization): Turn into
25406 a window-system-initialization method.
25407 (handle-args-function, frame-creation-function): Use cl-defmethod.
25408 (gui-set-selection, gui-selection-owner-p, gui-selection-exists-p)
25409 (gui-get-selection): Use cl-defmethod on the new functions instead.
25410 * lisp/term/x-win.el (x-window-system-initialization): Turn into
25411 a window-system-initialization method.
25412 (handle-args-function, frame-creation-function): Use cl-defmethod.
25413 (gui-set-selection, gui-selection-owner-p, gui-selection-exists-p)
25414 (gui-get-selection): Use cl-defmethod on the new functions instead.
25415 * lisp/term/xterm.el (xterm--set-selection): Turn into
25416 a gui-backend-set-selection method.
25417 * src/nsselect.m (Fns_selection_exists_p): Remove unused arg `terminal'.
25418 (Fns_selection_owner_p): Remove unused arg `terminal'.
25419 (Fns_get_selection): Remove unused args `time_stamp' and `terminal'.
25421 2015-05-23 Eli Zaretskii <eliz@gnu.org>
25423 Revert "Fix etags Bug#20629 that broke C++ support"
25424 This reverts commit 13dd9d4f7e75d2c78aa5537cef09de03663e9748.
25426 2015-05-23 Jan D <jan.h.d@swipnet.se>
25428 Fix etags Bug#20629 that broke C++ support
25429 * lisp/progmodes/etags.el (etags-xref-find-definitions-tag-order):
25430 Revert commit from Sun May 10 (Bug#20629).
25432 Merge branch 'cairo'.
25433 Main work done by YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>.
25434 Small fixes and image work by Jan D. <jan.h.d@swipnet.se>.
25436 Merge branch 'master' into cairo
25438 Fixes to compile cairo branch without cairo
25439 * src/gtkutil.c (xg_update_scrollbar_pos): x_clear_area takes frame as
25441 * src/xterm.c (handle_one_xevent): Surround x_cr_destroy_surface with
25444 2015-05-23 Artur Malabarba <bruce.connor.am@gmail.com>
25446 * lisp/emacs-lisp/package.el: Always update selected-packages.
25447 (package--update-selected-packages): New function.
25448 (package-menu-execute): Use it before starting the transaction,
25449 this way the list of selected packages is updated even when the
25451 (package-menu--perform-transaction): Don't edit selected-packages.
25453 2015-05-23 Eli Zaretskii <eliz@gnu.org>
25455 Fix etags reading of compressed files
25456 * lib-src/etags.c (O_CLOEXEC) [WINDOWSNT]: Define.
25457 Include fcntl.h, for O_CLOEXEC.
25458 (process_file_name): Don't use 'popen', whose streams cannot be
25459 rewound. Instead, uncompress the file to a temporary file,
25460 created by 'etags_mktmp', and read from that as usual.
25461 (etags_mktmp): New function.
25462 * test/etags/ETAGS.good_1:
25463 * test/etags/ETAGS.good_2:
25464 * test/etags/ETAGS.good_3:
25465 * test/etags/ETAGS.good_4:
25466 * test/etags/ETAGS.good_5: Update to be consistent with latest
25467 changes in etags.c regarding reading compressed files.
25469 Improve documentation of 'set-fontset-font'
25470 * doc/lispref/display.texi (Fontsets): Document the value of nil
25471 for the 3rd argument of 'set-fontset-font'.
25473 Fix documentation of forward-line
25474 * src/cmds.c (Fforward_line): Clarify the return value if the line
25475 at end of accessible portion of the buffer has no newline.
25476 * doc/lispref/positions.texi (Text Lines): Document what happens
25477 if the line at end of accessible portion of buffer has no newline.
25480 2015-05-22 Glenn Morris <rgm@gnu.org>
25482 * admin/charsets/Makefile.in (TRANS_TABLE): Add short aliases.
25484 * admin/charsets/mapconv (LC_ALL): Set to C.
25486 * Makefile.in: Add admin/charsets into top-level clean rules.
25487 (clean): Add admin/charsets.
25488 (maybeclean_dirs): New variable.
25489 (distclean, bootstrap-clean, maintainer-clean): Use $maybeclean_dirs.
25491 * admin/charsets/Makefile.in (LOCAL, local): Fix members.
25493 2015-05-22 Artur Malabarba <bruce.connor.am@gmail.com>
25495 * lisp/emacs-lisp/package.el (package-selected-packages): Fix doc.
25497 2015-05-22 Glenn Morris <rgm@gnu.org>
25499 Generate admin/charsets Makefile via configure, and make more portable
25500 * configure.ac (SUBDIR_MAKEFILES): Add admin/charsets/Makefile.
25501 (admin/charsets/Makefile): Generate it.
25502 * admin/charsets/Makefile.in: Rename from Makefile.
25503 (AWK, srcdir, top_srcdir, AM_DEFAULT_VERBOSITY):
25504 New variables, set by configure.
25505 (charsetdir, lispintdir, mapfiledir, AM_V_GEN, am__v_GEN_)
25506 (am__v_GEN_0, am__v_GEN_1, AM_V_at, am__v_at_, am__v_at_0)
25507 (am__v_at_1, LOCAL, mapconv, run_mapconv, big5, compact, cp51932)
25508 (cp932, eucjp_ms, gb180302, gb180304, kuten): New variables.
25509 (TRANS_TABLE, CHARSETS): Add directory prefix to value.
25510 (all): Declare PHONY.
25511 (local): New PHONY target.
25512 (map_template): New template. Use to define short PHONY aliases.
25513 (*.map): Add directory prefixes to targets and prerequisites.
25514 Respect make verbosity.
25515 (JISC6226.map): Replace non-portable sed append without newline.
25516 (install): Remove rule.
25517 (clean): Only delete temporary sedscript.
25518 (bootstrap-clean, distclean, maintainer-clean, extraclean)
25519 (totalclean): New PHONY rules.
25520 * admin/charsets/mapconv (BASE): Replace basename with expr.
25521 (FILE): Add "mapfiles" subdirectory.
25522 (AWK): New variable. Use throughout in place of "awk".
25523 (main): Use "gunzip -c" in place of "zcat".
25524 Don't leave whitespace before "p", for older sed.
25525 * admin/charsets/mapfiles/PTCP154: Add final newline,
25526 to make older sed versions happy.
25528 2015-05-22 Stefan Monnier <monnier@iro.umontreal.ca>
25530 * lisp/autorevert.el: Use lexical-binding. Fix hook usage.
25531 (global-auto-revert-ignore-buffer, auto-revert-notify-modified-p)
25532 (auto-revert-notify-watch-descriptor): Use defvar-local.
25533 (find-file-hook, auto-revert-tail-mode)
25534 (auto-revert-notify-add-watch): Use setq-local.
25535 (auto-revert-notify-add-watch): Don't call make-local-variable on
25536 kill-buffer-hook (bug#20601).
25538 2015-05-21 Stefan Monnier <monnier@iro.umontreal.ca>
25540 Change defgeneric so it doesn't completely redefine the function
25541 * lisp/emacs-lisp/cl-generic.el (cl-generic-define): Don't throw away
25542 previously defined methods.
25543 (cl-generic-define-method): Let-bind purify-flag instead of
25545 (cl--generic-prefill-dispatchers): Only define during compilation.
25546 (cl-method-qualifiers): Remove redundant alias.
25547 (help-fns-short-filename): Silence byte-compiler.
25548 * test/automated/cl-generic-tests.el:
25549 Adjust to new defgeneric semantics.
25551 2015-05-21 Artur Malabarba <bruce.connor.am@gmail.com>
25553 * lisp/emacs-lisp/package.el (package-menu-execute):
25554 Remove reference to remove-dups.
25556 2015-05-21 kwhite <kwhite@gnu.org>
25558 * lisp/erc/erc.el: Hide network/channel messages.
25559 (erc-network-hide-list, erc-channel-hide-list): New lists to define
25560 message types per network/channel.
25561 (erc-add-targets): New function to parse list of targets.
25562 (erc-hide-current-message-p): Modify to check for new targets.
25564 2015-05-21 Paul Eggert <eggert@cs.ucla.edu>
25566 Don't quote nil and t in doc strings
25567 This is as per "Tips for Documentation Strings" in the elisp manual.
25568 For consistency, do the same in diagnostics and comments.
25570 2015-05-21 Eli Zaretskii <eliz@gnu.org>
25572 Fix a minor problem with mouse-face on mode line
25573 * src/xdisp.c (note_mode_line_or_margin_highlight): Reset the
25574 mouse face also if the mouse pointer hovers above mode-line glyphs
25575 that don't come from any Lisp string. (Bug#20620)
25577 2015-05-21 Artur Malabarba <bruce.connor.am@gmail.com>
25579 * lisp/emacs-lisp/package.el: Fix selected-package logic.
25580 (package-menu-execute): Mark as selected all non-upgrade packages
25582 (package-menu--perform-transaction): Don't mark anything.
25584 * lisp/emacs-lisp/package.el: Mode-line progress report.
25585 (package-menu--transaction-status): New variable.
25586 (package-menu-mode, package-menu--perform-transaction): Use it.
25588 * lisp/emacs-lisp/package.el: Better transaction messages.
25589 (package-menu--partition-transaction): New function.
25590 (package-menu--prompt-transaction-p, package-menu-execute):
25592 (package-menu--perform-transaction): Don't do any messaging.
25594 * lisp/emacs-lisp/package.el: Revert async package transactions.
25595 (package-menu-async): Update doc.
25596 (package-install-from-archive, package-download-transaction)
25597 (package-install, package-menu--perform-transaction)
25598 (package-menu-execute): Remove asynchronous functionality.
25600 2015-05-21 Paul Eggert <eggert@cs.ucla.edu>
25602 Revert doc string changes to f90.el
25603 Problem reported by Glenn Morris in:
25604 http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00596.html
25605 * lisp/progmodes/f90.el (f90-mode, f90-abbrev-start):
25606 Revert recent changes to doc strings, as it's intended that they
25607 use grave accent, not quote.
25609 2015-05-20 Bozhidar Batsov <bozhidar@batsov.com>
25611 * lisp/emacs-lisp/subr-x.el (hash-table-empty-p):
25612 Improve parameter name.
25614 * lisp/emacs-lisp/subr-x.el (hash-table-empty-p): New inline function.
25616 2015-05-20 Paul Eggert <eggert@cs.ucla.edu>
25618 Don't require help-fns when not needed
25619 * lisp/emacs-lisp/autoload.el, lisp/emacs-lisp/advice.el:
25620 * lisp/emacs-lisp/elint.el:
25621 Don't require help-fns at the top level
25622 * lisp/emacs-lisp/advice.el (ad-arglist):
25623 * lisp/emacs-lisp/cl-macs.el (cl--transform-lambda):
25624 Don't require help-fns. (Bug#17001)
25626 2015-05-20 Eli Zaretskii <eliz@gnu.org>
25628 Fix slash collapsing in etags on MS-Windows
25629 * lib-src/etags.c (canonicalize_filename) [DOS_NT]: Separate the
25630 MS-Windows code from the Posix code, and support collapsing both
25631 forward- and back-slashes on MS-Windows. Fixes a regression found
25634 Improve documentation of glyphless-char-display
25635 * doc/lispref/display.texi (Glyphless Chars): Improve
25636 documentation of glyphless character display.
25638 Fix "acronym" display of glyphless characters on w32
25639 * src/w32term.c (x_draw_glyphless_glyph_string_foreground): Don't
25640 ignore "acronym" substitutes of 1 character for glyphless characters.
25642 2015-05-20 Oleh Krehel <ohwoeowho@gmail.com>
25644 Add an automated test for let-when-compile
25645 * test/automated/subr-tests.el (let-when-compile): New test.
25647 Add let-when-compile macro instead of using pcase-let
25648 * lisp/subr.el (let-when-compile): New let-like macro that makes its
25649 bindings known to macros like `eval-when-compile' in the body.
25650 * lisp/emacs-lisp/lisp-mode.el: Change the top-level `pcase-let' to
25651 a `let-when-compile'. Also comment out the unused lexical var
25653 The change greatly improves readability, while providing almost the
25654 same (even shorter) byte code: instead of pre-evaluating 10 variables,
25655 tossing them into a list, and destructuring that list a full screen
25656 page later, the variables are simply bound as they are evaluated,
25657 wrapped individually in `eval-when-compile'.
25659 2015-05-20 Artur Malabarba <bruce.connor.am@gmail.com>
25661 * lisp/emacs-lisp/package.el: "Delete" button in Help buffer.
25662 (package-delete-button-action): New function.
25663 (describe-package-1): Add Delete button.
25665 * lisp/emacs-lisp/package.el: Better dependency description.
25666 (package--used-elsewhere-p): New optional arg, ALL, and return
25667 package-desc objects instead of names.
25668 (package-delete): Update accordingly.
25669 (describe-package-1): Describe which packages require the package.
25671 2015-05-20 Martin Rudalics <rudalics@gmx.at>
25673 Fix handling and doc-string of FRAME arg of `other-buffer' (Bug#20533)
25674 * src/buffer.c (Fother_buffer): Argument FRAME must denote a live frame.
25677 Improve `switch-to-buffer' in strongly dedicated windows (Bug#20472)
25678 * lisp/window.el (switch-to-buffer-in-dedicated-window): New option.
25679 (switch-to-buffer): If the selected window is strongly dedicated
25680 to its buffer, signal error before prompting for buffer name.
25681 Handle `switch-to-buffer-in-dedicated-window'.
25682 * doc/lispref/windows.texi (Switching Buffers):
25683 Document `switch-to-buffer-in-dedicated-window'.
25685 2015-05-19 Paul Eggert <eggert@cs.ucla.edu>
25687 Prefer "this" to “this” in doc strings
25688 This mostly just straightens quotes introduced in my previous patch.
25689 Suggested by Dmitry Gutov in:
25690 http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00565.html
25691 * lisp/faces.el, lisp/gnus/gnus-group.el, lisp/ldefs-boot.el:
25692 * lisp/mail/supercite.el, lisp/net/tramp.el, lisp/recentf.el:
25693 * lisp/textmodes/artist.el, lisp/textmodes/rst.el:
25694 * lisp/textmodes/tildify.el, lisp/vc/ediff-util.el:
25695 * lisp/vc/log-edit.el, lisp/xt-mouse.el:
25696 Prefer straight double quotes to curved double quotes in doc strings.
25698 Fix minor quoting problems in doc strings
25699 These were glitches regardless of how or whether we tackle the
25700 problem of grave accent in doc strings.
25701 * lisp/calc/calc-aent.el (math-restore-placeholders):
25702 * lisp/ido.el (ido-ignore-buffers, ido-ignore-files):
25703 * lisp/leim/quail/cyrillic.el ("bulgarian-alt-phonetic"):
25704 * lisp/leim/quail/hebrew.el ("hebrew-new")
25705 ("hebrew-biblical-sil"):
25706 * lisp/leim/quail/thai.el ("thai-kesmanee"):
25707 * lisp/progmodes/idlw-shell.el (idlwave-shell-file-name-chars):
25708 Used curved quotes to avoid ambiguities like ‘`''’ in doc strings.
25709 * lisp/calendar/calendar.el (calendar-month-abbrev-array):
25710 * lisp/cedet/semantic/mru-bookmark.el (semantic-mrub-cache-flush-fcn):
25711 * lisp/cedet/semantic/symref.el (semantic-symref-tool-baseclass):
25712 * lisp/cedet/semantic/tag.el (semantic-tag-copy)
25713 (semantic-tag-components):
25714 * lisp/cedet/srecode/cpp.el (srecode-semantic-handle-:cpp):
25715 * lisp/cedet/srecode/texi.el (srecode-texi-texify-docstring):
25716 * lisp/emacs-lisp/byte-opt.el (byte-optimize-all-constp):
25717 * lisp/emacs-lisp/checkdoc.el (checkdoc-message-text-engine):
25718 * lisp/emacs-lisp/generator.el (iter-next):
25719 * lisp/gnus/gnus-art.el (gnus-treat-strip-list-identifiers)
25720 (gnus-article-mode-syntax-table):
25721 * lisp/net/rlogin.el (rlogin-directory-tracking-mode):
25722 * lisp/net/soap-client.el (soap-wsdl-get):
25723 * lisp/net/telnet.el (telnet-mode):
25724 * lisp/org/org-compat.el (org-number-sequence):
25725 * lisp/org/org.el (org-remove-highlights-with-change)
25726 (org-structure-template-alist):
25727 * lisp/org/ox-html.el (org-html-link-org-files-as-html):
25728 * lisp/play/handwrite.el (handwrite-10pt, handwrite-11pt)
25729 (handwrite-12pt, handwrite-13pt):
25730 * lisp/progmodes/f90.el (f90-mode, f90-abbrev-start):
25731 * lisp/progmodes/idlwave.el (idlwave-mode, idlwave-check-abbrev):
25732 * lisp/progmodes/verilog-mode.el (verilog-tool)
25733 (verilog-string-replace-matches, verilog-preprocess)
25734 (verilog-auto-insert-lisp, verilog-auto-insert-last):
25735 * lisp/textmodes/makeinfo.el (makeinfo-options):
25736 * src/font.c (Ffont_spec):
25737 Fix minor quoting problems in doc strings, e.g., missing quote,
25738 ``x'' where `x' was meant, etc.
25739 * lisp/erc/erc-backend.el (erc-process-sentinel-2):
25740 Fix minor quoting problem in other string.
25741 * lisp/leim/quail/ethiopic.el ("ethiopic"):
25742 * lisp/term/tvi970.el (tvi970-set-keypad-mode):
25743 Omit unnecessary quotes.
25744 * lisp/faces.el (set-face-attribute, set-face-underline)
25745 (set-face-inverse-video, x-create-frame-with-faces):
25746 * lisp/gnus/gnus-group.el (gnus-group-nnimap-edit-acl):
25747 * lisp/mail/supercite.el (sc-attribs-%@-addresses)
25748 (sc-attribs-!-addresses, sc-attribs-<>-addresses):
25749 * lisp/net/tramp.el (tramp-methods):
25750 * lisp/recentf.el (recentf-show-file-shortcuts-flag):
25751 * lisp/textmodes/artist.el (artist-ellipse-right-char)
25752 (artist-ellipse-left-char, artist-vaporize-fuzziness)
25753 (artist-spray-chars, artist-mode, artist-replace-string)
25754 (artist-put-pixel, artist-text-see-thru):
25755 * lisp/vc/ediff-util.el (ediff-submit-report):
25756 * lisp/vc/log-edit.el (log-edit-changelog-full-paragraphs):
25757 Use double-quotes rather than TeX markup in doc strings.
25758 * lisp/skeleton.el (skeleton-pair-insert-maybe):
25759 Reword to avoid the need for grave accent and apostrophe.
25760 * lisp/xt-mouse.el (xterm-mouse-tracking-enable-sequence):
25761 Don't use grave and acute accents to quote.
25763 2015-05-19 Stefan Monnier <monnier@iro.umontreal.ca>
25765 * lisp/emacs-lisp/generator.el (cps--gensym, cps--transform-1):
25768 2015-05-19 Paul Eggert <eggert@cs.ucla.edu>
25770 Try to port new etags tests to MS-Windows
25771 * test/etags/CTAGS.good, test/etags/ETAGS.good_1:
25772 * test/etags/ETAGS.good_2, test/etags/ETAGS.good_3:
25773 * test/etags/ETAGS.good_4, test/etags/ETAGS.good_5:
25774 Adjust to test-case changes below.
25775 * test/etags/Makefile (CSRC): Remove dostorture.c.
25776 Whatever it was trying to test, wasn't working portably.
25777 (LC_ALL): Remove. Apparently there wasn't an encoding problem,
25778 just a line-ending problem.
25779 * test/etags/c-src/dostorture.c: Remove.
25780 * test/etags/cp-src/c.C: Remove stray CR.
25781 * test/etags/html-src/algrthms.html: Remove trailing CRs.
25782 State UTF-8 as the encoding. The file is ASCII so it doesn't matter,
25783 but if someone edits it later it should stay UTF-8-compatible.
25785 2015-05-19 Eli Zaretskii <eliz@gnu.org>
25787 Fix display of overlapping window-specific overlays
25788 * src/keyboard.c (adjust_point_for_property): When adjusting point
25789 due to display strings, ignore overlays that are specific to
25790 windows other than the currently selected one.
25791 * src/xdisp.c (handle_single_display_spec): If the display
25792 property comes from an overlay, arrange for buffer iteration to
25793 resume only after the end of that overlay. (Bug#20607)
25795 2015-05-19 Dmitry Gutov <dgutov@yandex.ru>
25797 New command icomplete-force-complete-and-exit
25798 * lisp/icomplete.el (icomplete-force-complete-and-exit):
25800 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00461.html)
25801 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00516.html).
25802 (icomplete-minibuffer-map): Bind C-j to it.
25803 (icomplete-forward-completions, icomplete-backward-completions):
25804 Mention the new command in the docstring.
25805 * lisp/minibuffer.el (minibuffer-force-complete-and-exit):
25806 Revert the previous fix for bug#17545.
25808 2015-05-19 Martin Rudalics <rudalics@gmx.at>
25812 In Elisp manual explain how to override window manager positioning
25814 * doc/lispref/frames.texi (Position Parameters): Give example of
25815 how to override a window manager positioning decision.
25817 Clarify concept of "surrogate minibuffer frames" (Bug#20538)
25818 * src/frame.c (Fdelete_frame): In doc-string mention that frame
25819 can't be deleted if it has a surrogate minibuffer.
25820 * doc/lispref/frames.texi (Minibuffers and Frames)
25821 (Deleting Frames): Explain "surrogate minibuffer frames".
25823 * src/w32heap.c (DUMPED_HEAP_SIZE): Bump to 19/12 MB.
25825 2015-05-18 Glenn Morris <rgm@gnu.org>
25827 Add option to ignore commit lines matching a pattern in ChangeLog
25828 * build-aux/gitlog-to-changelog: Add --ignore-line option.
25829 * build-aux/gitlog-to-emacslog: Ignore lines matching '^; '.
25831 2015-05-18 Paul Eggert <eggert@cs.ucla.edu>
25833 Don't skip new etags tests on non-UTF-8 hosts
25834 Problem reported by Eli Zaretskii for MS-Windows.
25835 * test/etags/Makefile (UTF8_LOCALE, UTF8_ENCODING): Remove.
25836 (LC_ALL): Set to C if the current locale isn't UTF-8.
25837 (.PHONY): Remove ediff_1 thru ediff_5.
25838 (check): Always run.
25840 2015-05-18 Glenn Morris <rgm@gnu.org>
25842 * lisp/calculator.el (calculator-funcall):
25843 * lisp/textmodes/artist.el (artist-spray-random-points):
25844 Use standard degree/radian conversion utilities.
25846 Further lisp-complete-symbol related cleanup.
25847 * lisp/emacs-lisp/lisp.el (lisp-complete-symbol):
25848 Unadvertise non-functional argument. Replace obsolete alias.
25850 2015-05-18 Dmitry Gutov <dgutov@yandex.ru>
25852 Add a test case for Maven warning ouput
25853 * test/automated/compile-tests.el
25854 (compile-tests--test-regexps-data): Add a case for Maven warning
25856 (compile--test-error-line): Check the compilation message type, if
25857 it's specified in the test data.
25859 2015-05-18 Paul Pogonyshev <pogonyshev@gmail.com>
25861 Update Maven compilation-mode entry to distinguish warnings
25862 * lisp/progmodes/compile.el
25863 (compilation-error-regexp-alist-alist): Update Maven entry to
25864 distinguish warnings (bug#20556).
25866 2015-05-18 Przemysław Wojnowski <esperanto@cumego.com>
25868 * test/automated/sgml-mode-tests.el: New file.
25870 2015-05-18 Dmitry Gutov <dgutov@yandex.ru>
25872 Improve handling of the first Git revision
25873 * lisp/vc/log-view.el (log-view-toggle-entry-display): When
25874 there's no next entry, delete until the end of the buffer.
25875 (log-view-end-of-defun-1): Stop at eob.
25876 * lisp/vc/vc-annotate.el
25877 (vc-annotate-show-diff-revision-at-line-internal): Don't give up
25878 when previous-revision is nil.
25879 * lisp/vc/vc-git.el (vc-git-expanded-log-entry): End the arguments
25880 with `--' to avoid ambiguity.
25881 (vc-git-annotate-extract-revision-at-line): Exclude `^' from the
25882 returned revision string.
25883 (vc-git-annotate-time): Expect `^' before the first revision.
25884 * lisp/vc/vc-git.el (vc-git-diff): Diff against an empty tree if
25885 REV1 is nil, and REV2 is not.
25886 * lisp/vc/vc.el: Update the description of the `diff' function.
25888 2015-05-18 Oleh Krehel <ohwoeowho@gmail.com>
25890 Allow checkdoc to be called in batch
25891 * lisp/emacs-lisp/checkdoc.el (checkdoc-error): When `noninteractive'
25892 is non-nil, echo the error with `warn'.
25893 How it can be used in -batch:
25894 (with-current-buffer (find-file "checkdoc.el")
25895 (checkdoc-current-buffer t))
25897 2015-05-18 Glenn Morris <rgm@gnu.org>
25899 * lisp/calendar/solar.el (solar-ecliptic-coordinates): Use float-pi.
25901 2015-05-17 Paul Eggert <eggert@cs.ucla.edu>
25903 * admin/notes/unicode: New section "binary files".
25905 Change new etags test to use UTF-8 encoding
25906 * test/etags/CTAGS.good, test/etags/ETAGS.good_1:
25907 * test/etags/ETAGS.good_2, test/etags/ETAGS.good_3:
25908 * test/etags/ETAGS.good_4, test/etags/ETAGS.good_5:
25909 * test/etags/html-src/index.shtml, test/etags/html-src/software.html:
25910 * test/etags/html-src/softwarelibero.html:
25911 Switch to UTF-8 encoding.
25912 * test/etags/Makefile (SRCS): Adjust to switch to UTF-8.
25913 Remove Makefile, as it's too incestuous to have the test input
25914 include the build procedure.
25915 (UTF8_LOCALE, UTF_ENCODING): New macros.
25916 (LC_ALL): If possible, set to a UTF-8 encoding if not already UTF-8.
25917 (check): Skip if not UTF-8.
25918 (.PHONY): New rule.
25919 (FRC): Remove, as superseded by .PHONY. All uses removed.
25920 (regexfile): Prefer printf to echo when outputting oddball chars.
25921 (.PRECIOUS): Remove, as these files are not built.
25923 Rename 'foo-gzipped' to 'foo.gz'
25924 * test/automated/data/decompress/foo.gz:
25925 Rename from test/automated/data/decompress/foo-gzipped,
25926 to make it easier for other tools to tell that it's compressed.
25927 * test/automated/zlib-tests.el (zlib--decompress):
25928 Adjust to renamed file.
25930 2015-05-17 Dmitry Gutov <dgutov@yandex.ru>
25932 Set up default-directory
25933 * lisp/vc/vc-annotate.el (vc-annotate-mode-map): Remove duplicate
25935 (vc-annotate-show-changeset-diff-revision-at-line): Set up an
25936 appropriate value for default-directory.
25938 2015-05-17 Samer Masterson <samer@samertm.com>
25940 * lisp/eshell/em-term.el (eshell-term-sentinel):
25941 No-op by default, only kills term buffer if
25942 `eshell-destroy-buffer-when-process-dies' is non-nil. (Bug#18108)
25943 (eshell-destroy-buffer-when-process-dies): New custom to preserve
25946 eshell: Introduce new buffer syntax
25947 The new buffer syntax '#<buffer-name>' is equivalent to '#<buffer
25948 buffer-name>'. Remove `eshell-buffer-shorthand', as it is no longer
25949 needed (Bug#19319).
25950 * lisp/eshell/esh-io.el (eshell-buffer-shorthand): Remove.
25951 (eshell-get-target): Remove shorthand-specific code.
25952 * lisp/eshell/esh-arg.el (eshell-parse-special-reference): Parse
25955 2015-05-17 Jan D <jan.h.d@swipnet.se>
25957 Merge branch 'master' into cairo
25959 2015-04-26 Jan D <jan.h.d@swipnet.se>
25961 Merge branch 'master' into cairo
25963 Add PBM support for cairo
25964 * src/image.c (xcolor_to_argb32): New function.
25965 (get_spec_bg_or_alpha_as_argb): Call xcolor_to_argb32.
25966 (pbm_load, png_load_body, jpeg_load_body, gif_load): Only use
25967 XImagePtr if ! USE_CAIRO.
25968 (pbm_load): Add cairo support.
25970 2015-04-12 Jan D <jan.h.d@swipnet.se>
25972 * src/xterm.c (x_free_cr_resources): Rename from x_prepare_for_xlibdraw.
25973 (x_cr_draw_frame, x_cr_export_frames, x_shift_glyphs_for_insert)
25974 (x_free_frame_resources): Rename x_prepare_for_xlibdraw to
25975 x_free_cr_resources.
25977 Handle specified bg in images. Use generic libpng code for PNGs.
25978 * src/image.c (get_spec_bg_or_alpha_as_argb)
25979 (create_cairo_image_surface): New functions when USE_CAIRO.
25980 (xpm_load): Call the above functions. Handle XPM without mask
25982 (png_load_body): Handle USE_CAIRO case.
25983 (png_load): Remove USE_CAIRO specific fuction, modify png_load_body
25985 (jpeg_load_body): Call create_cairo_image_surface.
25986 (gif_load, svg_load_image): Handle specified background, call
25987 create_cairo_image_surface.
25988 * src/xterm.c (x_draw_image_glyph_string): Add missing USE_CAIRO.
25990 2015-04-11 Jan D <jan.h.d@swipnet.se>
25992 Support GIF and TIFF with cairo
25993 * configure.ac: Allow jpeg with cairo.
25994 Allow tiff and gif with cairo.
25995 * src/image.c (jpeg_load_body): Create cairo image surface if USE_CAIRO.
25996 (tiff_load): Create cairo image surface if USE_CAIRO.
25999 Support JPEG with USE_CAIRO
26000 * configure.ac: Allow jpeg with cairo.
26001 * src/image.c (jpeg_load_body): Create cairo image surface if USE_CAIRO.
26003 2015-04-05 Jan D <jan.h.d@swipnet.se>
26005 Support RSVG and cairo
26006 * configure.ac: Allow rsvg with cairo. Move back HAVE_RSVG.
26007 * src/dispextern.h (struct image): Add cr_data2 if cairo.
26008 * src/image.c: #undef COLOR_TABLE_SUPPORT when USE_CAIRO.
26009 (x_clear_image): Free cr_data and cr_data2 if set.
26010 (xpm_load): Assign data to cr_data2.
26011 (svg_load_image): Convert from GdkPixbuf to CAIRO_FORMAT_ARGB32.
26013 2015-04-03 Jan D <jan.h.d@swipnet.se>
26015 Introduce limited Xpm support (32 bit ZPixmap) for Cairo
26016 * configure.ac (HAVE_RSVG): Move after cairo.
26017 (USE_CAIRO): Disable rsvg, don't disable Xpm.
26018 * src/image.c (prepare_image_for_display): Don't load if USE_CAIRO.
26019 (x_clear_image): If USE_CAIRO, also free possible img->ximg->obdata and
26020 don't return early.
26021 (ALLOC_XPM_COLORS): Don't define when USE_CAIRO.
26022 (xpm_load): Convert simple Xpms (32 bit ZPixmap) to CAIRO_FORMAT_ARGB32
26023 and create a surface.
26025 Tool tips for menus did not show any text.
26026 * src/xterm.c (x_update_begin): Don't create any surface for non-visible
26027 tip frames, the geometry may be wrong.
26029 Merge branch 'master' into cairo, fixes tooltips not shown.
26031 Merge branch 'master' into cairo
26033 Add CAIRO_CFLAGS to lwlib/Makefile.in
26034 * Makefile.in (CAIRO_CFLAGS): Add.
26036 2015-02-19 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
26038 * src/ftcrfont.c (ftcrfont_draw): Don't flush when drawing to screen.
26040 2015-02-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
26042 Draw outermost line using black relief and erase corners also for cairo.
26043 * src/xterm.c [USE_CAIRO]: Include math.h.
26044 (enum corners) [USE_CAIRO]: New enum.
26045 (x_erase_corners_for_relief) [USE_CAIRO]: New function.
26046 (x_draw_relief_rect) [USE_CAIRO]: Use it. If box width is larger
26047 than 1, draw the outermost line using the black relief.
26049 * src/xterm.c (x_fill_trapezoid_for_relief):
26050 Remove unnecessary cairo_close_path.
26052 2015-02-15 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
26054 * src/xterm.c (x_draw_relief_rect) [USE_CAIRO]: Reset clipping.
26056 * src/xterm.c (x_draw_stretch_glyph_string):
26057 Call x_reset_clip_rectangles instead of XSetClipMask.
26059 Use int instead of unsigned int for width and height args.
26060 * src/xterm.c (x_cr_draw_image, x_fill_rectangle, x_draw_rectangle)
26061 (x_fill_trapezoid_for_relief): Use int instead of unsigned int for
26062 width and height args.
26064 Modernize k&r cairo-related function declarations.
26065 * src/gtkutil.c (xg_page_setup_dialog, xg_get_page_setup, draw_page)
26066 (xg_print_frames_dialog): Modernize k&r declarations.
26067 * src/xfns.c (Fx_export_frames, Fx_page_setup_dialog, Fx_get_page_setup)
26068 (Fx_print_frames_dialog): Modernize k&r declarations.
26069 * src/xterm.c (x_gc_get_ext_data, x_extension_initialize)
26070 (x_begin_cr_clip, x_end_cr_clip, x_set_cr_source_with_gc_foreground)
26071 (x_set_cr_source_with_gc_background, x_cr_define_fringe_bitmap)
26072 (x_cr_destroy_fringe_bitmap, x_cr_draw_frame, x_cr_accumulate_data)
26073 (x_cr_destroy, x_cr_export_frames, x_prepare_for_xlibdraw)
26074 (x_set_clip_rectangles, x_reset_clip_rectangles, x_fill_rectangle)
26075 (x_draw_rectangle, x_clear_window, x_fill_trapezoid_for_relief)
26076 (x_clear_area): Modernize k&r declarations.
26078 Implement wave-style variant of underlining for cairo.
26079 * src/xterm.c (x_draw_horizontal_wave) [USE_CAIRO]: New function.
26080 (x_draw_underwave) [USE_CAIRO]: Use it.
26082 * src/xterm.c (x_draw_window_divider): Use x_fill_rectangle
26083 instead of XFillRectangle.
26085 2015-02-13 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
26087 Fix fringe bitmap initialization for cairo
26088 * src/fringe.c (init_fringe_bitmap) [USE_CAIRO]: Adjust bitmap
26089 data for cairo image surface.
26090 * src/xterm.c (x_cr_define_fringe_bitmap):
26091 Call cairo_surface_mark_dirty.
26093 2015-02-11 Jan D <jan.h.d@swipnet.se>
26096 * configure.ac (with-cairo): New option.
26097 (USE_CAIRO): Default to yes for Gtk+ 3. Add code to test for cairo,
26098 set CAIRO_CFLAGS, CAIRO_LIBS. Add ftcrfonto to FONT_OBJ if cairo.
26099 Output "Does Emacs use cairo?".
26100 * lisp/version.el (emacs-version): Add cairo version.
26101 * src/Makefile.in (CAIRO_CFLAGS, CAIRO_LIBS): New variables.
26102 (FONT_OBJ): Add comment about ftcrfont.
26103 (ALL_CFLAGS): Add CAIRO_CFLAGS.
26104 (LIBES): Add CAIRO_LIBS.
26105 * src/dispextern.h (struct image): Add cr_data for cairo.
26106 (x_cr_init_fringe): Declare.
26107 * src/font.c (syms_of_font): Call syms_of_ftcrfont for cairo.
26108 * src/font.h (ftcrfont_driver, syms_of_ftcrfont): Declare
26109 * src/fringe.c (x_cr_init_fringe): New function name that shares code
26110 with w32_init_fringe.
26111 * src/ftcrfont.c: New font driver for cairo, based on the ftfont driver.
26112 * src/ftfont.c (ftfont_info_size); New global variable.
26113 (ftfont_open2): New extern function almost the same as old ftfont_open,
26114 but takes the font_object as argument.
26115 (ftfont_open): Build font object and call ftfont_open2.
26116 * src/ftfont.h (ftfont_open2, ftfont_info_size): Declare.
26117 * src/gtkutil.c (xg_clear_under_internal_border)
26118 (xg_update_scrollbar_pos, xg_update_horizontal_scrollbar_pos):
26119 Only queue_draw if not cairo. Change args to x_clear_area.
26120 (xg_get_font): Use Qftcr when using cairo, Qxft otherwise.
26121 (xg_page_setup_dialog, xg_get_page_setup, draw_page)
26122 (xg_print_frames_dialog): New functions for printing.
26123 * src/gtkutil.h (xg_page_setup_dialog, xg_get_page_setup)
26124 (xg_print_frames_dialog): Declare.
26125 * src/image.c: Add defined (USE_CAIRO) for PNG.
26126 Add !defined USE_CAIRO for W32 PNG code.
26127 (x_clear_image): If cairo, destroy the surface in cr_data.
26128 (png_load): Add new cairo compatible implementation.
26129 (lookup_image_type): Add defined (USE_CAIRO) for define png_type.
26130 * src/xfns.c: New section Printing.
26131 (x-export-frames, x-page-setup-dialog, x-get-page-setup)
26132 (x-print-frames-dialog): New printing functions.
26133 (Fx_create_frame, x_create_tip_frame): Register ftcrfont if cairo.
26134 (syms_of_xfns): Defsym Qorientation, Qtop_margin, Qbottom_margin,
26135 Qportrait, Qlandscape, Qreverse_portrait, Qreverse_landscape).
26136 (syms_of_xfns): Provide cairo and defvar cairo-version-string.
26137 defsubr Sx_page_setup_dialog, Sx_get_page_setup, Sx_print_frames_dialog.
26138 * src/xterm.c (x_clear_area1, x_prepare_for_xlibdraw)
26139 (x_set_clip_rectangles, x_reset_clip_rectangles, x_fill_rectangle)
26140 (x_draw_rectangle, x_fill_trapezoid_for_relief, x_clear_window)
26141 (x_gc_get_ext_data, x_extension_initialize, x_cr_accumulate_data):
26143 (FRAME_CR_CONTEXT, FRAME_CR_SURFACE): New macros.
26144 (max_fringe_bmp, fringe_bmp): New variables.
26145 (x_gc_get_ext_data, x_extension_initialize)
26146 (x_cr_destroy_surface, x_begin_cr_clip, x_end_cr_clip)
26147 (x_set_cr_source_with_gc_foreground)
26148 (x_set_cr_source_with_gc_background, x_cr_define_fringe_bitmap)
26149 (x_cr_destroy_fringe_bitmap, x_cr_draw_image, x_cr_draw_frame)
26150 (x_cr_accumulate_data, x_cr_destroy, x_cr_export_frames)
26151 (x_prepare_for_xlibdraw, x_set_clip_rectangles)
26152 (x_reset_clip_rectangles, x_fill_rectangle, x_draw_rectangle)
26153 (x_clear_window, x_fill_trapezoid_for_relief): New functions.
26154 (x_update_begin): Create cairo surface if needed.
26155 (x_draw_vertical_window_border): Call x_fill_rectangle for cairo.
26156 (x_update_end): Paint cairo drawing surface to xlib surface.
26157 (x_clear_under_internal_border, x_after_update_window_line): Adjust
26158 arguments to x_clear_area.
26159 (x_draw_fringe_bitmap): Call x_fill_rectangle. Get GC values and
26160 call x_cr_draw_image for cairo. Call x_reset_clip_rectangles instead
26162 (x_set_glyph_string_clipping)
26163 (x_set_glyph_string_clipping_exactly): Use x_set_clip_rectangles
26164 instead of XSetClipRectangles.
26165 (x_clear_glyph_string_rect, x_draw_glyph_string_background):
26166 Use x_fill_rectangle instead of XFillRectangle.
26167 (x_draw_glyph_string_foreground)
26168 (x_draw_composite_glyph_string_foreground)
26169 (x_draw_glyphless_glyph_string_foreground): Use x_draw_rectangle
26170 instead of XDrawRectangle.
26171 (x_draw_relief_rect): Add code for USE_CAIRO.
26172 Call x_reset_clip_rectangles instead of XSetClipMask.
26173 (x_draw_box_rect): x_set_clip_rectangles instead of XSetClipRectangles,
26174 x_fill_rectangle instead of XFillRectangle, x_reset_clip_rectangles
26175 instead of XSetClipMask.
26176 (x_draw_image_foreground, x_draw_image_foreground_1):
26177 x_draw_rectangle instead of XDrawRectangle.
26178 (x_draw_glyph_string_bg_rect): x_fill_rectangle instead of
26180 (x_draw_image_glyph_string): If img has cr_data, use it as
26182 (x_draw_stretch_glyph_string): x_set_clip_rectangles instead of
26183 XSetClipRectangles, x_fill_rectangle instead of XFillRectangle.
26184 (x_draw_glyph_string): x_fill_rectangle instead of XFillRectangle.,
26185 x_reset_clip_rectangles instead of XSetClipMask.
26186 (x_shift_glyphs_for_insert): Call x_prepare_for_xlibdraw.
26187 (x_clear_area1): New function that calls XClearArea.
26188 (x_clear_area): Takes frame as parameter, calls x_clear_area1 for
26190 (x_clear_frame): x_clear_window instead of XClearWindow.
26191 (x_scroll_run): Set frame garbaged if cairo.
26192 (XTmouse_position): Initialize *part to 0.
26193 (x_scroll_bar_create): Adjust arguments to x_clear_area.
26194 (x_scroll_bar_set_handle): x_clear_area1 instead of x_clear_area,
26195 x_fill_rectangle instead of XFillRectangle.
26196 (XTset_vertical_scroll_bar, XTset_horizontal_scroll_bar): Adjust
26197 arguments to x_clear_area.
26198 (x_scroll_bar_expose): x_draw_rectangle instead of XDrawRectangle.
26199 (handle_one_xevent): Adjust arguments to x_clear_area.
26200 Destroy cairo surface for frame if ConfigureNotify.
26201 (x_clip_to_row): x_set_clip_rectangles instead of XSetClipRectangles.
26202 (x_draw_hollow_cursor): x_draw_rectangle instead of XDrawRectangle,
26203 x_reset_clip_rectangles instead of XSetClipMask.
26204 (x_draw_bar_cursor): x_fill_rectangle instead of XFillRectangle,
26205 x_reset_clip_rectangles instead of XSetClipMask.
26206 (x_clear_frame_area): Adjust arguments to x_clear_area.
26207 (x_free_frame_resources): Call x_prepare_for_xlibdraw.
26208 (x_term_init): Call x_extension_initialize if cairo.
26209 (x_redisplay_interface): Add x_cr_define_fringe_bitmap,
26210 x_cr_destroy_fringe_bitmap for cairo.
26211 (x_initialize): Call x_cr_init_fringe for cairo.
26212 * src/xterm.h: Add include of cairo header files.
26213 (x_bitmap_record): Add img if cairo.
26214 (x_gc_ext_data): New struct for cairo.
26215 (x_display_info): Add ext_codes for cairo.
26216 (x_output): Add cr_context and cr_surface for cairo.
26217 (x_clear_area): Change arguments from Display*/Window to frame pointer.
26218 (x_query_color, x_begin_cr_clip, x_end_cr_clip)
26219 (x_set_cr_source_with_gc_foreground, x_set_cr_source_with_gc_background)
26220 (x_cr_draw_frame, x_cr_export_frames): Declare.
26222 2015-05-17 Johan Bockgård <bojohan@gnu.org>
26224 Fix integer-valued `mouse-highlight' (Bug#20590)
26225 * src/xterm.c (handle_one_xevent) [USE_GTK]: Fix ifdef scope.
26227 2015-05-17 Eli Zaretskii <eliz@gnu.org>
26229 MS-Windows followup for ASCIIfication of curved quotes
26230 * lisp/term/w32console.el (terminal-init-w32console): Repeat the
26231 test for curved quotes being displayable, after switching the
26232 terminal encoding. (Bug#20545)
26234 2015-05-17 Jan D <jan.h.d@swipnet.se>
26236 Add comment that x_shift_glyphs_for_insert is never called
26237 * src/xterm.c (x_shift_glyphs_for_insert, x_redisplay_interface):
26238 Add comment that this function is never called.
26240 2015-05-16 Glenn Morris <rgm@gnu.org>
26242 * src/lisp.mk: Remove from repository and generate at build-time.
26243 * src/Makefile.in (lisp.mk): New rule to generate from loadup.el.
26244 (shortlisp_filter): New variable.
26245 (emacs$(EXEEXT), $(etc)/DOC): Depend on lisp.mk.
26246 (distclean): Remove lisp.mk.
26247 * Makefile.in ($(MAKEFILE_NAME)): No longer depend on src/lisp.mk.
26248 * lisp/loadup.el: Tweak layout to make it easier to parse.
26249 * make-dist: Do not distribute src/lisp.mk.
26251 2015-05-16 Dmitry Gutov <dgutov@yandex.ru>
26253 Display shorter dates in Git annotate output
26254 * lisp/vc/vc-git.el (vc-git-annotate-command): Use the short date
26255 format (when not overridden with vc-git-annotate-switches).
26256 (vc-git-annotate-time): Support the short format, as well as ISO
26257 8601 that has been used until now (bug#5428).
26259 2015-05-16 Paul Eggert <eggert@cs.ucla.edu>
26261 ASCIIfy curved quotes on displays lacking them
26262 * lisp/international/mule-cmds.el (set-locale-environment):
26263 If curved quotes don't work, display straight ASCII approximations
26266 2015-05-16 Glenn Morris <rgm@gnu.org>
26268 Small src/Makefile simplification
26269 * src/lisp.mk (shortlisp): Rename from lisp, remove $lispsource prefix.
26270 * src/Makefile.in (lisp): Derive from shortlisp.
26271 ($(etc)/DOC): Use $shortlisp rather than parsing lisp.mk.
26273 2015-05-16 Eli Zaretskii <eliz@gnu.org>
26275 * lisp/help-mode.el (help-go-forward): Doc fix.
26278 * doc/lispref/debugging.texi (Profiling): Improve indexing.
26281 2015-05-16 Dmitry Gutov <dgutov@yandex.ru>
26283 * lisp/vc/vc-git.el (vc-git-resolve-when-done): Use `unless' to
26284 have one fewer `not'.
26286 * lisp/vc/vc-git.el (vc-git-diff-switches)
26287 (vc-git-annotate-switches, vc-git-resolve-conflicts)
26288 (vc-git-program, vc-git-root-log-format): Remove the redundant
26289 :group declarations.
26291 2015-05-16 Nicolas Petton <nicolas@petton.fr>
26293 Removes the predicate from lisp-complete-symbol (Bug#20456)
26294 * lisp/emacs-lisp/lisp.el (lisp-complete-symbol): Do not use predicate
26295 and remove it from the docstring.
26297 2015-05-16 Dmitry Gutov <dgutov@yandex.ru>
26299 Add new option vc-git-resolve-conflicts
26300 * lisp/vc/vc-git.el (vc-git-resolve-conflicts): New variable.
26301 (vc-git-find-file-hook): Add to after-save-hook only when the
26303 (vc-git-resolve-when-done): Update to honor the new variable.
26306 2015-05-16 Artur Malabarba <bruce.connor.am@gmail.com>
26308 * lisp/emacs-lisp/tabulated-list.el: Don't error on null header-string.
26309 (tabulated-list-init-header): Document new behavior.
26310 (tabulated-list-print-fake-header): Do nothing if
26311 `tabulated-list--header-string' is nil.
26312 (tabulated-list--header-string): Add a docstring.
26313 * doc/lispref/modes.texi (Tabulated List Mode): Document it.
26314 * etc/NEWS: Document it.
26316 2015-05-15 Leo Liu <sdl.web@gmail.com>
26318 Revert "Fix cps--gensym"
26319 * lisp/emacs-lisp/generator.el (cps--gensym): Revert commit
26320 fbda511ab8069d0115eafca411a43353b85431b1 on 2015-05-14.
26322 2015-05-15 Glenn Morris <rgm@gnu.org>
26324 Replace AC_SUBST_FILE in configure with include in Makefiles
26325 * configure.ac (DEPDIR, MKDEPDIR, deps_frag, lwlib_deps_frag)
26326 (oldxmenu_deps_frag, lisp_frag): Remove output variables/files.
26327 (AUTO_DEPEND): New output variable.
26328 * lwlib/Makefile.in (AUTO_DEPEND): New, set by configure.
26329 (DEPFLAGS, MKDEPDIR): Set directly via conditional.
26330 (lwlib_deps_frag): Replace by conditional include.
26331 * lwlib/autodeps.mk: Remove file.
26332 * oldXMenu/Makefile.in (AUTO_DEPEND): New, set by configure.
26333 (DEPFLAGS, MKDEPDIR): Set directly via conditional.
26334 (oldxmenu_deps_frag): Replace by conditional include.
26335 * oldXMenu/autodeps.mk: Remove file.
26336 * src/Makefile.in (AUTO_DEPEND): New, set by configure.
26337 (DEPFLAGS, MKDEPDIR): Set directly via conditional.
26338 (lisp_frag): Replace by an include.
26339 (deps_frag): Replace by conditional include.
26340 * src/autodeps.mk: Remove file.
26342 Tweak japanese.el's loading of dependencies
26343 * lisp/loadup.el: Explicitly load cp51932 and eucjp-ms.
26344 * lisp/language/japanese.el: Use require rather than load.
26345 * lisp/international/cp51932.el, lisp/international/eucjp-ms.el:
26347 * admin/charsets/eucjp-ms.awk, admin/charsets/cp51932.awk:
26348 Provide a feature in the generated file.
26350 2015-05-15 Jan D <jan.h.d@swipnet.se>
26353 * src/nsmenu.m (ns_popup_dialog)
26354 * src/nsimage.m (initFromXBM:width:height:fg:bg:)
26355 * src/nsfns.m (Fx_create_frame): Remove unused variables.
26356 (Fns_read_file_name): Initialize fname, remove ret.
26357 * src/nsterm.m (ns_draw_window_cursor): Handle DEFAULT_CURSOR in switch.
26358 (ns_get_color, ns_set_horizontal_scroll_bar, keyDown):
26359 Remove unused variable.
26360 (init): Add parantesis in if.
26361 (ns_create_terminal): Assign set_horizontal_scroll_bar_hook.
26363 2015-05-15 Jan Djärv <jan.h.d@swipnet.se>
26365 Fix a enum conversion warning in macfont.m
26366 * src/macfont.h (CharacterCollection): Typedef to NSCharacterCollection.
26367 (MAC_CHARACTER_COLLECTION_*): Use the NS variants.
26369 2015-05-15 Eli Zaretskii <eliz@gnu.org>
26371 * lisp/textmodes/ispell.el (ispell-aspell-find-dictionary):
26372 Support Aspell dictionaries with names like "de-alt". (Bug#20581)
26374 2015-05-15 Jan Djärv <jan.h.d@swipnet.se>
26376 * lisp/cus-start.el: Add ns-confirm-quit.
26378 Fix warnings on OSX 10.10
26379 * src/nsfns.m (MODAL_OK_RESPONSE): New define for different
26381 (Fns_read_file_name): Check against MODAL_OK_RESPONSE.
26382 (compute_tip_xy): Use convertRectToScreen for OSX >= 10.7
26383 * src/nsmenu.m (initWithContentRect:styleMask:backing:defer:)
26384 * src/nsimage.m (allocInitFromFile, setPixmapData): Only call
26385 setScalesWhenResized for OSX < 10.6.
26386 * src/nsterm.h (EmacsScroller): Declare scrollerWidth.
26387 * src/nsterm.m (ns_copy_bits): New function that does not use
26388 deprecated NSCopyBits.
26389 (ns_scroll_run, ns_shift_glyphs_for_insert): Call ns_copy_bits.
26390 (runAlertPanel): New function.
26391 (applicationShouldTerminate:): Call runAlertPanel.
26392 (initFrameFromEmacs, toggleFullScreen:): Only call
26393 useOptimizedDrawing for OSX < 10.10.
26394 (initFrameFromEmacs:): Only call allocateGState for OSX < 10.10.
26395 (windowWillUseStandardFrame:defaultFrame:): Cast arg to abs to int.
26396 (draggingEntered:): Returns NSDragOperation.
26397 (scrollerWidth): Use scrollerWidthForControlSize for OSX >= 10.7.
26399 2015-05-15 Artur Malabarba <bruce.connor.am@gmail.com>
26401 * lisp/emacs-lisp/package.el: Don't ensure-init during startup.
26402 (package--init-file-ensured): New variable.
26403 (package-initialize, package--ensure-init-file): Use it.
26405 2015-05-15 Jan Djärv <jan.h.d@swipnet.se>
26407 Honor :fore/background for XBM on NS (Bug#14969)
26408 * src/nsterm.h (EmacsImage): Add xbm_fg, remove initFromSkipXBM,
26409 initFromXBM takes bg, fg args, remove flip arg.
26410 (ns_image_from_XBM): Add bg, fg args.
26411 * src/image.c (x_create_bitmap_from_data)
26412 (Create_Pixmap_From_Bitmap_Data): ns_image_from_XBM takes bg, fg args.
26413 * src/nsimage.m (ns_image_from_XBM): Add fg, bg args, pass to
26414 initFromXBM. Remove flip arg.
26415 (initFromSkipXBM): Move code to initFromXBM.
26416 (initFromXBM): Actually set fg and bg, instead of playing alpha games.
26417 Use fg, bg from args (Bug#14969). Remove if (length) section, was
26419 Remove bit flipping (bitPat, swt), generated incorrect images when
26420 width/height wasn't a multiple of 8.
26421 (setXBMColor:): Modify planes by comparing to saved xbm_fg.
26422 * src/nsterm.m (ns_draw_fringe_bitmap): initFromXBM takes fg, bg args,
26425 2015-05-15 Artur Malabarba <bruce.connor.am@gmail.com>
26427 * lisp/emacs-lisp/package.el: Be more careful with the init file.
26428 (package--ensure-init-file): Check that user-init-file is set,
26429 exists, is readable, and is writable. (Bug#20584)
26430 Also expand the docstring.
26432 2015-05-14 Wilson Snyder <wsnyder@wsnyder.org>
26434 Sync with upstream verilog-mode revision 6232468
26435 * lisp/progmodes/verilog-mode.el
26436 (verilog-font-lock-grouping-keywords-face)
26437 (verilog-highlight-grouping-keywords): Fix use of face when
26438 `verilog-highlight-grouping-keywords' set. Reported by Jeff Pompa.
26439 (verilog-auto-reset): Fix AUTORESET to ignore member resets if
26440 parent is reset, bug906. Reported by Ken Schmidt.
26441 (verilog-auto-inout-module): Add fourth regexp argument to
26442 AUTOINOUTMODULE and AUTOINOUTCOMP for signals to not match, bug856.
26443 Reported by John Tillema.
26444 (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
26445 modport if signal attachment is itself a modport. Reported by
26447 (verilog-auto-reset, verilog-auto-sense-sigs): Fix AUTORESET with
26448 always_comb and always_latch, bug844. Reported by Greg Hilton.
26449 (verilog-at-constraint-p, verilog-beg-of-statement-1): Fix hanging
26450 with many curly-bracket pairs, bug663.
26451 (verilog-set-auto-endcomments): Fix end comments for functions of
26452 type void, etc. Reported by Alex Reed.
26453 (verilog-do-indent): Fix electric tab deleting form-feeds. Note
26454 caused by indent-line-to deleting tabls pre 24.5.
26455 (verilog-nameable-item-re): Fix nameable items that can have an
26456 end-identifier to include endchecker, endgroup, endprogram,
26457 endproperty, and endsequence. Reported by Alex Reed.
26458 (verilog-label-be): When auto-commenting a buffer, consider
26459 auto-comments on all known keywords (not just a subset thereof).
26460 Reported by Alex Reed.
26461 (verilog-auto-end-comment-lines-re)
26462 (verilog-end-block-ordered-re, verilog-set-auto-endcomments):
26463 Automatically comment property/endproperty blocks to match other
26464 similar blocks like sequence/endsequence, function/endfunction, etc.
26465 Reported by Alex Reed.
26466 (verilog-set-auto-endcomments): Detect the function- or task-name
26467 when auto-commenting blocks that lack an explicit portlist.
26468 Reported by Alex Reed.
26469 (verilog-auto, verilog-auto-insert-last): Add AUTOINSERTLAST to
26470 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
26471 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
26472 is nil, fix indenting initial/final to match always statements,
26473 bug825. Reported by Tim Clapp.
26474 (verilog-extended-complete-re): Fix indentation of DPI-C imports
26475 with c_identifiers, and DPI-C imports, bug557. Reported by ZeDong
26476 Mao and Jason Forkey.
26477 (verilog-read-decls): Fix parsing typed interfaces. Fix
26478 AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
26479 Fix localparam not being ignored in AUTOINSTPARAM,
26480 bug889. Reported by Shannon Hill.
26481 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY,
26482 bug793. Reported by Pierre-David Pfister.
26483 (verilog-auto-arg-format, verilog-auto-arg-ports):
26484 Add verilog-auto-arg-format to support newlines in AUTOARG.
26485 Reported by Jie Xiao.
26486 (verilog-batch-execute-func): Do not batch re-auto files loaded by
26487 Local Variables. Fix printing "no changes to be saved" with
26488 verilog-batch. Reported by Dan Dever.
26489 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
26490 interface-only modules, bug721. Reported by Dean Hoyt.
26491 Author: Alex Reed <acreed4@gmail.com>
26492 * lisp/progmodes/verilog-mode.el (verilog-beg-of-statement):
26493 Don't treat '<keyword>:<identifier>' as the start of a labeled
26494 statement, bug905. Reported by Enzo Chi.
26495 (verilog-directive-re, verilog-compiler-directives)
26496 (verilog-keywords): Match full set of IEEE 2012-1800 compiler
26497 directives (plus some extras) when determining indentation, bug
26498 901. Reported by Bernd Beuster.
26499 (verilog-at-constraint-p): Fix indentation of coverpoint bins if
26500 iff expression doesn't start with word-character, bug900.
26501 (verilog-optional-signed-range-re, verilog-optional-signed-re):
26502 Fix incorrect indentation/alignment of unsigned declarations,
26504 (verilog-looking-back, verilog-in-attribute-p): Fix labeling of
26505 always constructs, bug895.
26506 (verilog-calc-1): Fix verilog-mode constraint indentation, bug324.
26507 Reported by Eric Mastromarchi.
26508 (verilog-beg-of-statement): Fix indenting for some forms of
26509 constraintsm bug433. Reported by Brad Parker. Fix indentation of
26510 continued assignment incorrect if first line ends with ']', bug437.
26511 Reported by Dan Dever. Fix indention of cover inside an
26512 ifdef, bug 862. Reported by Bernd Beuster. Fix labeling do-while
26514 (verilog-preprocessor-re): Fix fork/end UNMATCHED warning, bug859.
26515 Reported by Kaushal Modi.
26516 (verilog-set-auto-endcomments): Fix endlabel end comments, bug888.
26517 (verilog-backward-token): Fix indenting sensitivity lists with
26518 named events, bug840. Reed.
26519 (verilog-no-indent-begin-re): Fix `verilog-indent-begin-after-if'
26520 nil not honoring 'forever', 'foreach', and 'do' keywords.
26522 2015-05-14 Paul Eggert <eggert@cs.ucla.edu>
26524 Check for invalid GTK+ monitor scales
26525 * src/gtkutil.c (xg_get_gdk_scale): Return 1 for invalid scales,
26526 INT_MAX for too-large scales. All callers changed to assume the
26527 result is valid (Bug#20432).
26528 (xg_frame_set_char_size, xg_update_scrollbar_pos):
26529 Calculate scale only if needed.
26530 Show ASCII approximations instead.
26532 2015-05-14 Eli Zaretskii <eliz@gnu.org>
26534 Fix daemon crashes when linum-mode is turned on early on
26535 * src/window.c (Fwindow_end): Don't try calling display engine
26536 functions on initial-frame frame. (Bug#20565)
26538 Fix selective diff browsing in Ediff
26539 * lisp/vc/ediff-util.el (ediff-focus-on-regexp-matches):
26540 Go to the beginning of the region before searching for the
26541 ediff-regexp-focus-* regexps. (Bug#20568)
26543 2015-05-14 Jan D <jan.h.d@swipnet.se>
26546 * src/gtkutil.c (delete_cb): Don't send delete event here, it does
26547 arrive in the main loop, even for Gtk 3 (Bug#20142).
26549 Don't access display after i/o error (Bug#19147).
26550 * src/xterm.c (x_connection_closed): Add third arg ioerror.
26551 If ioerror, set display to 0 (Bug#19147).
26552 (x_error_quitter): Call x_connection_closed with third arg false.
26553 (x_io_error_quitter): Call x_connection_closed with third arg true.
26555 Handle GTK_SCALE, fixes Bug#20432.
26556 * src/gtkutil.c (xg_get_gdk_scale): New function.
26557 (xg_frame_set_char_size)
26558 (x_wm_set_size_hint, xg_get_default_scrollbar_width)
26559 (xg_get_default_scrollbar_height)
26560 (xg_update_horizontal_scrollbar_pos): Take GTK_SCALE in to account
26561 when setting sizes (Bug#20432).
26563 2015-05-13 Leo Liu <sdl.web@gmail.com>
26565 * lisp/emacs-lisp/generator.el (cps--gensym): Fix.
26567 2015-05-13 Glenn Morris <rgm@gnu.org>
26569 Fix bootstrap (void function cl-member).
26570 * lisp/emacs-lisp/cl-lib.el: Load cl-seq if no cl-loaddefs file.
26571 * lisp/emacs-lisp/cl-seq.el: Provide a feature.
26573 2015-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
26575 * lisp/loadup.el ("emacs-lisp/cl-generic"): Preload
26576 * src/lisp.mk (lisp): Add emacs-lisp/cl-generic.elc.
26577 * lisp/emacs-lisp/cl-generic.el (cl-generic-define-method):
26578 Avoid defalias for closures which are not immutable.
26579 (cl--generic-prefill-dispatchers): New macro. Use it to prefill
26580 the dispatchers table with various entries.
26581 * lisp/emacs-lisp/ert.el (emacs-lisp-mode-hook):
26582 * lisp/emacs-lisp/seq.el (emacs-lisp-mode-hook): Use add-hook.
26584 2015-05-13 Eli Zaretskii <eliz@gnu.org>
26586 Improve tagging of C bindings in DEFVAR_*
26587 * src/Makefile.in (TAGS): Add --regex options to tag the C binding
26590 2015-05-13 Paul Eggert <eggert@cs.ucla.edu>
26592 * src/editfns.c (Fformat): Fix use-after-free bug (Bug#20548).
26594 2015-05-12 Glenn Morris <rgm@gnu.org>
26596 * lisp/progmodes/tcl.el (tcl-filter):
26597 Handle comint-prompt-read-only like gud.el does. (Bug#20549)
26599 Add basic VC push support
26600 * lisp/vc/vc.el (vc-push): New autoloaded command.
26601 * lisp/vc/vc-hooks.el (vc-prefix-map, vc-menu-map): Add vc-push.
26602 * lisp/vc/vc-bzr.el (vc-bzr--pushpull): New, factored from vc-bzr-pull.
26603 (vc-bzr-pull): Reimplement using vc-bzr--pushpull.
26604 (vc-bzr-push): New.
26605 * lisp/vc/vc-git.el (vc-git--pushpull): New, factored from vc-git-pull.
26606 (vc-git-pull): Reimplement using vc-git--pushpull.
26607 (vc-git-push): New.
26608 * lisp/vc/vc-hg.el (vc-hg--pushpull): New, factored from vc-hg-pull.
26609 (vc-hg-pull, vc-hg-push): Reimplement using vc-hg--pushpull.
26610 * doc/emacs/maintaining.texi (Pulling / Pushing):
26611 Rename from "VC Pull". Mention pushing.
26612 (VC With A Merging VCS, VC Change Log): Update xrefs.
26613 (Branches): Update menu.
26614 * doc/emacs/emacs.texi: Update menu.
26615 * etc/NEWS: Mention this.
26617 2015-05-12 Nicolas Petton <nicolas@petton.fr>
26619 Improve the seq pcase pattern and the `seq-let' macro
26620 * lisp/emacs-lisp/seq.el: The pcase pattern now matches only if the
26621 object is a sequence, and binds each element of ARGS to the
26622 corresponding element of the sequence.
26624 2015-05-12 Eli Zaretskii <eliz@gnu.org>
26626 Fix tags created from DEFVAR_* declarations in C
26627 * src/Makefile.in (TAGS): Improve the --regex argument to etags,
26628 to make tags extracted from DEFVAR_* declarations more accurate.
26630 Add a test suite for etags
26631 * test/etags/: New test suite, adapted from
26632 http://fly.isti.cnr.it/pub/software/unix/etags-regression-test.tar.bz2,
26633 whose original author is Francesco Potortì <pot@gnu.org>.
26635 Fix tagging of symbols in C enumerations
26636 * lib-src/etags.c (consider_token): Don't tag symbols in
26637 expressions that assign values to enum constants. See
26638 http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00291.html
26640 (C_entries): Reset fvdef to fvnone after processing a preprocessor
26641 conditional and after a comma outside of parentheses.
26643 2015-05-12 Glenn Morris <rgm@gnu.org>
26645 * lisp/url/url-handlers.el (url-file-name-completion)
26646 (url-file-name-all-completions): Silence compiler.
26648 * lisp/emacs-lisp/chart.el (chart-axis-draw): Replace obsolete alias.
26650 * lisp/play/dunnet.el (dun-dos-boot-msg): Fix time. (Bug#20554)
26652 2015-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
26654 * lisp/emacs-lisp/cl-generic.el: Add dispatch on &context arguments
26655 (cl--generic-mandatory-args): Remove.
26656 (cl--generic-split-args): New function.
26657 (cl-generic-define, cl--generic-lambda): Use it.
26658 (cl-generic-define-method): Use it as well, and add support for
26660 (cl--generic-get-dispatcher): Handle &context dispatch.
26661 (cl--generic-cache-miss): `dispatch-arg' can now be a
26662 context expression.
26663 (cl--generic-dispatchers): Pre-fill.
26664 * test/automated/cl-generic-tests.el (sm-generic-test-12-context):
26667 2015-05-11 Glenn Morris <rgm@gnu.org>
26669 * make-dist: Abort if "make ChangeLog" fails. Add "--no-changelog".
26671 2015-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
26673 * lisp/term/xterm.el: Fix xterm-paste handling for rxvt
26674 * lisp/term/rxvt.el: Require term/xterm.
26675 (rxvt-function-map): Use xterm-rxvt-function-map.
26676 (rxvt-standard-colors): Move before first use.
26677 (terminal-init-rxvt): Use xterm--push-map and
26678 xterm-register-default-colors.
26679 (rxvt-rgb-convert-to-16bit, rxvt-register-default-colors): Remove.
26680 * lisp/term/xterm.el (xterm-rxvt-function-map): New var.
26681 Move shared bindings between rxvt and xterm to it.
26682 (xterm-function-map): Use it. Move the xterm-paste binding to
26683 xterm-rxvt-function-map (bug#20444).
26684 (xterm-standard-colors): Move before first use.
26685 (xterm--push-map): New function.
26686 (xterm-register-default-colors): Take standard colors as argument.
26687 (terminal-init-xterm): Use it. Adjust call to
26688 xterm-register-default-colors.
26690 2015-05-11 Glenn Morris <rgm@gnu.org>
26692 * lisp/term/x-win.el: Quieten --without-x compilation.
26693 (x-own-selection-internal, x-disown-selection-internal)
26694 (x-selection-owner-p, x-selection-exists-p, x-get-selection-internal):
26697 * Makefile.in (emacslog): Remove srcdir.
26698 (ChangeLog): Update for the above.
26700 2015-05-10 Fabián Ezequiel Gallina <fgallina@gnu.org>
26702 python.el: better limit for looking-back calls
26703 * lisp/progmodes/python.el (python-shell-accept-process-output):
26704 Use last comint prompt start as limit for looking-back.
26706 2015-05-10 Stefan Monnier <monnier@iro.umontreal.ca>
26708 CEDET (srecode-insert-fcn): Fix use of oref on a class
26709 * lisp/cedet/srecode/insert.el (srecode-insert-fcn): Fix use of oref
26710 on a class. Reported by Pierre Lorenzon.
26711 (srecode-template-inserter-point): Remove declaration.
26713 CEDET (srecode-create-dictionary): Avoid obsolete object name
26714 * lisp/cedet/srecode/dictionary.el (srecode-create-dictionary):
26715 Don't use a symbol as an object name. Reported by Pierre Lorenzon.
26717 2015-05-10 Paul Eggert <eggert@cs.ucla.edu>
26719 C-x 8 shorthands for curved quotes, Euro, etc.
26720 Although C-x 8 lets you insert arbitrary Unicode characters,
26721 it's awkward to use this to insert commonly used symbols such as curved
26722 quotes, the Euro symbol, etc. This patch adds simpler sequences for
26723 characters commonly found in English text and in basic math.
26724 For example, assuming the Alt key works on your keyboard and iso-transl
26725 is loaded, one can now type "A-[" instead of "A-RET LEFT SIN TAB RET"
26726 to get the character "‘" (U+2018 LEFT SINGLE QUOTATION MARK).
26728 * doc/emacs/mule.texi (Unibyte Mode):
26729 A few other printing characters now work too.
26730 * etc/NEWS: Document this.
26731 * lisp/international/iso-transl.el (iso-transl-char-map):
26732 Also support the following characters:
26733 ‐ ‑ ‒ – — ― ‘ ’ “ ” † ‡ • ′ ″ € № ← → ↔ − ≈ ≠ ≤ ≥
26735 2015-05-10 Dmitry Gutov <dgutov@yandex.ru>
26737 Add xref-find-regexp
26738 * lisp/progmodes/xref.el (xref-find-function): Describe the
26740 (xref-find-regexp): New command, using it.
26741 (xref-collect-references): Rename to xref-collect-matches.
26742 (xref--collect-reference): Rename to xref--collect-match.
26743 (xref-collect-matches, xref--collect-match): Accept new argument,
26744 KIND. Update accordingly.
26745 (xref--regexp-to-extended): New function.
26746 * lisp/progmodes/elisp-mode.el (elisp-xref-find): Support the
26748 (elisp--xref-find-matches): Accept new argument. Resolve a FIXME.
26749 * lisp/progmodes/etags.el (etags-xref-find):
26750 Support the `matches' action.
26751 (etags--xref-find-matches): New function.
26753 2015-05-10 Glenn Morris <rgm@gnu.org>
26755 * Makefile.in: Fixes for recent change-history changes.
26756 (change-history-nocommit): Update footer regexp.
26757 Ensure output script stays executable.
26759 2015-05-10 Nicolas Petton <nicolas@petton.fr>
26761 New version of `seq-let' based on a pcase pattern
26762 * lisp/emacs-lisp/seq.el (seq-let): Define the macro in terms of a
26763 pcase pattern if `pcase-defmacro' is defined (Emacs>=25.1).
26765 2015-05-10 Przemysław Wojnowski <esperanto@cumego.com>
26767 Add basic HTML5 tags and a template
26768 * lisp/textmodes/sgml-mode.el: Basic HTML5 support.
26769 (html-tag-alist): Add HTML5 tags.
26770 (html-tag-help): Add new tags descriptions.
26771 (html-navigational-links): Template for nav links.
26772 (html-html5-template): Template for a HTML5 page.
26774 2015-05-10 Dmitry Gutov <dgutov@yandex.ru>
26776 semantic/symref/grep: Don't use word boundaries
26777 * lisp/cedet/semantic/symref/grep.el
26778 (semantic-symref-perform-search): Instead of wrapping input in
26779 word boundaries, check that the characters before and after are
26780 not word constituents.
26782 semantic/symref/grep: Support regexp search
26783 * lisp/cedet/semantic/symref.el
26784 (semantic-symref-hit-to-tag-via-buffer): Don't regexp-quote when
26785 the search type is regexp.
26786 * lisp/cedet/semantic/symref/grep.el
26787 (semantic-symref-perform-search): Support the regexp search type.
26788 Pass -E to Grep when it's used.
26790 semantic-symref-regexp: Allow to input an arbitrary string
26791 * lisp/cedet/semantic/symref/list.el (semantic-symref-regexp):
26792 Allow to input an arbitrary string interactively.
26794 Remove tag-symbol-match-p from etags-xref-find-definitions-tag-order
26795 * lisp/progmodes/etags.el (etags-xref-find-definitions-tag-order):
26796 Remove tag-symbol-match-p from the default value
26797 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00292.html).
26799 Declare find-tag obsolete
26800 * lisp/progmodes/etags.el (find-tag): Declare obsolete in favor of
26801 xref-find-definitions.
26803 2015-05-10 Jan D <jan.h.d@swipnet.se>
26805 Draw composite string correctly (Bug#20537)
26806 * src/nsterm.m (ns_draw_composite_glyph_string_foreground):
26808 (ns_draw_glyph_string): Call it.
26810 2015-05-09 Eli Zaretskii <eliz@gnu.org>
26812 Avoid infloop in ERC
26813 * lisp/simple.el (line-move-to-column): Ignore field boundaries
26814 while computing line beginning position. (Bug#20498)
26816 2015-05-08 Glenn Morris <rgm@gnu.org>
26818 * Makefile.in (ChangeLog): No longer pass "srcprefix"; cd instead.
26819 * build-aux/gitlog-to-emacslog: Check called from right directory.
26820 (srcprefix): Remove.
26822 * build-aux/gitlog-to-emacslog: Get rid of "distprefix".
26823 * Makefile.in (ChangeLog): No longer pass "distprefix".
26824 * make-dist: Update "make ChangeLog" syntax for the above change.
26826 * build-aux/gitlog-to-emacslog: Don't hard-code "ChangeLog.2".
26827 * Makefile.in (ChangeLog): Pass -n to gitlog-to-emacslog.
26829 * build-aux/gitlog-to-emacslog: Add "for earlier changes" to footer.
26831 Add command-line option-parsing to gitlog-to-emacslog
26832 * build-aux/gitlog-to-emacslog: Add command-line options.
26833 By default, refuse to remove an existing output file.
26834 * Makefile.in (CHANGELOG): Update default.
26835 (ChangeLog): Do not test for existing file.
26836 (change-history-nocommit): Ensure temp file does not exist.
26838 Quieten --without-x compilation
26839 * lisp/term/common-win.el: Provide a feature.
26840 * lisp/term/x-win.el (term/common-win): Require it.
26842 * lisp/dired-aux.el (dired-do-print): Require lpr.
26844 Quieten compilation, eg in --without-x builds
26845 * lisp/dired-aux.el (lpr-printer-switch):
26846 * lisp/frame.el (tool-bar-height):
26847 * lisp/linum.el (font-info):
26848 * lisp/window.el (font-info, overflow-newline-into-fringe)
26850 * lisp/emacs-lisp/package-x.el (tar-data-buffer):
26851 * lisp/gnus/gnus-util.el (iswitchb-mode):
26852 * lisp/mail/rmailmm.el (libxml-parse-html-region):
26853 * lisp/net/nsm.el (gnutls-peer-status)
26854 (gnutls-peer-status-warning-describe):
26855 * lisp/net/shr.el (libxml-parse-xml-region):
26856 * lisp/url/url-http.el (gnutls-peer-status): Declare.
26858 2015-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
26860 CEDET (srecode-pop, srecode-peek): Don't use `subclass'
26861 * lisp/cedet/srecode/insert.el (srecode-pop, srecode-peek): Don't use
26862 `subclass' since they're never called with a class.
26863 (srecode-insert-method, srecode-insert-subtemplate): Avoid obsolete
26864 srecode-dictionary-child-p.
26866 2015-05-08 Nicolas Richard <theonewiththeevillook@yahoo.fr>
26868 * lisp/help.el (help--binding-locus): Document argument POSITION.
26871 2015-05-08 Paul Eggert <eggert@cs.ucla.edu>
26874 * doc/misc/texinfo.tex: Get latest version.
26876 2015-05-08 Oleh Krehel <ohwoeowho@gmail.com>
26878 ffap.el (ffap-read-file-or-url): Fix completing-read call
26879 * lisp/ffap.el (ffap-read-file-or-url): The HIST argument of
26880 `completing-read' should be a symbol.
26882 2015-05-08 Eli Zaretskii <eliz@gnu.org>
26884 Verify file modifications by other programs
26885 * src/filelock.c (lock_file): Check whether the file was modified
26886 since it was visited even if 'create-lockfiles' is nil. (Bug#18828)
26888 Fix keyboard macros that include function keys
26889 * src/keyboard.c (read_char_minibuf_menu_prompt): Record function
26890 keys in the macro before returning. (Bug#20454)
26892 2015-05-08 Glenn Morris <rgm@gnu.org>
26894 * build-aux/gitlog-to-changelog: Treat "Tiny-change" like
26895 "Copyright-paperwork-exempt". (Bug#20324)
26897 * lisp/vc/log-edit.el: Handle "(tiny change)". (Bug#20324)
26898 (log-edit-rewrite-tiny-change): New variable.
26899 (log-edit-insert-changelog): Maybe add "Copyright-paperwork-exempt".
26900 (log-edit-changelog-ours-p): Set log-edit-author to a cons.
26901 * etc/NEWS: Mention this.
26903 * lisp/calc/calc.el (math-zerop): Declare.
26905 * lisp/emacs-lisp/eieio-opt.el (help-fns-short-filename): Declare.
26907 2015-05-07 Artur Malabarba <bruce.connor.am@gmail.com>
26909 * lisp/emacs-lisp/subr-x.el (if-let): Fix debug spec.
26910 Support the case when BINDINGS is a single tuple. (Bug#20525)
26912 * etc/NEWS: Fix typo in previous commit
26913 (14bb519f1034ddb38ce375cbad7095d9b07f8b26).
26915 2015-05-07 Jan D <jan.h.d@swipnet.se>
26917 * configure.ac: Warn for multiple display crash for all Gtk+ versions.
26918 Output URL to Gtk+ bug (Bug#20452).
26920 * lisp/term/ns-win.el (ns-paste-secondary): Use gui-get-selection.
26922 2015-05-07 Artur Malabarba <bruce.connor.am@gmail.com>
26924 * lisp/emacs-lisp/package.el: New "external" package status.
26925 An external package is any installed package that's not built-in
26926 and not from `package-user-dir', which usually means it's from an
26927 entry in `package-directory-list'. They are treated much like
26928 built-in packages, in that they cannot be through the Package Menu
26929 deleted and are not considered for upgrades.
26930 (package-desc-status): Identify if a package is installed outside
26931 `package-user-dir'.
26932 (package-menu--print-info-simple)
26933 (package-menu--status-predicate): Add support for it.
26934 * etc/NEWS: Document it.
26936 2015-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
26938 * lisp/mail/rmail.el: Use lexical-binding.
26939 (rmail-bury): Remove unused var `buffer-to-bury'.
26940 (rmail-get-new-mail): Remove unused vars `opoint' and `success'.
26941 (rmail-parse-url): Remove unused var `proto', `user', and `host'.
26942 (rmail-unrmail-new-mail-maybe): Remove unused var `new-file'.
26943 (rmail-insert-inbox-text): Remove unused var `movemail'.
26944 (rmail-add-mbox-headers): Remove unused var `limit'.
26945 (rmail-undelete-previous-message): Remove unused var `value'.
26946 (rmail-reply): Remove unused vars `resent-to', `resent-cc',
26948 (rmail-mime-mbox-buffer, rmail-mime-view-buffer): Declare.
26949 (rmail-restore-desktop-buffer): Rename arguments.
26951 2015-05-06 Glenn Morris <rgm@gnu.org>
26953 * Makefile.in (change-history-commit): Add missing piece of previous.
26955 Avoid unnecessary bumping of Makefile.in's timestamp
26956 * Makefile.in (gen_origin): Move to gitlog-to-emacslog.
26957 (emacslog): New variable.
26958 (ChangeLog): Use $emacslog. Don't pass $gen_origin.
26959 (unchanged-history-files): Use $emacslog rather than Makefile.in.
26960 (change-history-nocommit): Store hash in $emacslog.
26961 * build-aux/gitlog-to-emacslog (gen_origin): Move default here.
26962 * admin/update_autogen (changelog_files): Update for the above.
26964 * Makefile.in: Don't always insist on removing existing "ChangeLog".
26965 (CHANGELOG): New variable.
26966 (no-ChangeLog): Remove.
26967 (ChangeLog): Replace "no-ChangeLog"; pass output file to script.
26968 (change-history-nocommit): Use a temp file rather than insisting
26969 on deletion of any existing "ChangeLog".
26971 * build-aux/gitlog-to-emacslog: Allow specification of output.
26973 * admin/update_autogen: Add option to update ChangeLog.
26974 (usage): Mention -H.
26975 (changelog_flag, changelog_n, changelog_files): New variables.
26976 (main): Check for -H, and maybe run change-history-nocommit.
26978 2015-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
26980 * lisp/subr.el (delete-dups): Pre-size the hashtable.
26982 * lisp/emacs-lisp/cl.el (define-modify-macro): Make sure
26983 cl--arglist-args is defined (bug#20517).
26985 2015-05-06 Glenn Morris <rgm@gnu.org>
26987 * Makefile.in (change-history-nocommit): New.
26989 2015-05-06 Dmitry Gutov <dgutov@yandex.ru>
26991 * lisp/cedet/pulse.el (pulse-momentary-unhighlight): Only cancel
26992 timer when it is non-nil
26993 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00223.html).
26995 2015-05-06 Glenn Morris <rgm@gnu.org>
26997 Quieten CEDET compilation
26998 * lisp/cedet/semantic/db-el.el (semanticdb-elisp-sym->tag):
26999 Invert fboundp test to quieten on current Emacs.
27000 * lisp/cedet/ede/config.el (ede-shell-run-something)
27001 (semanticdb-file-table-object, semanticdb-needs-refresh-p)
27002 (semanticdb-refresh-table): Declare.
27003 (ede-preprocessor-map): Require semantic/db.
27005 Quieten cc-mode compilation
27006 * lisp/progmodes/cc-awk.el (c-forward-sws):
27007 * lisp/progmodes/cc-cmds.el (c-forward-subword, c-backward-subword):
27010 2015-05-06 Oleh Krehel <ohwoeowho@gmail.com>
27012 * lisp/subr.el (delete-dups): Avoid nreverse.
27014 2015-05-06 Artur Malabarba <bruce.connor.am@gmail.com>
27016 * lisp/subr.el (delete-dups): Make it destructive again.
27018 2015-05-06 Paul Eggert <eggert@cs.ucla.edu>
27020 * doc/lispref/sequences.texi (Sequence Functions): Fix quoting.
27022 2015-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
27024 * lisp/emacs-lisp/testcover.el: Don't use edebug--read (bug#20487).
27025 * lisp/emacs-lisp/testcover.el: Use lexical-binding.
27026 (testcover--read): Rename from testcover-read. Change calling
27027 convention. Use edebug-read-and-maybe-wrap-form now that edebug-read
27029 (testcover-start): Use add-function. Move edebug-all-defs binding to
27031 (testcover-this-defun): Tighten scope of edebug-all-defs binding.
27032 (testcover-mark): Remove unused var `item'.
27033 * src/lread.c (syms_of_lread): Default load-read-function to `read'.
27035 2015-05-06 Oleh Krehel <ohwoeowho@gmail.com>
27037 * lisp/subr.el (delete-dups): When there are more than 100 candidates,
27038 use a hash table. This can result in ~500 times speed-up for typical
27039 collections of size 5000, like that of `load-library'.
27041 2015-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
27043 CEDET: Avoid `oref' on classes in a few more cases
27044 * lisp/cedet/ede/generic.el (ede-find-target):
27045 * lisp/cedet/ede.el (ede-project-forms-menu): Avoid `oref' on classes.
27046 * lisp/cedet/semantic/bovine/gcc.el (semantic-gcc-setup): Remove unused
27049 * lisp/cedet/semantic/symref/grep.el: Fix unused var warnings.
27050 (grepflags, greppattern): Declare.
27051 (semantic-symref-perform-search): Remove unused var `pat'.
27053 CEDET (srecode-compile-inserter): Avoid `oref' on classes
27054 * lisp/cedet/srecode/compile.el (srecode-compile-inserter):
27055 Avoid `oref' on classes (bug#20491).
27056 (srecode-compile-split-code): Remove unused var `key'.
27058 2015-05-06 Dmitry Gutov <dgutov@yandex.ru>
27060 Clean up pulse.el a little
27061 * lisp/cedet/pulse.el (pulse): Remove.
27062 (pulse-momentary-timer): Save instead of the stop time.
27063 (pulse-momentary-highlight-overlay):
27064 Call pulse-momentary-unhighlight first thing.
27065 Treat pulse-momentary-overlay as a single value, not a list.
27066 Save the created timer. Only pass the stop time to the timer.
27067 (pulse-tick): Update accordingly.
27068 (pulse-momentary-unhighlight): Treat pulse-momentary-overlay as a
27069 single value. Cancel the timer.
27071 2015-05-06 Tassilo Horn <tsdh@gnu.org>
27073 * lisp/textmodes/reftex-cite.el (reftex-format-bib-entry):
27074 Support the biblatex journaltitle field.
27076 2015-05-05 Glenn Morris <rgm@gnu.org>
27078 Minor declare-function improvement
27079 * lisp/emacs-lisp/bytecomp.el
27080 (byte-compile-macroexpand-declare-function):
27081 Handle declarations after calls. (Bug#20509)
27083 * lisp/progmodes/js.el (js--optimize-arglist): Remove declaration.
27085 * lisp/w32-fns.el (w32-shell-name): Silence compiler.
27087 2015-05-05 Dmitry Gutov <dgutov@yandex.ru>
27089 Pulse using a timer
27090 * lisp/cedet/pulse.el (pulse-momentary-stop-time): New variable.
27091 (pulse-momentary-highlight-overlay): Set up the timer instead of
27093 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/).
27094 (pulse-tick): New function.
27095 (pulse-momentary-unhighlight): Cut off the stop time.
27096 (pulse-delay): Update the docstring WRT to not using sit-for.
27098 Add semantic/symref/grep file patterns for ruby-mode
27099 * lisp/cedet/semantic/symref/grep.el
27100 (semantic-symref-filepattern-alist): Add patterns for ruby-mode.
27101 Clarify the docstring.
27103 Don't require match
27104 * lisp/progmodes/xref.el (xref--read-identifier): Don't require
27105 match. That doesn't work for every command, and some identifier
27106 completion tables are bound to be imperfect anyway.
27108 2015-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
27110 * lisp/cedet/semantic/grammar.el: Fix compiler warnings (bug#20505).
27111 (semantic-grammar--template-expand): New function.
27112 (semantic-grammar-header, semantic-grammar-footer): Use it.
27113 (semantic-grammar--lex-block-specs): Remove unused var `block-spec'.
27114 (semantic-grammar-file-regexp): Refine regexp.
27115 (semantic-grammar-eldoc-get-macro-docstring):
27116 Use elisp-get-fnsym-args-string when available.
27117 (semantic-idle-summary-current-symbol-info): Use new elisp-* names
27118 instead of the old eldoc-* names.
27119 * lisp/emacs-lisp/eldoc.el (eldoc-docstring-format-sym-doc): Move back
27120 from elisp-mode.el. Tweak calling convention.
27121 * lisp/progmodes/elisp-mode.el (package-user-dir): Declare.
27122 (elisp-get-fnsym-args-string): Add `prefix' argument. Rename from
27123 elisp--get-fnsym-args-string.
27124 (elisp--highlight-function-argument): Add `prefix' arg.
27125 (elisp-get-var-docstring): Rename from elisp--get-var-docstring.
27126 (elisp--docstring-format-sym-doc): Move back to eldoc.el.
27128 2015-05-05 Glenn Morris <rgm@gnu.org>
27130 * lisp/help-fns.el (describe-function-1):
27131 Handle builtins with advertised calling conventions. (Bug#20479)
27133 2015-05-05 Nicolas Petton <nicolas@petton.fr>
27135 Merge branch 'seq-let'
27137 Update `seq-let' documentation
27138 * doc/lispref/sequences.texi: Update the documentation of `seq-let'
27139 with the support of `&rest'.
27141 Add support for &rest in `seq-let'
27142 * lisp/emacs-lisp/seq.el (seq--make-bindings): Add support for `&rest'
27143 in the argument list.
27144 * test/automated/seq-tests.el: Add a test for parsing and binding
27145 `&rest' in `seq-let'.
27147 2015-05-05 Pierre Lorenzon <devel@pollock-nageoire.net> (tiny change)
27149 * lisp/emacs-lisp/eieio-custom.el (eieio-object-value-get):
27150 Add missing increment (Bug#20467).
27151 (eieio-object-value-create): Adjust to new slots representation
27153 (eieio-object-value-create): Fix missed adjustment to new
27154 representation of slots metadata.
27156 2015-05-05 Nicolas Petton <nicolas@petton.fr>
27158 * lisp/emacs-lisp/seq.el (seq--make-bindings): Improve the docstring.
27160 2015-05-05 Dmitry Gutov <dgutov@yandex.ru>
27162 Work around "Attempt to modify read-only object"
27163 * lisp/progmodes/elisp-mode.el (elisp--xref-format): Extract from
27164 elisp--xref-find-definitions, to work around "Attempt to modify
27165 read-only object" error.
27167 Only skip some variables that have function counterparts
27168 * lisp/progmodes/elisp-mode.el (elisp--xref-identifier-location):
27169 Only skip minor-mode-named variable if it's defined in a Lisp
27170 file, and it's in minor-mode-list (bug#20506).
27171 * test/automated/elisp-mode-tests.el
27172 (elisp-xref-finds-both-function-and-variable)
27173 (elisp-xref-finds-only-function-for-minor-mode): New tests.
27175 2015-05-04 Dmitry Gutov <dgutov@yandex.ru>
27177 * lisp/progmodes/xref.el (xref--location-at-point): Revert the
27179 (xref--insert-xrefs): Buttonize the whole line, including the
27180 number at the beginning.
27182 * lisp/progmodes/elisp-mode.el (elisp-completion-at-point):
27183 Make sure we're inside the let bindings.
27184 * test/automated/elisp-mode-tests.el
27185 (elisp-completes-functions-after-let-bindings): New test.
27187 2015-05-04 Glenn Morris <rgm@gnu.org>
27189 * lisp/cedet/semantic/grammar.el (eldoc-function-argstring)
27190 (eldoc-docstring-format-sym-doc, eldoc-last-data-store)
27191 (eldoc-get-fnsym-args-string, eldoc-get-var-docstring):
27192 Remove outdated declarations.
27194 Replace instances of "(eval-when-compile (autoload ...))"
27195 * lisp/gnus/gnus-art.el (nneething-get-file-name):
27196 Declare rather than autoload.
27197 * lisp/gnus/gnus-async.el (gnus-html-prefetch-images):
27198 Remove pointless autoload.
27199 * lisp/gnus/gnus-sync.el (gnus-group-topic): Autoload at run-time.
27200 (gnus-topic-create-topic, gnus-topic-enter-dribble):
27201 Declare rather than autoload.
27202 * lisp/gnus/mm-archive.el (gnus-recursive-directory-files)
27203 (mailcap-extension-to-mime): Autoload at run-time.
27204 * lisp/gnus/mm-util.el (latin-unity-massage-name)
27205 (latin-unity-maybe-remap, latin-unity-representations-feasible-region)
27206 (latin-unity-representations-present-region):
27207 Declare rather than autoload.
27208 * lisp/gnus/mml-smime.el (epg-make-context)
27209 (epg-passphrase-callback-function): Autoload at run-time.
27210 (epg-context-set-signers, epg-context-result-for)
27211 (epg-new-signature-digest-algorithm)
27212 (epg-verify-result-to-string, epg-list-keys, epg-verify-string)
27213 (epg-sign-string, epg-encrypt-string)
27214 (epg-context-set-passphrase-callback, epg-sub-key-fingerprint)
27215 (epg-configuration, epg-expand-group, epa-select-keys):
27216 Declare rather than autoload.
27217 * lisp/gnus/nnir.el (nnimap-change-group, nnimap-make-thread-query):
27218 Autoload at run-time.
27219 (gnus-group-topic-name, nnimap-buffer, nnimap-command)
27220 (gnus-registry-get-id-key, gnus-registry-action):
27221 Declare rather than autoload.
27222 * lisp/gnus/nnmail.el (mail-send-and-exit): Autoload at run-time.
27223 * lisp/gnus/spam.el (spam-stat-buffer-change-to-non-spam)
27224 (spam-stat-buffer-change-to-spam, spam-stat-buffer-is-non-spam)
27225 (spam-stat-buffer-is-spam, spam-stat-load, spam-stat-save)
27226 (spam-stat-split-fancy): Remove pointless autoloads.
27227 * lisp/net/mairix.el: Load gnus-util when compiling.
27228 (gnus-group-read-ephemeral-group, gnus-summary-toggle-header)
27229 (message-field-value): Declare rather than autoload.
27230 (mairix-gnus-ephemeral-nndoc, mairix-gnus-fetch-field):
27231 Check gnus-alive-p is fbound.
27232 (vm-quit, vm-visit-folder, vm-select-folder-buffer)
27233 (vm-check-for-killed-summary, vm-error-if-folder-empty)
27234 (vm-get-header-contents, vm-select-marked-or-prefixed-messages):
27235 Declare rather than autoload.
27237 * lisp/gnus/mm-view.el (epg-decrypt-string): Autoload.
27239 * lisp/gnus/mml-smime.el (epg-key-sub-key-list)
27240 (epg-sub-key-capability, epg-sub-key-validity): Fix declarations.
27242 * lisp/progmodes/elisp-mode.el (xref-collect-references): Declare.
27244 * lisp/emacs-lisp/debug.el (help-xref-interned): Update declaration.
27246 * lisp/allout.el (epg-user-id-string, epg-key-user-id-list):
27247 * lisp/emacs-lisp/package.el (epg-signature-status):
27250 * lisp/play/gametree.el (gametree-show-children-and-entry)
27251 (gametree-apply-layout, gametree-mouse-show-subtree)
27252 (gametree-mouse-hide-subtree): Replace obsolete outline aliases.
27254 * lisp/emacs-lisp/check-declare.el (check-declare-verify):
27255 Handle cl-defgeneric, cl-defmethod.
27257 2015-05-04 Dmitry Gutov <dgutov@yandex.ru>
27259 * lisp/progmodes/elisp-mode.el (elisp--xref-find-definitions):
27260 Highlight both type and symbol name.
27262 Insert, highlight and align line numbers in xref output
27263 * lisp/progmodes/etags.el (xref-location-line): Specialize for
27264 xref-etags-location.
27265 * lisp/progmodes/xref.el (xref-location-line): New generic method.
27266 (xref-file-location): Add reader for the line slot.
27267 (xref--location-at-point): Skip to the `xref-location' property.
27268 (xref--collect-reference): Drop the line number from description.
27269 (xref--insert-xrefs): Insert, highlight and align line numbers.
27271 2015-05-04 Daniel Colascione <dancol@dancol.org>
27273 * lisp/simple.el (save-mark-and-excursion--save)
27274 (save-mark-and-excursion--restore): Fix previous commit
27275 (255a011f0ecf004b31c59945b10154b10fac3af1).
27277 2015-05-04 Dmitry Gutov <dgutov@yandex.ru>
27279 Don't pulse the indentation, or the newline
27280 * lisp/cedet/pulse.el (pulse-lighten-highlight)
27281 (pulse-reset-face): Fall back to the inherited background
27283 (pulse-momentary-highlight-region): Add autoload cookie.
27284 * lisp/progmodes/xref.el (xref--maybe-pulse): Don't highlight the
27285 indentation, or the newline, if the line's non-empty
27286 (http://lists.gnu.org/archive/html/emacs-devel/2015-05/msg00118.html).
27288 2015-05-04 Daniel Colascione <dancol@dancol.org>
27290 Add `save-mark-and-excursion', which has the old
27291 `save-excursion' behavior
27292 * doc/lispref/positions.texi (Excursions):
27293 Document `save-mark-and-excursion'.
27294 * lisp/font-lock.el (font-lock-fontify-block):
27295 Use `save-mark-and-excursion' instead of `save-excursion',
27296 restoring Emacs 24 behavior.
27297 * lisp/simple.el (save-mark-and-excursion--save)
27298 (save-mark-and-excursion--restore): New functions.
27299 (save-mark-and-excursion): New user macro.
27300 * src/editfns.c (Fsave_excursion): Mention `save-mark-and-excursion'
27301 in `save-excursion' documentation.
27303 2015-05-04 Dmitry Gutov <dgutov@yandex.ru>
27305 * lisp/progmodes/elisp-mode.el (elisp-completion-at-point):
27306 Classify lone symbol inside let varlist as variable.
27307 * test/automated/elisp-mode-tests.el
27308 (completest-variables-in-let-bindings): New test.
27310 Add xref-pulse-on-jump
27311 * lisp/cedet/pulse.el (pulse-momentary-highlight-one-line):
27312 Add autoload cookie.
27313 * lisp/progmodes/xref.el (xref-pulse-on-jump): New option.
27314 (xref--maybe-pulse): New function.
27315 (xref-pop-marker-stack, xref--pop-to-location)
27316 (xref--display-position): Use it.
27317 (xref--location-at-point): Use back-to-indentation.
27319 2015-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
27321 lisp/org/org-{macs,list}.el: Fix lexical warnings
27322 * lisp/org/org-list.el (org-list-struct): Remove unused var `ind'.
27323 (org-list-get-next-item, org-list-get-prev-item)
27324 (org-list-get-children): Mark unused arg `struct'.
27325 (org-list-use-alpha-bul-p): Remove unused var `bul'.
27326 (org-toggle-checkbox): Mark unused var.
27327 (org-update-checkbox-count): Remove unused var `box-num'.
27328 (org-adapt-indentation): Declare.
27329 (org-list-parse-list): Declare var instead of adding a dummy duplicate.
27330 (org-list-send-list): Remove unused var `txt'.
27331 (org-list-to-latex, org-list-to-texinfo): Mark unused arg `params'.
27332 (org-list-to-subtree): Add prefix to dyn-bind var, and declare them.
27333 * lisp/org/org-macs.el: Use `declare'.
27334 (org-with-limited-levels): Declare dyn-bound vars.
27336 2015-05-04 Eli Zaretskii <eliz@gnu.org>
27338 Fix minor issues with CEDET on MS-Windows
27339 * lisp/cedet/semantic/symref/idutils.el
27340 (semantic-symref-parse-tool-output-one-line): Fix the search
27341 regexp to match MS-Windows file names with drive letters.
27343 * lisp/cedet/semantic/symref/grep.el
27344 (semantic-symref-grep-use-template): Remove "--color=always" from
27345 Grep switches on MS-Windows.
27346 (semantic-symref-grep-shell): Use shell-file-name as the default
27347 value, so this works not only on Posix platforms.
27348 (semantic-symref-perform-search): Use shell-quote-argument instead
27349 of literal '..' for portable quoting of Grep command-line
27350 argument. Use shell-command-switch instead of a literal "-c".
27351 * lisp/cedet/semantic/bovine/gcc.el
27352 (semantic-gcc-get-include-paths): Use file-name-absolute-p to test
27353 for an absolute file name in a portable way.
27355 2015-05-04 Artur Malabarba <bruce.connor.am@gmail.com>
27357 * lisp/emacs-lisp/package.el: Remove `package--silence' variable.
27358 (package-import-keyring, package-refresh-contents)
27359 (package-compute-transaction, package--save-selected-packages)
27360 (package-install-from-archive, package-delete)
27361 (package-menu--perform-transaction): Use `inhibit-message' instead.
27362 (package--compile): Set `warning-minimum-level' to :error.
27364 2015-05-03 Stefan Monnier <monnier@iro.umontreal.ca>
27366 * lisp/term/screen.el (xterm-screen-extra-capabilities): New custom.
27367 (terminal-init-screen): Use it (bug#20356).
27368 * lisp/term/xterm.el: Provide `term/xterm' instead of `xterm'.
27369 (xterm--extra-capabilities-type): New const.
27370 (xterm-extra-capabilities): Use it.
27371 (xterm--version-handler): Lower the pseudo-version for `screen'.
27373 2015-05-03 Dmitry Gutov <dgutov@yandex.ru>
27375 * lisp/progmodes/xref.el (xref--insert-xrefs): Tweak the faces.
27376 Always insert a newline at the end (to avoid mouse-face background
27377 tail at the last line).
27379 elisp-completion-at-point: Prioritize being quoted over funpos
27380 * lisp/progmodes/elisp-mode.el (elisp-completion-at-point):
27381 Only consider function position when not inside quoted form
27383 * test/automated/elisp-mode-tests.el: New file.
27385 Stop vc-print-log from jumping to the top
27386 * lisp/vc/vc.el (vc-print-log-internal): Pass nil
27387 GOTO-LOCATION-FUNC to vc-log-internal-common when WORKING-REVISION
27389 (vc-incoming-outgoing-internal): Always pass nil.
27390 (vc-log-internal-common): When GOTO-LOCATION-FUNC is nil, don't
27391 call it, and don't set vc-sentinel-movepoint (bug#15322).
27392 (vc-print-root-log): Don't fetch the root working revision, nor
27393 pass it to vc-print-log-internal.
27395 2015-05-02 Michael Vehrs <Michael.Burschik@gmx.de>
27397 Fix display of keyboard layouts for right-to-left scripts
27398 * lisp/international/quail.el (quail-insert-kbd-layout):
27399 Force left-to-right paragraph direction.
27401 2015-05-02 K. Handa <handa@gnu.org>
27403 * src/cmds.c (internal_self_insert): When we insert spaces for
27404 padding, set point before the padding spaces, not after them.
27406 2015-05-02 Nicolas Petton <nicolas@petton.fr>
27408 * lisp/emacs-lisp/seq.el (seq-p): New alias to `sequencep'.
27410 2015-05-02 Dmitry Gutov <dgutov@yandex.ru>
27412 Fix etags-xref-find for references
27413 * lisp/progmodes/elisp-mode.el (elisp--xref-find-references):
27415 * lisp/progmodes/etags.el (etags-xref-find): Ditto. Prompt for
27416 directory if no tags tables are loaded (bug#19468).
27418 2015-05-02 Philipp Stephani <phst@google.com>
27420 Update the options in whitespace-style defcustom
27421 * lisp/whitespace.el (whitespace-style): Use `set' instead of a
27422 `repeat' because the option is really set-like. Add missing
27423 options. Reorder options to match the order in the
27424 documentation. (Bug#20346)
27426 2015-05-02 Eli Zaretskii <eliz@gnu.org>
27428 Fix error diagnostics of c-macro-expand
27429 * lisp/progmodes/cmacexp.el (c-macro-expansion): Don't bail out
27430 too early if no start-marker string was found -- that generally
27431 means cpp exited abnormally, and we still want to show its error
27432 messages to the user.
27434 Don't require Texinfo 5.0 for Emacs documentation
27435 * doc/emacs/docstyle.texi: Use "@set txicodequoteundirected" and
27436 "@set txicodequotebacktick" instead of "@codequotebacktick on" and
27437 "@codequoteundirected on", respectively, to avoid requiring
27438 Texinfo 5.x for Emacs documentation.
27440 2015-05-01 Simen Heggestøyl <simenheg@gmail.com>
27442 * lisp/files.el (pwd):
27443 When called with a prefix argument, insert the current default
27444 directory at point.
27446 2015-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
27448 * lisp/isearch.el (isearch-mode-map): Allow backspace remapping
27449 * lisp/isearch.el (isearch-mode-map): Don't inhibit
27450 function-key-map remapping for backspace (bug#20466).
27452 2015-05-01 Dmitry Gutov <dgutov@yandex.ru>
27454 Implement xref-find-references in etags and elisp-mode
27455 * lisp/progmodes/elisp-mode.el (elisp--xref-find-references): New function.
27456 (elisp-xref-find): Use it.
27457 * lisp/progmodes/etags.el (etags-xref-find): Use `xref-collect-references'.
27458 * lisp/progmodes/xref.el (xref-collect-references):
27459 (xref--collect-reference): New functions.
27461 2015-05-01 Paul Eggert <eggert@cs.ucla.edu>
27463 Prefer plain characters to Texinfo circumlocutions
27464 For example, prefer 'François' to 'Fran\c{c}ois', 'Fran\c cois',
27465 'Fran@,{c}ois' or 'Francois' (all of which were used!) in Texinfo sources.
27467 Fix single-quoting style in PDF manuals
27468 The PDF versions of the GNU manuals used curved single quotes to
27469 represent grave accent and apostrophe, which made it a pain to cut
27470 and paste code examples from them. Fix the PDF versions to use
27471 grave accent and apostrophe for Lisp source code, keystrokes, etc.
27472 This change does not affect the info files, nor does it affect
27473 ordinary uses of curved single quotes in PDF.
27474 * doc/emacs/docstyle.texi: New file, which specifies treatment for
27475 grave accent and apostrophe, as well as the document encoding.
27476 * doc/emacs/emacs-xtra.texi, doc/emacs/emacs.texi:
27477 * doc/lispintro/emacs-lisp-intro.texi:
27478 * doc/lispref/back.texi, doc/lispref/book-spine.texi:
27479 * doc/lispref/elisp.texi, doc/lispref/lay-flat.texi:
27480 * doc/misc/ada-mode.texi, doc/misc/auth.texi:
27481 * doc/misc/autotype.texi, doc/misc/bovine.texi, doc/misc/calc.texi:
27482 * doc/misc/cc-mode.texi, doc/misc/cl.texi, doc/misc/dbus.texi:
27483 * doc/misc/dired-x.texi, doc/misc/ebrowse.texi, doc/misc/ede.texi:
27484 * doc/misc/ediff.texi, doc/misc/edt.texi, doc/misc/efaq-w32.texi:
27485 * doc/misc/efaq.texi, doc/misc/eieio.texi, doc/misc/emacs-gnutls.texi:
27486 * doc/misc/emacs-mime.texi, doc/misc/epa.texi, doc/misc/erc.texi:
27487 * doc/misc/ert.texi, doc/misc/eshell.texi, doc/misc/eudc.texi:
27488 * doc/misc/eww.texi, doc/misc/flymake.texi, doc/misc/forms.texi:
27489 * doc/misc/gnus-coding.texi, doc/misc/gnus-faq.texi:
27490 * doc/misc/gnus.texi, doc/misc/htmlfontify.texi:
27491 * doc/misc/idlwave.texi, doc/misc/ido.texi, doc/misc/info.texi:
27492 * doc/misc/mairix-el.texi, doc/misc/message.texi, doc/misc/mh-e.texi:
27493 * doc/misc/newsticker.texi, doc/misc/nxml-mode.texi:
27494 * doc/misc/octave-mode.texi, doc/misc/org.texi, doc/misc/pcl-cvs.texi:
27495 * doc/misc/pgg.texi, doc/misc/rcirc.texi, doc/misc/reftex.texi:
27496 * doc/misc/remember.texi, doc/misc/sasl.texi, doc/misc/sc.texi:
27497 * doc/misc/semantic.texi, doc/misc/ses.texi, doc/misc/sieve.texi:
27498 * doc/misc/smtpmail.texi, doc/misc/speedbar.texi:
27499 * doc/misc/srecode.texi, doc/misc/todo-mode.texi, doc/misc/tramp.texi:
27500 * doc/misc/url.texi, doc/misc/vhdl-mode.texi, doc/misc/vip.texi:
27501 * doc/misc/viper.texi, doc/misc/widget.texi, doc/misc/wisent.texi:
27502 * doc/misc/woman.texi:
27503 Use it instead of '@documentencoding UTF-8', to lessen the need for
27504 global changes like this in the future.
27505 * doc/emacs/Makefile.in (EMACS_XTRA):
27506 * doc/lispintro/Makefile.in (srcs):
27507 * doc/lispref/Makefile.in (srcs):
27508 Add dependency on docstyle.texi.
27509 * doc/misc/Makefile.in (style): New macro.
27510 (${buildinfodir}/%.info, %.dvi, %.pdf, %.html)
27511 (${buildinfodir}/ccmode.info, ${buildinfodir}/efaq%.info, gnus_deps):
27514 2015-05-01 Glenn Morris <rgm@gnu.org>
27516 * test/automated/cl-lib-tests.el (cl-lib-adjoin-test): Fix it.
27518 * lisp/emacs-lisp/pcase.el (get-edebug-spec, edebug-match)
27519 (help-fns--signature): Declare.
27521 * lisp/emacs-lisp/pcase.el (pcase--make-docstring): Require help-fns.
27523 2015-05-01 Nicolas Petton <nicolas@petton.fr>
27525 New macro seq-let, providing destructuring support to seq.el
27526 * lisp/emacs-lisp/seq.el (seq-let): New macro. `seq-let' is similar
27527 to `cl-destructuring-bind' but works on all sequence types supported
27528 by `seq.el'. Bump version number to 1.6.
27529 * test/automated/seq-tests.el: Add tests for seq-let.
27530 * doc/lispref/sequences.texi: Add documentation for seq-let.
27532 2015-05-01 Pontus Michael <m.pontus@gmail.com>
27534 * lisp/simple.el (blink-matching-open): Better behavior in minibuffer.
27536 2015-05-01 Glenn Morris <rgm@gnu.org>
27538 * lisp/emacs-lisp/ert.el (ert--special-operator-p): Fix previous.
27540 2015-05-01 Artur Malabarba <bruce.connor.am@gmail.com>
27542 * lisp/emacs-lisp/bytecomp.el: Revert "Silence noninteractive compilations"
27543 This reverts commit 9a7ddde977378cb5276a81476ae458889c403267.
27544 This reverts commit 3c0ea587daf8b17960b90603a70e3ac4057d883d.
27545 With message: "* lisp/emacs-lisp/bytecomp.el: Use `inhibit-message'".
27548 2015-05-01 K. Handa <handa@gnu.org>
27550 * lisp/international/mule-cmds.el (input-method-use-echo-area):
27551 Change :type to 'boolean.
27553 2015-05-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
27555 Start using proportional fonts in eww by default
27556 * lisp/net/shr.el (shr-use-fonts): Switch the default to t, since
27557 it seems to work well.
27559 Fix links in tables in shr
27560 * lisp/net/shr.el: Remove `shr-inhibit-decoration', because that
27561 makes (some) links in tables not work.
27563 2015-05-01 Jan D <jan.h.d@swipnet.se>
27565 * lisp/term/ns-win.el (ns-get-cut-buffer-internal): Remove this alias.
27567 2015-04-30 Glenn Morris <rgm@gnu.org>
27569 * lisp/emacs-lisp/ert.el (ert--special-operator-p):
27570 Update for 2015-02-08 change to indirect-function.
27572 * lisp/term/ns-win.el (ns-get-selection-internal):
27573 Remove declaration for function deleted 2014-10-21.
27575 * lisp/dom.el: Load subr-x when compiling, for when-let.
27577 Silence some compilation warnings
27578 * lisp/emacs-lisp/check-declare.el (compilation-forget-errors):
27579 * lisp/emulation/cua-base.el (delete-active-region):
27580 * lisp/net/net-utils.el (w32-get-console-output-codepage):
27581 * lisp/term/ns-win.el (ns-own-selection-internal)
27582 (ns-disown-selection-internal, ns-selection-owner-p)
27583 (ns-selection-exists-p, ns-get-selection):
27584 Declare for compiler.
27586 Function declaration updates prompted by 'make check-declare'
27587 * lisp/emacs-lisp/package.el (lm-homepage):
27588 * lisp/gnus/gnus-util.el (iswitchb-read-buffer):
27589 * lisp/gnus/mm-decode.el (libxml-parse-html-region):
27590 * lisp/gnus/mml.el (libxml-parse-html-region):
27591 * lisp/gnus/nnrss.el (libxml-parse-html-region):
27592 * lisp/net/eww.el (libxml-parse-html-region):
27593 * lisp/net/shr.el (libxml-parse-html-region):
27594 * lisp/vc/vc-bzr.el (vc-annotate-convert-time):
27595 * lisp/vc/vc-cvs.el (vc-annotate-convert-time):
27596 * lisp/vc/vc-git.el (vc-annotate-convert-time):
27597 * lisp/vc/vc-hg.el (vc-annotate-convert-time):
27598 * lisp/vc/vc-mtn.el (vc-annotate-convert-time):
27599 * lisp/vc/vc-rcs.el (vc-annotate-convert-time):
27600 Update declaration.
27602 Remove compatibility code for 20-year old function renaming
27603 * lisp/progmodes/idlw-shell.el (idlwave-shell-comint-filter):
27604 Make it an obsolete alias.
27605 (idlwave-shell-filter): Change all uses to comint-output-filter.
27607 2015-04-30 Tassilo Horn <tsdh@gnu.org>
27609 Add ace-window face config
27610 * etc/themes/tsdh-light-theme.el (tsdh-light): Add ace-window face
27613 2015-04-30 Paul Eggert <eggert@cs.ucla.edu>
27615 Unclutter 'make doc' output a bit
27616 * Makefile.in ($(DOCS), $(INSTALL_DOC), $(UNINSTALL_DOC)):
27617 Use make subst rather than sh IFS to split target string apart.
27618 This makes 'make' output easier to follow.
27621 * doc/misc/texinfo.tex: Update from gnulib.
27623 2015-04-30 Artur Malabarba <bruce.connor.am@gmail.com>
27625 * lisp/emacs-lisp/package.el: Some speed optimizations on menu refresh.
27626 (package-menu--print-info): Obsolete.
27627 (package-menu--print-info-simple): New function.
27628 (package-menu--refresh): Use it, simplify code, and improve
27630 * lisp/emacs-lisp/tabulated-list.el (tabulated-list-print-entry):
27631 Tiny performance improvement.
27633 * lisp/emacs-lisp/package.el (package--message): inhibit-message.
27635 2015-04-29 Paul Eggert <eggert@cs.ucla.edu>
27637 Omit -Wstrict-overflow workaround in GCC 5
27638 * src/process.c: Remove workaround for GCC -Wstrict-overflow bug
27639 if it's GCC 5 or later, as the bug appears to be fixed in GCC 5.1.
27643 2015-04-29 extern-inline: no need for workaround in GCC 5.1
27644 2015-04-26 file-has-acl: port to CentOS 6
27645 * m4/acl.m4, m4/extern-inline.m4: Update from gnulib.
27647 2015-04-29 Helmut Eller <eller.helmut@gmail.com>
27649 Set next-error-* in xref--xref-buffer-mode
27650 * lisp/progmodes/xref.el (xref--xref-buffer-mode):
27651 Set `next-error-function' and `next-error-last-buffer'.
27652 (xref--next-error-function): New function.
27653 (http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg01311.html)
27655 2015-04-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
27657 python.el: Fix warnings on looking-back calls missing LIMIT
27658 * lisp/progmodes/python.el (python-shell-accept-process-output):
27659 Pass LIMIT arg to looking-back.
27661 2015-04-29 Artur Malabarba <bruce.connor.am@gmail.com>
27663 * lisp/emacs-lisp/package.el: Use pushnew for downloads in progress.
27664 (package--download-and-read-archives): Use pushnew instead of
27665 append. If something terrible happened during a previous
27666 download, simply refreshing should now make things work again.
27668 2015-04-29 Dmitry Gutov <dgutov@yandex.ru>
27670 Introduce etags-xref-find-definitions-tag-order
27671 * lisp/progmodes/etags.el (etags-xref-find-definitions-tag-order):
27673 (etags--xref-find-definitions): Use it (bug#19468).
27675 2015-04-29 Eli Zaretskii <eliz@gnu.org>
27677 PATH- and completion-related fixes in Eshell on MS-Windows
27678 * lisp/eshell/esh-ext.el (eshell-search-path): When running on
27679 MS-Windows, prepend "." to list of directories produced from PATH,
27680 as Windows always implicitly searches the current directory first.
27681 (eshell-force-execution): Make it have a non-nil default value on
27682 MS-Windows and MS-DOS.
27683 * lisp/eshell/em-cmpl.el (eshell-complete-commands-list): If
27684 eshell-force-execution is non-nil, complete on readable files and
27685 directories, not only executables. When running on MS-Windows,
27686 prepend "." to list of directories produced from PATH, as Windows
27687 always implicitly searches the current directory first.
27689 2015-04-29 Sam Steingold <sds@gnu.org>
27691 Bury RCIRC buffers when there is no activity
27692 * lisp/net/rcirc.el (rcirc-non-irc-buffer): Remove.
27693 (rcirc-bury-buffers): New function.
27694 (rcirc-next-active-buffer): When there is no new activity, use
27695 `rcirc-bury-buffers' to hide all RCIRC buffers.
27697 2015-04-29 Krzysztof Jurewicz <krzysztof.jurewicz@gmail.com> (tiny change)
27699 Fix DBUS query result parsing for secrets-search-items
27700 * lisp/net/secrets.el (secrets-search-items): Fix DBUS query result
27701 parsing. The function assumed that return value of the
27702 SearchItems method called on a collection is a list of two lists,
27703 however this is true only when no collection is specified. GNOME
27704 had used to incorrectly return a list of two lists in both cases,
27705 but this was already fixed:
27706 https://bugzilla.gnome.org/show_bug.cgi?id=695115 . Also fix an
27707 incorrect information in the secrets-search-items’ docstring.
27710 2015-04-29 Artur Malabarba <bruce.connor.am@gmail.com>
27712 * lisp/emacs-lisp/bytecomp.el (byte-compile--message):
27713 Use `inhibit-message' instead of hiding the previous message
27714 with (message nil).
27716 2015-04-29 Oleh Krehel <ohwoeowho@gmail.com>
27718 Remove the deprecated INTERNAL_FIELD macro by expanding it
27719 * src/lisp.h (INTERNAL_FIELD): Remove.
27720 (DEFVAR_KBOARD): Modify accordingly.
27721 * src/alloc.c, src/buffer.c, src/buffer.h, src/category.c:
27722 * src/keyboard.c, src/keyboard.h, src/syntax.c: Adjust users.
27723 * src/buffer.c (compact_buffer): Use BVAR.
27725 2015-04-29 Glenn Morris <rgm@gnu.org>
27727 Replace an obsolete function alias
27728 * lisp/isearch.el (isearch-yank-x-selection):
27729 * lisp/mouse-copy.el (mouse-drag-secondary-pasting)
27730 (mouse-drag-secondary-moving):
27731 * lisp/obsolete/mouse-sel.el (mouse-sel-get-selection-function):
27732 Replace obsolete alias x-get-selection with gui-get-selection.
27734 2015-04-29 Stefan Monnier <monnier@iro.umontreal.ca>
27736 * lisp/mail/rmailsum.el: Use lexical-binding.
27738 2015-04-29 Glenn Morris <rgm@gnu.org>
27740 * test/automated/package-test.el (package-test-update-archives-async):
27741 Skip test on hydra.nixos.org.
27743 2015-04-28 Glenn Morris <rgm@gnu.org>
27745 * lisp/foldout.el: Update for 2015-01-30 outline.el changes.
27746 (foldout-zoom-subtree, foldout-exit-fold, foldout-mouse-show)
27747 (foldout-mouse-hide-or-exit): Use new names for outline functions.
27749 * lisp/cedet/semantic/bovine/c.el (semantic-c-do-lex-if):
27750 Update for 2014-06-26 hideif.el change.
27752 * lisp/mail/rmailsum.el: Fix search for encoded subjects. (Bug#19088)
27753 (rmail--decode-and-apply): New function.
27754 (rmail-message-regexp-p-1, rmail-message-subject-p): Use it.
27756 * lisp/mail/rmail.el (rmail-highlighted-headers): Fix :type.
27758 2015-04-28 Artur Malabarba <bruce.connor.am@gmail.com>
27760 * lisp/emacs-lisp/package.el: Fix priority-hiding corner case
27761 (package-menu--refresh): Delegate obsolete-hiding to
27762 `package--remove-hidden'.
27763 (package--remove-hidden): Disregard high-priority package if it is
27764 older than the installed one.
27766 2015-04-28 Paul Eggert <eggert@cs.ucla.edu>
27768 Update source file encoding list
27769 Update admin/notes/unicode, along with coding system cookies in
27770 other files, so that the two match each other better.
27771 * admin/notes/unicode: lisp/language/ethio-util.el and
27772 lisp/language/ethiopic.el also use utf-8-emacs.
27773 * admin/notes/hydra, doc/misc/dbus.texi, doc/misc/org.texi:
27774 * doc/misc/remember.texi, etc/refcards/cs-dired-ref.tex:
27775 * etc/refcards/cs-refcard.tex, etc/refcards/cs-survival.tex:
27776 * etc/refcards/sk-dired-ref.tex, etc/refcards/sk-refcard.tex:
27777 * etc/refcards/sk-survival.tex:
27778 Add "coding: utf-8" so that this file is not mishandled in a
27779 Latin-1 or Big-5 locale.
27780 * lisp/international/robin.el, lisp/org/ox-ascii.el:
27781 Specify utf-8, not utf-8-emacs, as these are plain UTF-8 files.
27782 * lisp/language/ethio-util.el: Fix trailer.
27784 2015-04-28 Eli Zaretskii <eliz@gnu.org>
27786 Fix synchronous invocation of Ispell
27787 * lisp/textmodes/ispell.el (ispell-init-process): Assign a non-nil
27788 value to ispell-process-directory before calling ispell-init-process.
27789 Don't call set-process-coding-system if ispell-async-processp is nil.
27792 2015-04-28 Artur Malabarba <bruce.connor.am@gmail.com>
27794 * lisp/emacs-lisp/package.el: Skip space and comments in init file
27795 (package--ensure-init-file): Insert snippet at first
27796 non-whitespace non-comments line. Respects local-vars at the top
27799 2015-04-28 Glenn Morris <rgm@gnu.org>
27801 * lisp/mail/rmail.el (rmail-copy-headers):
27802 Handle rmail-nonignored-headers being nil. (Bug#18878)
27804 * lisp/subr.el (delay-mode-hooks): Fix doc typo.
27806 * lisp/vc/vc-bzr.el (vc-bzr-after-dir-status):
27807 Don't get confused by a bzrlib version mismatch warning.
27809 2015-04-27 Thomas Fitzsimmons <fitzsim@fitzsim.org>
27811 Change default location of EUDC options file
27812 * etc/NEWS: Document change to EUDC options file's default location.
27813 * lisp/net/eudc-vars.el (eudc-options-file): Use
27814 `locate-user-emacs-file' to change default options file location.
27816 2015-04-27 Glenn Morris <rgm@gnu.org>
27818 * test/automated/package-test.el (package-test-update-archives-async):
27819 Try to handle the test server script dying.
27821 2015-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
27823 * lisp/saveplace.el (save-place-mode): New minor mode.
27824 (save-place): Redefine as an obsolete alias.
27826 * lisp/midnight.el: Make it a minor mode. Allow predicates.
27827 * lisp/midnight.el: Use lexical-binding.
27828 (midnight-mode): Make it a proper minor mode.
27829 (midnight-buffer-display-time): Make arg non-optional.
27830 (midnight-find): Remove.
27831 (clean-buffer-list-kill-never-regexps)
27832 (clean-buffer-list-kill-regexps): Tweak type for new function choice.
27833 (clean-buffer-list-delay): Allow clean-buffer-list-kill-regexps to
27835 (clean-buffer-list): Use cl-find.
27836 Allow clean-buffer-list-kill-never-regexps to contain functions.
27838 2015-04-27 Nicolas Petton <nicolas@petton.fr>
27840 Bump version of seq.el to 1.5
27841 * lisp/emacs-lisp/seq.el (seq-doseq): Remove undocumented return value
27842 from seq-doseq. Bump version number of seq.el.
27844 2015-04-27 Glenn Morris <rgm@gnu.org>
27846 * lisp/mail/rmail.el (rmail-reply):
27847 Decode subject before matching "Re:" prefix. (Bug#20396)
27849 2015-04-27 Artur Malabarba <bruce.connor.am@gmail.com>
27851 * lisp/emacs-lisp/package.el: Small improvements
27852 (package--with-work-buffer-async): More informative error.
27853 (package-install-user-selected-packages): Rename to
27854 `package-install-selected-packages'.
27856 2015-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
27858 * lisp/emacs-lisp/eieio-core.el (eieio-defclass-internal): Fix last
27859 * lisp/emacs-lisp/eieio-core.el (eieio-defclass-internal): Fix last change.
27860 (eieio--class-make): Remove leftover `tag'.
27862 2015-04-27 Glenn Morris <rgm@gnu.org>
27864 * lisp/gnus/message.el (gnus-extract-address-components):
27865 Remove bogus declaration that was masking previous problem.
27867 2015-04-27 Nicolas Graner <nicolas.graner@u-psud.fr> (tiny change)
27869 * lisp/gnus/message.el (message-insert-formatted-citation-line):
27870 Fix typo. (Bug#20318)
27872 2015-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
27874 * lisp/emacs-lisp/eieio-core.el (eieio-defclass-internal): Reuse oldc.
27876 * lisp/textmodes/reftex-toc.el: Improve multi-frame behavior
27877 * lisp/textmodes/reftex-toc.el (reftex-toc-revert): Avoid displaying
27878 the buffer in yet another frame.
27879 (reftex-toc-visit-location): Make sure toc-window has focus at the end
27880 when `final' is nil.
27881 (reftex--rebuilding-toc): Defvar to avoid `boundp' and
27882 silence warnings. Use `--' to clarify that it's internal.
27883 (reftex-toc-next, reftex-toc-previous, reftex-toc-demote)
27884 (reftex-toc-promote): Clarify unused argument.
27885 (reftex--pro-or-de, reftex--start-line, reftex--mark-line):
27886 Add `reftex--' prefix. Fix all users.
27887 (reftex-toc-promote-prepare): Use _ for dummy variable.
27888 (reftex-toc-restore-region): Rename `m.
27890 2015-04-27 Eli Zaretskii <eliz@gnu.org>
27892 Fix a typo in bibtex.el
27893 * lisp/textmodes/bibtex.el (bibtex-insert-kill): Fix a typo from
27894 last change. (Bug#20429)
27896 Fix redisplay of frame after loading new fonts
27897 * src/xdisp.c (redisplay_internal): When retrying redisplay of
27898 a frame because new fonts were loaded, disable all redisplay
27899 optimizations on that frame by calling SET_FRAME_GARBAGED.
27902 2015-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
27904 * lisp/info.el (Info-menu): Properly provide the `default'
27907 * lisp/progmodes/elisp-mode.el (elisp--get-fnsym-args-string):
27908 Catch errors from documentation (bug#20418).
27909 (emacs-lisp-mode-abbrev-table): Remove redundant defvar.
27911 2015-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
27913 * lisp/emacs-lisp/package.el: Move variables to silence byte-compiler.
27914 Remove redundant ":group 'package".
27916 2015-04-26 Eli Zaretskii <eliz@gnu.org>
27918 Fix a typo in rmail.el
27919 * lisp/mail/rmail.el (rmail-ensure-blank-line): Fix a typo in the
27920 last commit. (Bug#20429)
27922 2015-04-26 Dmitry Gutov <dgutov@yandex.ru>
27924 Introduce xref-prompt-for-identifier
27925 * lisp/progmodes/xref.el (xref-prompt-for-identifier): New option.
27926 (xref--read-identifier): Use it
27927 (http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg01205.html).
27929 2015-04-26 João Távora <joaotavora@gmail.com>
27931 `tex-insert-quote' after single `'' opens quotes instead of closing
27932 Without this, it's very hard to precede double quotes with the
27933 apostrophe character, i.e. insert the sequence '``
27934 (quote-backquote-backquote), commonly useful in portuguese, for
27936 * lisp/textmodes/tex-mode.el (tex-insert-quote): Add ?' to the list of
27937 preceding chars making `tex-insert-quote' be in the "opening" context.
27939 2015-04-25 Dmitry Gutov <dgutov@yandex.ru>
27941 Pass `id' to `completing-read' as def instead of initial input
27942 * lisp/progmodes/xref.el (xref--read-identifier): Pass `id' to
27943 `completing-read' as the default value instead of initial input
27944 (http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg01182.html).
27946 2015-04-25 Paul Eggert <eggert@cs.ucla.edu>
27948 Don't freeze with unreadable processes
27949 Don't freeze if an exiting process can't be read from. (Bug#19860).
27950 This fixes a bug I introduced in
27951 2014-07-08T07:24:07Z@eggert@cs.ucla.edu
27952 "* process.c: Add sanity checks for file descriptors."
27953 Dmitry Gutov did most of the legwork in finding the problem.
27954 * src/process.c (wait_reading_process_output):
27955 Treat non-running processes that can't be read from
27956 the same as other non-running processes.
27958 2015-04-25 Alan Mackenzie <acm@muc.de>
27960 Fix change from 2015-04-22 "On C-y, stop some text property entries ..."
27961 * lisp/subr.el (remove-yank-excluded-properties): Put
27962 `with-silent-modifications' around only the last three lines of code.
27964 2015-04-25 Artur Malabarba <bruce.connor.am@gmail.com>
27966 * lisp/emacs-lisp/package.el (package-all-keywords): Don't cache
27967 (package--all-keywords): Deleted variable.
27969 * etc/NEWS: Document package-hiding functionality.
27971 2015-04-25 Eli Zaretskii <eliz@gnu.org>
27973 * lisp/window.el (recenter-last-op): Doc fix. (Bug#20419)
27975 Clarify the doc string of 'replace-regexp-in-string'
27976 * lisp/subr.el (replace-regexp-in-string): Doc fix. (Bug#20395)
27978 Improve doc string of 'insert-buffer-substring'
27979 * src/editfns.c (Finsert_buffer_substring): Doc fix. (Bug#20421)
27981 MS-Windows followup for the recent gnulib update
27982 * nt/gnulib.mk (libgnu_a_SOURCES): Replace file-has-acl.c with
27985 2015-04-24 Paul Eggert <eggert@cs.ucla.edu>
27991 2015-04-24 file-has-acl: new module, split from acl
27992 2015-04-24 manywarnings: add GCC 5.1 warnings
27993 2015-04-21 lstat: fix cross-compilation 'ln -s' problem
27994 2015-04-15 qacl: Simplify HP-UX acl_nontrivial check
27995 2015-04-15 acl: On Linux, check for acls without libacl
27996 2015-04-14 tempname: avoid unused parameter warnings (trivial)
27997 * lib/acl-internal.c: New file, from gnulib.
27998 * lib/file-has-acl.c: Remove; no longer imported from gnulib.
27999 * lib/acl-internal.h, lib/gnulib.mk, lib/qcopy-acl.c, lib/tempname.c:
28000 * m4/acl.m4, m4/gnulib-comp.m4, m4/lstat.m4, m4/manywarnings.m4:
28001 Update from gnulib.
28003 Port --enable-gcc-warnings to GCC 5.1 x86-64
28004 * lib-src/ebrowse.c (dump_sym):
28005 * lib-src/hexl.c (main):
28006 * src/ccl.c (ccl_driver):
28007 * src/character.c (string_escape_byte8):
28008 * src/dbusbind.c (xd_retrieve_arg, xd_add_watch):
28009 * src/gnutls.c (Fgnutls_boot):
28010 * src/gtkutil.c (xg_check_special_colors):
28011 * src/image.c (x_build_heuristic_mask):
28012 * src/print.c (safe_debug_print, print_object):
28013 * src/term.c (produce_glyphless_glyph):
28014 * src/xdisp.c (get_next_display_element)
28015 (produce_glyphless_glyph):
28016 * src/xterm.c (x_draw_glyphless_glyph_string_foreground):
28017 Don't use a signed format to print an unsigned integer, or vice
28018 versa. GCC 5.1's new -Wformat-signedness option warns about this.
28019 * src/image.c (png_load_body, jpeg_load_body):
28020 Silence a bogus setjump diagnostic from GCC 5.1 (GCC bug 54561).
28022 2015-04-24 Tassilo Horn <tsdh@gnu.org>
28024 Add new faces to tsdh-light-theme
28025 * etc/themes/tsdh-light-theme.el (tsdh-light): New face
28026 definitions for Info-quoted, ace-jump-face-foreground,
28027 hl-paren-face, show-paren-match, and show-paren-mismatch.
28029 2015-04-24 Nicolas Petton <nicolas@petton.fr>
28031 * lisp/emacs-lisp/seq.el (seq-doseq): Fix the macro.
28033 2015-04-24 Glenn Morris <rgm@gnu.org>
28035 * build-aux/gitlog-to-emacslog:
28036 Use raw log format rather than wrapped one.
28038 2015-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
28040 * lisp/emacs-lisp/seq.el (seq-doseq): Tighten the code.
28041 (seq-doseq): Fix out-of-scope binding.
28042 Don't call `seq-length at every iteration.
28043 Reduce `if's from 3 to 2 per iteration.
28044 (emacs-lisp-mode-hook): Don't tweak in Emacs≥25.
28046 2015-04-24 Glenn Morris <rgm@gnu.org>
28048 * lisp/textmodes/text-mode.el (text-mode-hook):
28049 Move text-mode-hook-identify to default.
28051 * lisp/mouse.el (minor-mode-menu-from-indicator):
28052 Handle non-function members of minor-mode-map-alist. (Bug#20201)
28054 * lisp/help-fns.el (describe-function): More type checking.
28055 (describe-function-1): Handle changed symbol-function. (Bug#20201)
28057 * build-aux/gitlog-to-emacslog: Convert "Fixes:" to "(Bug#)".
28060 2015-04-24 Andreas Schwab <schwab@linux-m68k.org>
28062 shr: strip leading whitespace when expanding URLs
28063 * lisp/net/shr.el (shr-expand-url): Strip leading whitespace from URL.
28065 2015-04-24 Eli Zaretskii <eliz@gnu.org>
28067 Clarify "co-authored" some more
28069 * CONTRIBUTE: Clarify "co-authored-by". (Bug#20400)
28071 Clarify doc strings of functions that search for properties
28072 * src/textprop.c (Fnext_char_property_change)
28073 (Fprevious_char_property_change)
28074 (Fnext_single_char_property_change)
28075 (Fprevious_single_char_property_change, Fnext_property_change)
28076 (Fnext_single_property_change, Fprevious_property_change)
28077 (Fprevious_single_property_change): Clarify doc strings wrt return
28078 value and the optional LIMIT argument. (Bug#20411)
28080 2015-04-24 Glenn Morris <rgm@gnu.org>
28082 * test/automated/message-mode-tests.el (message-mode-propertize):
28083 Handle non-writable HOME; eg on hydra.nixos.org.
28085 2015-04-23 Eli Zaretskii <eliz@gnu.org>
28087 Avoid starting threads by w32-shell-execute
28088 * src/w32fns.c (Fw32_shell_execute): Convert "file:///" URLs into
28089 local file names, before invoking ShellExecute. (Bug#20220)
28091 2015-04-23 Martin Rudalics <rudalics@gmx.at>
28093 Fix following doc-links in `widget-documentation-link-action'
28094 * lisp/wid-edit.el (widget-documentation-link-action): Make
28095 following doc-links less simplistic (Bug#20398).
28097 2015-04-22 Thomas Fitzsimmons <fitzsim@fitzsim.org>
28099 Improve EUDC manual
28100 * doc/misc/eudc.texi (Troubleshooting):
28101 New LDAP troubleshooting subsection.
28103 2015-04-22 Paul Eggert <eggert@cs.ucla.edu>
28105 Omit needless "\ " after multibyte then newline
28106 * src/print.c: Include <c-ctype.h>, for c_isxdigit.
28107 (print_object): When print-escape-multibyte is non-nil and a
28108 multibyte character is followed by a newline or formfeed, followed
28109 by a hex digit, don't output a needless "\ " before the hex digit.
28110 * test/automated/print-tests.el (print-hex-backslash): New test.
28112 2015-04-22 Oleh Krehel <ohwoeowho@gmail.com>
28114 Add a new `inhibit-message' variable
28115 * src/xdisp.c (syms_of_xdisp): Define a boolean `inhibit_message'.
28116 (message3): Don't call `message3_nolog' (i.e. use the Echo Area) when
28117 `inhibit_message' is non-zero.
28118 * etc/NEWS: Add an entry.
28119 * doc/lispref/display.texi: Add an entry for `inhibit-message',
28120 mention it in `message'.
28122 2015-04-22 Martin Rudalics <rudalics@gmx.at>
28124 Fix last fix in `display-buffer-record-window'.
28125 * lisp/window.el (display-buffer-record-window): Fix last fix.
28127 2015-04-22 Eli Zaretskii <eliz@gnu.org>
28129 Minor edits in CONTRIBUTE
28130 * CONTRIBUTE: Rearrange instructions about log messages.
28131 Use "Git" capitalized all over.
28132 Use 2 spaces between sentences.
28134 2015-04-22 Artur Malabarba <bruce.connor.am@gmail.com>
28136 * lisp/files.el (basic-save-buffer): Fix argument.
28138 * lisp/cus-edit.el (custom-file): Consider init-file-had-error.
28139 In case `(and (null custom-file) init-file-had-error)' do the same
28140 thing we'd do if `(null user-init-file)', which is to either error out
28141 or return nil. This is in line with `custom-save-all' which would
28142 throw an error in that situation. (Bug#20355)
28144 * lisp/emacs-lisp/package.el: Hide lower-priority packages in menu.
28145 (package-menu-hide-low-priority): New variable, see its doc.
28146 (package-archive-priorities): Update doc.
28147 (package-desc-priority): New function.
28148 (package-desc-priority-version): Use it.
28149 (package--remove-hidden): New function.
28150 (package-menu--refresh): Use it.
28152 * lisp/emacs-lisp/package.el: Implement displaying obsolete packages.
28153 (package-menu--hide-obsolete): New variable.
28154 (package--remove-hidden): Use it.
28155 (package-menu-hide-obsolete): New interactive function to toggle
28157 (package--quick-help-keys): Document it.
28158 (package-menu-async): Add :version tag.
28159 (package-menu-mode-map): Bind package-menu-hide-obsolete.
28160 (package-desc-status): Indicate non-installed obsolete packages as
28162 (package-menu-mark-install): Allow installation of avail-obso.
28163 (package-menu--status-predicate): Sort avail-obso with available.
28165 2015-04-22 Alan Mackenzie <acm@muc.de>
28167 On C-y, stop some text property entries being written into buffer-undo-list
28168 * lisp/subr.el (remove-yank-excluded-properties): Enclose the code in
28169 `with-silent-modifications'.
28171 2015-04-22 Martin Rudalics <rudalics@gmx.at>
28173 In display-buffer-record-window record selected window if necessary
28174 * lisp/window.el (display-buffer-record-window): Store selected window
28175 if it differs from 3rd element of 'quit-restore' parameter (Bug#20353).
28177 2015-04-22 Tassilo Horn <tsdh@gnu.org>
28179 Fix reftex-citation bug
28180 * lisp/textmodes/reftex-cite.el (reftex-extract-bib-entries):
28181 Fix `wrong-type-argument stringp nil' error that occurs when AUCTeX
28182 integration is enabled and there are no citations in the document
28185 2015-04-21 Dmitry Gutov <dgutov@yandex.ru>
28187 Add or reset based on the presence of MERGE_HEAD
28188 * lisp/vc/vc-git.el (vc-git-find-file-hook): Add
28189 `vc-git-resolve-when-done' to `after-save-hook' in either case.
28190 (vc-git-conflicted-files): Add a TODO.
28191 (vc-git-resolve-when-done): Depending on the presence of
28192 MERGE_HEAD, either update the resolved file in the index, or
28193 remove it from there. (Bug#20292)
28195 2015-04-21 Glenn Morris <rgm@gnu.org>
28197 * lisp/custom.el (custom-declare-group): No need to purecopy
28198 custom-current-group-alist members following recent change to set
28199 it to nil before dumping.
28201 * build-aux/gitlog-to-emacslog: Get footer from ChangeLog.2.
28204 2015-04-21 Daniel Colascione <dancol@dancol.org>
28206 Unbreak no-op buffer save message
28207 * lisp/files.el (basic-save-buffer): Accept called-interactively as
28208 an argument instead of directly invoking called-interactively-p,
28209 which will always yield nil in that context.
28211 2015-04-21 Alan Mackenzie <acm@muc.de>
28213 CC Mode: Do nothing in before/after-change-functions for text
28216 * lisp/progmodes/cc-mode.el (c-basic-common-init): Make
28217 yank-handled-properties buffer local, and remove 'category from it.
28218 (c-called-from-text-property-change-p): New function.
28219 (c-before-change): Don't do anything if a call of the new function
28221 (c-after-change): Don't do much if a call of the new function returns
28223 (c-extend-after-change-region): Put changes to text property 'fontified
28224 inside c-save-buffer-state.
28226 2015-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
28228 Fix byte-compiler warnings about looking-back
28229 * lisp/vc/log-view.el (log-view-end-of-defun-1):
28230 * lisp/textmodes/tex-mode.el (latex-forward-sexp-1):
28231 * lisp/textmodes/reftex-ref.el (reftex-goto-label):
28232 * lisp/textmodes/bibtex.el (bibtex-insert-kill):
28233 * lisp/progmodes/sh-script.el (sh--maybe-here-document):
28234 * lisp/progmodes/ruby-mode.el (ruby-end-of-defun):
28235 * lisp/progmodes/ada-mode.el (ada-in-numeric-literal-p):
28236 * lisp/org/org.el (org-insert-heading, org-sort-entries):
28237 * lisp/org/org-mouse.el (org-mouse-end-headline)
28238 (org-mouse-context-menu):
28239 * lisp/org/org-clock.el (org-clock-cancel):
28240 * lisp/man.el (Man-default-man-entry):
28241 * lisp/mail/rmail.el (rmail-get-new-mail, rmail-insert-inbox-text)
28242 (rmail-ensure-blank-line):
28243 * lisp/mail/footnote.el (Footnote-delete-footnote):
28244 * lisp/mail/emacsbug.el (report-emacs-bug):
28245 * lisp/info.el (Info-follow-reference, Info-fontify-node):
28246 * lisp/info-look.el (info-lookup-guess-custom-symbol):
28247 * lisp/help-fns.el (help-fns--key-bindings):
28248 * lisp/files.el (hack-local-variables):
28249 * lisp/emulation/viper-ex.el (viper-get-ex-token, ex-cmd-complete)
28250 (viper-get-ex-pat, ex-expand-filsyms, viper-get-ex-file)
28251 (viper-complete-filename-or-exit):
28252 * lisp/emulation/viper-cmd.el (viper-backward-indent):
28253 * lisp/emacs-lisp/lisp-mode.el (calculate-lisp-indent):
28254 * lisp/emacs-lisp/elint.el (elint-get-top-forms):
28255 * lisp/cus-edit.el (custom-face-edit-value-create):
28256 * lisp/calendar/todo-mode.el (todo-set-item-priority)
28257 (todo-filter-items-1, todo-convert-legacy-files)
28258 (todo-prefix-overlays): Add explicit second arg to looking-back.
28260 2015-04-20 Glenn Morris <rgm@gnu.org>
28262 Avoid non-nil current-load-list at startup
28263 * src/process.c (init_process_emacs): Move Fprovide statement...
28264 (syms_of_process): ... to here.
28266 * lisp/loadup.el (custom-current-group-alist): Reset before dumping.
28268 * lisp/startup.el (command-line) <site-run-file>: Avoid rogue value
28271 2015-04-20 Ludovic Courtès <ludo@gnu.org>
28273 * lisp/loadup.el (exec-path): Avoid storing build-time PATH in binary.
28276 2015-04-20 Glenn Morris <rgm@gnu.org>
28278 * lisp/cus-start.el (exec-path): Set standard value, to avoid rogue.
28280 Tweak exec-path in uninstalled case
28281 * src/callproc.c (init_callproc): If running uninstalled, do not
28282 include eventual installation libexec directory in exec-path.
28284 2015-04-20 Artur Malabarba <bruce.connor.am@gmail.com>
28286 * lisp/emacs-lisp/package.el: Filter by multiple keywords and
28288 (package-menu-filter): Accept a list of keywords.
28289 (package--all-keywords): New variable to cache known keywords.
28290 (package-all-keywords): Populate it if necessary.
28291 (package-refresh-contents): Reset it.
28293 * lisp/emacs-lisp/package.el: Make archive and status pseudo-keywords
28294 (package--has-keyword-p): Understand "arc:xxxx" and "status:xxxx"
28295 as special keywords which match agains package archive and status
28297 * etc/NEWS: Document it.
28299 2015-04-20 Eli Zaretskii <eliz@gnu.org>
28301 Describe and index "empty overlays".
28302 * doc/lispref/display.texi (Overlays): Improve indexing.
28303 (Managing Overlays): Describe "empty" overlays.
28304 (Overlay Properties, Finding Overlays): Add cross-reference to
28305 where empty overlays are described.
28307 2015-04-19 Paul Eggert <eggert@cs.ucla.edu>
28311 Quote 'like this' in top-level files
28312 * CONTRIBUTE, INSTALL, Makefile.in, README, configure.ac, make-dist:
28313 Prefer to single-quote 'like this' (instead of the older style
28315 * configure.ac: Fix some space-before-tab problems that 'git commit'
28318 Use bool for boolean in textprop.c, undo.c
28319 * src/textprop.c (soft, hard): Now constants instead of macros.
28320 (validate_plist): Rewrite to avoid need for boolean local.
28321 (interval_has_all_properties, interval_has_some_properties)
28322 (interval_has_some_properties_list, add_properties)
28323 (remove_properties, get_char_property_and_overlay)
28324 (Fnext_single_char_property_change)
28325 (Fprevious_single_char_property_change, add_text_properties_1)
28326 (Fremove_text_properties, Fremove_list_of_text_properties)
28327 (copy_text_properties):
28328 * src/tparam.c (tparam1):
28329 * src/undo.c (record_change, record_property_change)
28331 Use 'true' and 'false' for booleans.
28333 2015-04-19 Dmitry Gutov <dgutov@yandex.ru>
28335 * lisp/vc/vc-git.el (vc-git-find-file-hook):
28336 Call `smerge-start-session' even when dealing with a stash
28337 conflict (bug#20292).
28339 2015-04-19 Vibhav Pant <vibhavp@gmail.com>
28341 Add option to eshell/clear to clear scrollback.
28342 * lisp/eshell/esh-mode.el (eshell/clear-scrollback): New function.
28343 (eshell/clear): Add an optional SCROLLBACK argument. If non-nil,
28344 scrollback contents are cleared.
28345 * etc/NEWS: Describe change.
28346 * doc/misc/eshell.texi: Add entry for `clear'.
28348 2015-04-19 Paul Eggert <eggert@cs.ucla.edu>
28350 * src/widget.c (set_frame_size): Prefer 'int' to 'unsigned'
28351 where either will do.
28353 2015-04-19 Steve Purcell <steve@sanityinc.com>
28355 Assume package archive-contents are UTF8-encoded
28356 * lisp/emacs-lisp/package.el (package--read-archive-file):
28357 Set `coding-system-for-read' explicitly to 'utf-8 when reading the
28358 downloaded and cached archive-contents files, so that non-ASCII
28359 characters in package descriptions are displayed correctly in the
28360 `list-packages' menu. (Bug#20231)
28362 2015-04-19 Dmitry Gutov <dgutov@yandex.ru>
28364 Abort when looking at stashed changes
28365 * lisp/vc/vc-git.el (vc-git-find-file-hook): Abort when looking at
28366 stashed changes (bug#20292).
28368 2015-04-19 Paul Eggert <eggert@cs.ucla.edu>
28370 Refactor low-level printing for simplicity
28371 * src/print.c (PRINTDECLARE): Remove. Move its contents into
28372 PRINTPREPARE; doable now that we assume C99. All callers changed.
28373 (PRINTCHAR): Remove, as it adds more mystery than clarity.
28374 All callers changed.
28375 (strout): Assume that caller computes length. All callers changed.
28376 (print_c_string): New function.
28377 (write_string, write_string_1): Compute length instead of asking
28378 the caller to compute it. All callers changed.
28379 (write_string): Simplify by using write_string_1.
28380 (write_string_1): Simplify by using print_c_string.
28381 (Fterpri): Compute default val more clearly.
28382 (Fprin1_to_string, print_object):
28383 Assume C99 to avoid unnecessary nesting.
28384 (print_object): Prefer print_c_string to multiple printchar, or
28385 to calling strout with -1 length. Coalesce into sprintf when
28388 2015-04-18 Paul Eggert <eggert@cs.ucla.edu>
28390 Prefer "Bug#1234" in commit messages (Bug#20325)
28391 * .dir-locals.el (log-edit-mode): Don't rewrite Bug#,
28392 as this isn't useful for Git.
28393 * CONTRIBUTE: Suggest "Bug#1234" instead of "Fixes: debbugs:1234".
28395 2015-04-18 Glenn Morris <rgm@gnu.org>
28397 * lisp/files.el (auto-mode-alist): Use conf mode for gitconfig, hgrc.
28400 2015-04-18 Tom Willemse <tom@ryuslash.org> (tiny change)
28402 * lisp/elec-pair.el (electric-pair-post-self-insert-function):
28403 Do not use `chomp' as a function. (Bug#19505)
28405 2015-04-18 Glenn Morris <rgm@gnu.org>
28407 * lisp/net/browse-url.el (browse-url, browse-url-at-point): Doc fixes.
28409 * doc/emacs/misc.texi (Sorting): Small edit.
28412 * admin/admin.el (make-manuals): Add emacs-xtra in pdf and ps.
28414 2015-04-18 Simen Heggestøyl <simenheg@gmail.com>
28416 css-mode.el: Support multi-line comment filling
28418 * lisp/textmodes/css-mode.el (css-fill-paragraph): Support multi-line
28420 (css-adaptive-fill): New function.
28421 (css-mode): Set `adaptive-fill-function'.
28422 (scss-mode): Set `comment-continue'.
28424 2015-04-18 Nicolas Petton <nicolas@petton.fr>
28426 * lisp/emacs-lisp/seq.el (seq-concatenate, seq-into):
28427 Better error messages.
28429 2015-04-18 Ivan Radanov Ivanov <ivanradanov@yahoo.co.uk> (tiny change)
28431 Minor improvements in Bulgarian input methods
28432 * lisp/leim/quail/cyrillic.el (bulgarian-phonetic, bulgarian-bds):
28433 Replace U+042C with U+045D, as the former character is not used in
28434 the modern Bulgarian language.
28437 2015-04-17 Thomas Fitzsimmons <fitzsim@fitzsim.org>
28439 Improve EUDC manual
28440 * doc/misc/eudc.texi (LDAP Configuration): Mention simple and SASL
28441 authentication schemes. Add index items. Shorten example server
28444 2015-04-17 Dmitry Gutov <dgutov@yandex.ru>
28446 Don't show both feature and function with the same name
28447 * lisp/progmodes/elisp-mode.el (elisp--xref-identifier-location):
28448 Don't show both feature and function with the same name.
28450 (elisp--xref-identifier-location): Skip variable, if it's also
28452 * lisp/progmodes/elisp-mode.el (elisp--xref-identifier-location):
28453 Avoid returning both the variable and the function for the same
28456 2015-04-17 Wolfgang Jenkner <wjenkner@inode.at>
28458 Fix fontification of keywords clobbered by the prompt
28459 * lisp/comint.el (comint-output-filter): Remove the uses of
28460 with-silent-modifications I introduced as part of the last change.
28461 This fixes, e.g., erratically missing highlighting when running
28462 ./configure --help; ./configure in a shell-mode buffer with
28463 compilation-shell-minor-mode turned on.
28465 2015-04-17 Glenn Morris <rgm@gnu.org>
28467 * admin/authors.el (authors-valid-file-names)
28468 (authors-renamed-files-alist): Additions.
28470 2015-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
28472 * lisp/indent.el (indent-region): Don't deactivate the mark.
28475 2015-04-17 Sam Steingold <sds@gnu.org>
28477 * lisp/net/rcirc.el (defun-rcirc-command): Mark `target' as ignorable.
28479 2015-04-16 Leo Liu <sdl.web@gmail.com>
28481 * lisp/progmodes/xref.el (xref-push-marker-stack): Add optional arg.
28483 2015-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
28485 * lisp/erc/erc-pcomplete.el (erc-pcomplete):
28486 Don't use `pcomplete' any more.
28488 2015-04-16 Glenn Morris <rgm@gnu.org>
28490 * admin/authors.el (authors-lax-changelogs): Update for erc changes.
28492 2015-04-16 Eli Zaretskii <eliz@gnu.org>
28494 Don't link with -ljpeg on MS-Windows, to avoid dependency on DLL
28495 * configure.ac (LIBJPEG): Leave it empty for MinGW.
28497 2015-04-16 Glenn Morris <rgm@gnu.org>
28499 * lisp/replace.el (query-replace-from-to-separator):
28500 Delay initialization to avoid rogue setting after startup.
28502 2015-04-16 Paul Eggert <eggert@cs.ucla.edu>
28504 Pre-4.6 GCC succeeds with unknown option
28505 * configure.ac (emacs_cv_prog_cc_nopie): Port to pre-4.6 GCC.
28508 2015-04-15 Paul Eggert <eggert@cs.ucla.edu>
28510 '[:graph:]' now excludes whitespace, not just ' '
28511 * doc/lispref/searching.texi (Char Classes):
28512 * lisp/emacs-lisp/rx.el (rx): Document [:graph:] to be [:print:]
28513 sans whitespace (not sans space).
28514 * src/character.c (graphicp): Exclude all Unicode whitespace chars,
28516 * src/regex.c (ISGRAPH): Exclude U+00A0 (NO-BREAK SPACE).
28518 2015-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
28520 * lisp/subr.el (substitute-key-definition-key, special-form-p)
28521 (macrop): Drop deprecated second arg to indirect-function.
28522 (looking-back): Make the second arg non-optional.
28524 * lisp/org/org-clock.el (org-x11idle-exists-p): Be honest about which
28525 command is actually sent to the shell.
28527 2015-04-15 Paul Eggert <eggert@cs.ucla.edu>
28529 Port jpeg configuration to Solaris 10 with Sun C
28530 * configure.ac: Check for jpeglib 6b by trying to link it, instead
28531 of relying on cpp magic that has problems in practice. Check for
28532 both jpeglib.h and jerror.h features. Remove special case for
28533 mingw32, which should no longer be needed (and if it were needed,
28534 should now be addressable by hotwiring emacs_cv_jpeglib).
28537 2015-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
28539 Move some Elisp-specific code from lisp-mode.el to elisp-mode.el
28540 * lisp/emacs-lisp/lisp-mode.el (lisp--el-font-lock-flush-elisp-buffers):
28541 Move to elisp-mode.el.
28542 (lisp-mode-variables): (Re)move elisp-specific settings.
28543 * lisp/progmodes/elisp-mode.el (emacs-lisp-mode): Add settings removed
28544 from lisp-mode-variables.
28545 (elisp--font-lock-flush-elisp-buffers): New function, moved from
28548 * lisp/emacs-lisp/lisp-mode.el (lisp--el-non-funcall-position-p):
28549 Avoid pathological slowdown at top-level in large file.
28551 2015-04-15 Paul Eggert <eggert@cs.ucla.edu>
28553 Standardize names of ChangeLog history files
28554 Suggested by Glenn Morris in:
28555 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00678.html
28556 * Makefile.in (install-man): Don't treat ChangeLog.1 as a man page.
28557 * doc/man/ChangeLog.1: Rename back from doc/man/ChangeLog.01.
28558 * lisp/erc/ChangeLog.1: New file, containing the old contents of ...
28559 * lisp/erc/ChangeLog.01, lisp/erc/ChangeLog.02, lisp/erc/ChangeLog.03:
28560 * lisp/erc/ChangeLog.04, lisp/erc/ChangeLog.05, lisp/erc/ChangeLog.06:
28561 * lisp/erc/ChangeLog.07, lisp/erc/ChangeLog.08, lisp/erc/ChangeLog.09:
28564 Split top-level entries into pre- and post-April 7
28565 This more clearly distingiushes pre-April-7 ChangeLog entries (which
28566 are for top-level files only) from post-April-7 entries (which are
28567 about files at all levels. Problem reported by Glenn Morris in:
28568 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00678.html
28569 * ChangeLog.1: Move post-April-7 entries from here ...
28570 * ChangeLog.2: ... to this new file.
28571 * Makefile.in (CHANGELOG_HISTORY_INDEX_MAX): Bump to 2.
28573 2015-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
28575 Fix recent cus-start changes that added customize-rogues
28576 * lisp/cus-start.el (custom-delayed-init-variables): Initialize the
28578 * lisp/loadup.el ("cus-start"): Move to the end to reduce
28581 2015-04-15 Nicolas Petton <nicolas@petton.fr>
28583 Define cl-concatenate as an alias to seq-concatenate
28584 * lisp/emacs-lisp/cl-extra.el (cl-concatenate): Removes duplicated
28585 code by making cl-concatenate an alias to seq-concatenate.
28587 2015-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
28589 * src/lread.c (intern_1): Make sure we'd find the symbol we add
28591 * src/xfaces.c (resolve_face_name): Don't use `intern' with
28594 2015-04-15 Glenn Morris <rgm@gnu.org>
28596 * doc/lispref/sequences.texi (Sequence Functions): Fix typo in previous.
28598 2015-04-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
28600 Clean up gnus-uu saving code slightly
28601 * lisp/gnus/gnus-uu.el (gnus-uu-save-article): Make the
28602 save-restriction/widen calls make more sense.
28604 2015-04-15 Paul Eggert <eggert@cs.ucla.edu>
28606 Make [:graph:] act like [:print:] sans space
28607 In POSIX [[:print:]] is equivalent to [ [:graph:]], so change
28608 [:graph:] so that it matches everything that [:print:] does,
28610 * doc/lispref/searching.texi (Char Classes):
28612 * lisp/emacs-lisp/rx.el (rx):
28613 Document [:graph:] to be [:print:] sans ' '.
28614 * src/character.c, src/character.h (graphicp): New function.
28615 * src/regex.c (ISGRAPH) [emacs]: Use it.
28616 (BIT_GRAPH): New macro.
28617 (BIT_PRINT): Increase to 0x200, to make room for BIT_GRAPH.
28618 (re_wctype_to_bit) [! WIDE_CHAR_SUPPORT]:
28619 Return BIT_GRAPH for RECC_GRAPH.
28620 (re_match_2_internal) [emacs]: Use ISGRAPH if BIT_GRAPH,
28621 and ISPRINT if BIT_PRINT.
28623 2015-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
28625 automated/eieio-test-methodinvoke.el (make-instance) <(subclass C)>:
28626 Don't use call-next-method in a cl-defmethod.
28628 * lisp/emacs-lisp/eieio-core.el (eieio--class): Derive from cl--class
28629 (eieio--class-p): Remove, provided by cl-defstruct.
28631 2015-04-14 Nicolas Petton <nicolas@petton.fr>
28633 Add seq-intersection and seq-difference to the seq library
28634 * lisp/emacs-lisp/seq.el (seq-intersection, seq-difference):
28636 * test/automated/seq-tests.el: Add tests for seq-intersection and
28638 * doc/lispref/sequences.texi: Add documentation for seq-intersection
28639 and seq-difference.
28641 2015-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
28643 * lisp/emacs-lisp/eieio-core.el (class-abstract-p): Don't inline,
28644 to avoid leaking internals.
28646 2015-04-14 Sam Steingold <sds@gnu.org>
28648 package--ensure-init-file: widen requires save-restriction
28650 2015-04-14 Eli Zaretskii <eliz@gnu.org>
28652 Improve the commit-msg Git hook for unibyte environments
28653 * build-aux/git-hooks/commit-msg: Set LC_ALL=C, before running Awk
28654 in unibyte environments. (Suggested by Paul Eggert
28655 <eggert@cs.ucla.edu>.) Use a more accurate approximation to
28656 [:print:], based on UTF-8 sequences of the unprintable characters.
28658 Describe problems with cursor caused by Windows Magnifier
28659 * etc/PROBLEMS: Describe the problem with cursor shape on
28660 MS-Windows due to Windows Magnifier.
28663 Make [:print:] support non-ASCII characters correctly
28664 * src/regex.c (ISPRINT): Call 'printablep' for multibyte characters.
28665 (BIT_PRINT): New bit mask.
28666 (re_wctype_to_bit): Return BIT_PRINT for RECC_PRINT.
28667 * src/character.c (printablep): New function.
28668 * src/character.h (printablep): Add prototype.
28669 * lisp/emacs-lisp/rx.el (rx): Doc fix: document the new behavior
28670 of 'print', 'alnum', and 'alphabetic'.
28671 * doc/lispref/searching.texi (Char Classes): Document the new
28672 behavior of [:print:].
28673 * etc/NEWS: Mention the new behavior of [:print:].
28675 Assign correct general-category and names to surrogates
28676 * admin/unidata/unidata-gen.el (unidata-setup-list): Don't ignore
28677 surrogates. This avoids assigning them the default
28678 general-category of 'Cn', i.e. unassigned codepoints.
28679 (unidata-get-name): Give surrogates synthetic names.
28681 2015-04-14 Paul Eggert <eggert@cs.ucla.edu>
28683 Assume C89 offsetof in xterm.c, xlwmenu.c
28684 * lwlib/xlwmenu.c (offset):
28685 * src/xterm.c (cvt_string_to_pixel_args):
28686 Use offsetof, not XtOffset.
28688 2015-04-14 Paul Eggert <eggert@Penguin.CS.UCLA.EDU>
28690 Assume C89 offsetof in widget.c
28691 * src/widget.c (XtOffset): Remove; no longer needed.
28692 (offset): Implement via offsetof instead of via pre-C89 XtOffset hack.
28694 Fix think-o in previous patch
28695 * src/window.c (count_windows, get_leaf_windows):
28696 Don't optimize count_windows incorrectly.
28698 2015-04-13 Paul Eggert <eggert@cs.ucla.edu>
28700 Avoid some int overflows in window.c
28701 * src/print.c (print_object):
28702 * src/window.c (sequence_number):
28703 * src/window.h (struct window.sequence_number):
28704 Don't assume window sequence number fits in int.
28705 * src/window.c (window_select_count):
28706 * src/window.h (struct window.use_time, window_select_count):
28707 Don't assume window use time fits in int.
28708 * src/window.c (Fsplit_window_internal):
28709 Don't assume user-supplied integer, or sum, fits in int.
28710 (Fset_window_configuration, count_windows, get_leaf_windows)
28711 (save_window_save, Fcurrent_window_configuration):
28712 Use ptrdiff_t for object counts.
28713 (Fset_window_configuration): Omit unused local 'n'.
28714 (count_windows): Simplify by writing in terms of get_leaf_windows.
28715 (get_leaf_windows): Don't store through FLAT if it's null.
28716 (extract_dimension): New static function.
28717 (set_window_margins, set_window_fringes, set_window_scroll_bars):
28718 Use it to avoid undefined behavior when converting user-supplied
28721 2015-04-13 Glenn Morris <rgm@gnu.org>
28723 Minor doc copyedits
28724 * doc/emacs/custom.texi (Init Examples): Tweak example, replace typo.
28725 * doc/lispintro/emacs-lisp-intro.texi (condition-case): Typo fix.
28727 2015-04-13 Katsumi Yamaoka <yamaoka@jpl.org>
28729 [Gnus] Catch the invalid-operation that idna.el will issue
28730 * lisp/gnus/gnus-art.el (gnus-use-idna):
28731 * lisp/gnus/gnus-sum.el (gnus-summary-idna-message):
28732 * lisp/gnus/message.el (message-use-idna):
28733 Catch the invalid-operation that idna.el will issue.
28735 2015-04-13 Paul Eggert <eggert@cs.ucla.edu>
28737 * doc/lispref/processes.texi (Shell Arguments): Prefer diff -u.
28739 2015-04-13 Sam Steingold <sds@gnu.org>
28741 package--ensure-init-file: widen before looking for
28742 "(package-initialize)"
28744 2015-04-13 Dmitry Gutov <dgutov@yandex.ru>
28746 Change diff-switches default to `-u' (Bug#20290)
28747 * doc/emacs/files.texi (Comparing Files): Document the new default
28748 value of `diff-switches'.
28749 * doc/emacs/trouble.texi (Sending Patches): Document the preference
28750 for unified diff format. Escape the plus in the suggested `-F' regexp
28752 * lisp/vc/diff.el (diff-switches): Change the default to `-u'.
28754 2015-04-13 Stefan Monnier <monnier@iro.umontreal.ca>
28756 (gnus-group--setup-tool-bar-update): Fix last change
28757 * lisp/gnus/gnus-group.el (gnus-group--setup-tool-bar-update):
28758 cursor-sensor-functions should be a list of functions.
28760 2015-04-13 Katsumi Yamaoka <yamaoka@jpl.org>
28762 * lisp/gnus/gnus-topic.el (gnus-topic-mode):
28763 Use gmm-called-interactively-p.
28765 2015-04-13 Stefan Monnier <monnier@iro.umontreal.ca>
28767 * lisp/loadup.el ("cus-start"): Load it after loaddefs.el
28769 * lisp/cus-start.el (read-buffer-function): Don't advertise
28770 iswitchb-read-buffer any more.
28771 (iswitchb): Don't tweak this obsolete group any more.
28773 2015-04-13 Artur Malabarba <bruce.connor.am@gmail.com>
28775 * lisp/emacs-lisp/package.el: Fix package--ensure-init-file.
28777 * lisp/emacs-lisp/cl-macs.el (cl-defstruct): Implement docstrings.
28778 Adding a string after a constructor's argument list will use
28779 that string as the constructor function docstring. If this string
28780 is absent but the struct itself was given a docstring, use that as
28781 the constructor's docstring.
28784 2015-04-13 Stefan Monnier <monnier@iro.umontreal.ca>
28786 Deprecate `intangible' and `point-entered' properties
28787 * lisp/emacs-lisp/cursor-sensor.el: New file.
28788 * lisp/simple.el (pre-redisplay-functions): New hook.
28789 (redisplay--pre-redisplay-functions): New function.
28790 (pre-redisplay-function): Use it.
28791 (minibuffer-avoid-prompt): Mark obsolete.
28792 (redisplay--update-region-highlight): Adapt it to work as a function on
28793 pre-redisplay-functions.
28794 * lisp/cus-start.el (minibuffer-prompt-properties--setter): New fun.
28795 (minibuffer-prompt-properties): Use it. Use cursor-intangible rather
28796 than point-entered to make the prompt intangible.
28797 * lisp/forms.el: Move `provide' calls to the end.
28798 (forms-mode): Don't use `run-hooks' on a local var.
28799 (forms--make-format, forms--make-format-elt-using-text-properties):
28800 Use cursor-intangible rather than `intangible'.
28801 (forms-mode): Enable cursor-intangible-mode.
28802 * lisp/isearch.el (isearch-mode): Use defvar-local.
28803 (cursor-sensor-inhibit): Declare.
28804 (isearch-mode): Set cursor-sensor-inhibit.
28805 (isearch-done): Set it back.
28806 (isearch-open-overlay-temporary, isearch-open-necessary-overlays)
28807 (isearch-close-unnecessary-overlays): Don't bother with `intangible'
28809 * lisp/ses.el (ses-localvars): Remove `mode-line-process'.
28810 (ses-sym-rowcol, ses-cell-value, ses-col-width, ses-col-printer):
28812 (ses-goto-print, ses-print-cell, ses-adjust-print-width)
28813 (ses-goto-data, ses-setup, ses-copy-region): Don't let-bind
28814 inhibit-point-motion-hooks any more.
28815 (ses--cell-at-pos, ses--curcell): New functions, extracted from
28817 (ses-set-curcell): Use them.
28818 (ses-print-cell, ses-setup): Use cursor-intangible instead of
28819 `intangible'. Make sure cursor-intangible isn't sticky at BOB.
28820 (ses-print-cell-new-width, ses-reprint-all, ses-recalculate-all):
28821 Use ses--cell-at-pos.
28822 (ses--mode-line-process, ses--cursor-sensor-highlight): New functions,
28823 extracted from ses-command-hook. Make them work with multiple windows
28824 displaying the same buffer.
28825 (ses-mode): Use them via mode-line-process and pre-redisplay-functions.
28826 Enable cursor-intangible-mode.
28827 (ses-command-hook): Remove cell highlight and mode-line update code.
28828 (ses-forward-or-insert, ses-copy-region-helper, ses-sort-column):
28829 Update for new name of text-property holding the cell name.
28830 (ses-rename-cell): Don't mess with mode-line-process.
28831 * lisp/erc/erc-stamp.el (erc-add-timestamp): Use the new
28832 cursor-sensor-functions property instead of point-entered.
28833 (erc-insert-timestamp-right, erc-format-timestamp):
28834 Use cursor-intangible rather than `intangible'.
28835 (erc-munge-invisibility-spec): Use add-to-invisibility-spec and
28836 remove-from-invisibility-spec. Enable cursor-intangible-mode and
28837 cursor-sensor-mode if needed.
28838 (erc-echo-timestamp): Adapt to calling convention of
28839 cursor-sensor-functions.
28840 (erc-insert-timestamp-right): Remove unused vars `current-window' and
28842 * lisp/gnus/gnus-group.el (gnus-tmp-*): Declare.
28843 (gnus-update-group-mark-positions): Remove unused `topic' var.
28844 (gnus-group-insert-group-line): Remove unused var `header'.
28845 (gnus-group--setup-tool-bar-update): New function.
28846 (gnus-group-insert-group-line): Use it.
28847 (gnus-group-update-eval-form): Declare local
28848 dynamically-bound variables.
28849 (gnus-group-unsubscribe-group): Use \` and \' to match string bounds.
28850 * lisp/gnus/gnus-topic.el (gnus-topic-jump-to-topic)
28851 (gnus-group-prepare-topics, gnus-topic-update-topic)
28852 (gnus-topic-change-level, gnus-topic-catchup-articles)
28853 (gnus-topic-remove-group, gnus-topic-delete, gnus-topic-indent):
28854 Use inhibit-read-only.
28855 (gnus-topic-prepare-topic): Use gnus-group--setup-tool-bar-update.
28856 (gnus-topic-mode): Use define-minor-mode and derived-mode-p.
28857 * lisp/textmodes/reftex-index.el (reftex-display-index):
28858 Use cursor-intangible-mode if available.
28859 (reftex-index-post-command-hook): Check cursor-intangible.
28860 * lisp/textmodes/reftex-toc.el (reftex-toc):
28861 Use cursor-intangible-mode if available.
28862 (reftex-toc-recenter, reftex-toc-post-command-hook):
28863 Check cursor-intangible.
28864 * lisp/textmodes/sgml-mode.el: Use lexical-binding.
28865 (sgml-tag): Use cursor-sensor-functions instead of point-entered.
28866 (sgml-tags-invisible): Use with-silent-modifications and
28867 inhibit-read-only. Enable cursor-sensor-mode.
28868 (sgml-cursor-sensor): Rename from sgml-point-entered and adjust to
28869 calling convention of cursor-sensor-functions.
28870 * lisp/textmodes/table.el (table-cell-map-hook, table-load-hook)
28871 (table-point-entered-cell-hook, table-point-left-cell-hook):
28873 (table-cell-entered-state): Remove var.
28874 (table--put-cell-point-entered/left-property)
28875 (table--remove-cell-properties):
28876 Use cursor-sensor-functions rather than point-entered/left.
28877 (table--point-entered/left-cell-function): Merge
28878 table--point-entered-cell-function and table--point-left-cell-function
28879 and adjust to calling convention of cursor-sensor-functions.
28881 Update ldef-boots.el
28883 * lisp/emacs-lisp/pcase.el (pcase-dolist): Autoload as well.
28885 * doc/misc/eieio.texi: Don't advertise now obsolete constructs
28887 Collapse successive char deletions in the undo log
28888 * src/cmds.c (remove_excessive_undo_boundaries): New function,
28889 extracted from Fself_insert_command.
28890 (Fdelete_char, Fself_insert_command): Use it.
28891 * src/fileio.c (Fmake_symbolic_link): Rename arg to `target'.
28892 * src/keyboard.c (syms_of_keyboard): `top-level' shouldn't be special.
28894 xterm and OSC 52: Add NEWS entry, and tweak the code
28895 * lisp/term/xterm.el (gui-set-selection) <nil>: Move method definition
28897 (terminal-init-xterm-activate-set-selection): Set a terminal property.
28898 (xterm--set-selection): Use it instead of checking the value of
28899 `terminal-initted'. Don't use string-bytes.
28901 2015-04-13 Philipp Stephani <p.stephani2@gmail.com>
28903 xterm.el: Implement OSC-52 functionality for setting the X selection
28904 * lisp/term/xterm.el (xterm-max-cut-length): New var.
28905 (xterm--set-selection, terminal-init-xterm-activate-set-selection):
28907 (terminal-init-xterm, xterm--version-handler): Use them.
28909 2015-04-13 Stefan Monnier <monnier@iro.umontreal.ca>
28911 Remove left over code from when we used an obsolete/loaddefs.el file
28912 * lisp/subr.el (do-after-load-evaluation): Remove left over code from
28913 when we used an obsolete/loaddefs.el file.
28915 * lisp/cedet/semantic/fw.el (semantic-exit-on-input)
28916 (semanticdb-without-unloaded-file-searches): Use declare.
28917 (semantic-fw-add-edebug-spec): Remove.
28919 * lisp/completion.el (completion-lisp-mode-hook):
28920 Use completion-separator-chars rather than local key binding.
28922 * src/*.c: Set deactivate_mark buffer-locally
28924 * src/insdel.c (prepare_to_modify_buffer_1):
28925 * src/fileio.c (Finsert_file_contents): Set deactivate_mark
28928 2015-04-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
28930 python.el: Keep symmetry on sexp navigation with parens
28932 * lisp/progmodes/python.el
28933 (python-nav--forward-sexp): Add argument skip-parens-p.
28934 (python-nav-forward-sexp, python-nav-backward-sexp)
28935 (python-nav-forward-sexp-safe)
28936 (python-nav-backward-sexp-safe): Use it.
28937 * test/automated/python-tests.el
28938 (python-nav-forward-sexp-1): Fix test.
28940 2015-04-12 João Távora <joaotavora@gmail.com>
28942 Don't use `setq-local' in Gnus code
28943 This might break upstream builds with older Emacsen
28944 * lisp/gnus/message.el (message-mode): Use `set' and
28945 `make-local-variable' instead of `setq-local'.
28947 2015-04-12 Paul Eggert <eggert@cs.ucla.edu>
28949 Update Makefile.in's .PHONY dependencies
28950 * Makefile.in (change-history-commit, master-branch-is-current)
28951 (no-ChangeLog): Now phony.
28953 Remove configure's --with-mmdf option
28954 * configure.ac (MAIL_USE_MMDF): Remove.
28955 * etc/NEWS: Document this.
28956 * lib-src/movemail.c: Assume MAIL_USE_MMDF is not defined.
28959 * doc/man/ChangeLog.01: Rename from doc/man/ChangeLog.1.
28960 That way, 'make install' won't think it's a man page.
28961 Reported by Ashish SHUKLA in:
28962 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00656.html
28964 Improve 'make change-history' prereq tests
28965 * Makefile.in (gen_origin): Fix to match what's in the master branch.
28966 (no-ChangeLog, master-branch-is-current): New rules.
28967 (change-history): Depend on them, to avoid similar future problems.
28968 Escape the local-variables string to pacify Emacs when editing
28971 2015-04-12 Artur Malabarba <bruce.connor.am@gmail.com>
28973 * test/automated/package-test.el (with-package-test):
28974 Kill Packages buffer.
28976 * lisp/emacs-lisp/package.el: Improve transaction y-or-n prompt.
28977 (package-menu--prompt-transaction-p): Prompt for "Delete" first,
28978 "Upgrade" last, and use capitalized instead of all-caps.
28980 * lisp/emacs-lisp/package.el: Completely silence async operations.
28981 (package--make-autoloads-and-stuff): Silence autoloads.
28982 (package--save-selected-packages): New function, silences
28983 `customize-save-variable'.
28984 (package--user-selected-p, package-install-from-buffer)
28985 (package-delete, package-install): Use it.
28986 (package-install-from-archive)
28987 (package-menu--perform-transaction): Silence.
28988 (package-menu-execute): Feedback when operation starts.
28990 Use delay-mode-hooks when visiting the init-file
28991 * lisp/emacs-lisp/package.el (package--ensure-init-file):
28993 * lisp/cus-edit.el (custom-save-all): delay-mode-hooks.
28995 * lisp/files.el: Only message when saving if save-silently is nil.
28996 (save-silently): New variable.
28997 (files--message): New function.
28998 (find-file-noselect, save-buffer, basic-save-buffer)
28999 (basic-save-buffer-2, save-some-buffers, not-modified)
29000 (append-to-file): Use them.
29002 2015-04-12 Johan Bockgård <bojohan@gnu.org>
29004 Support debug declarations in pcase macros
29005 * lisp/emacs-lisp/pcase.el (pcase-MACRO): New edebug spec.
29006 (pcase-UPAT): Use it. Remove "`".
29007 (pcase--edebug-match-macro): New function.
29008 (pcase-defmacro): Support debug declarations.
29009 * lisp/emacs-lisp/cl-macs.el (cl-struct) <pcase-defmacro>:
29010 * lisp/emacs-lisp/eieio.el (eieio) <pcase-defmacro>:
29011 * lisp/emacs-lisp/pcase.el (\`): <pcase-defmacro>:
29012 Add debug declaration.
29014 pcase.el: Edebug support for `app' and vector patterns
29015 * lisp/emacs-lisp/pcase.el (pcase-FUN): New edebug spec.
29016 (pcase-UPAT): Use it. Support `app' patterns.
29017 (pcase-QPAT): Support vector patterns.
29019 edebug.el: Disambiguate vector specifications
29020 * lisp/emacs-lisp/edebug.el (edebug-match-list): Always treat
29021 `(vector ...)' as a vector specification, not as a sublist.
29023 (gnus-summary-refer-thread): Don't clobber unread articles
29024 This fixes a bug where `A T' causes "random" articles to become marked
29026 * lisp/gnus/gnus-sum.el (gnus-summary-refer-thread): Make sure
29027 gnus-newsgroup-unreads remains sorted.
29029 mouse-sel.el: Fix mouse-sel-get-selection-function
29030 * lisp/obsolete/mouse-sel.el (mouse-sel-get-selection-function):
29031 Use gui--last-selected-text-primary instead of no longer existing
29032 gui-last-selected-text.
29034 * lisp/rect.el (delete-whitespace-rectangle-line): Don't cross EOL.
29036 * lisp/net/nsm.el (nsm-query-user): Use cursor-in-echo-area.
29038 2015-04-12 Artur Malabarba <bruce.connor.am@gmail.com>
29040 * lisp/emacs-lisp/package.el (list-packages): Avoid redundant generate.
29042 * lisp/emacs-lisp/package.el (list-packages): Call refresh in
29045 * lisp/emacs-lisp/bytecomp.el: Silence noninteractive compilations.
29046 (byte-compile--interactive): New var.
29047 (byte-compile--message): New function.
29048 (byte-compile-log-1, byte-force-recompile)
29049 (byte-recompile-directory, byte-recompile-file)
29050 (byte-compile-file, compile-defun)
29051 (byte-compile-file-form-defmumble, byte-compile)
29052 (byte-compile-file-form-defalias, display-call-tree): Use it.
29054 * lisp/files.el: Don't message when nothing happened.
29055 (save-some-buffers, basic-save-buffer): Before messaging to say
29056 "nothing was saved" check if (called-interactively-p 'any).
29058 2015-04-12 João Távora <joaotavora@gmail.com>
29060 Summary: Improve sexp-based movement in message-mode
29061 Works by giving citations and smileys a different syntax. This helps
29062 modes like `show-paren-mode', `electric-pair-mode', and C-M-*
29063 sexp-based movement.
29064 * lisp/gnus/message.el (message--syntax-propertize): New function.
29065 (message-mode): Set syntax-related vars.
29066 (message-smileys): New variable.
29067 * test/automated/message-mode-tests.el: New file
29069 2015-04-11 Paul Eggert <eggert@cs.ucla.edu>
29071 Use bool for boolean in window.c
29072 * src/window.c: Omit unnecessary static function decls.
29073 (adjust_window_count, select_window, Fselect_window)
29074 (window_body_width, Fwindow_body_height, Fwindow_body_width)
29075 (set_window_hscroll, check_window_containing, Fwindow_at)
29076 (Fwindow_end, Fset_window_start, Fpos_visible_in_window_p)
29077 (unshow_buffer, replace_window, recombine_windows)
29078 (add_window_to_list, candidate_window_p, next_window)
29079 (Fnext_window, Fprevious_window, window_loop, check_all_windows)
29080 (Fget_buffer_window, Fdelete_other_windows_internal)
29081 (replace_buffer_in_windows_safely, set_window_buffer)
29082 (Fset_window_buffer, Fforce_window_update)
29083 (temp_output_buffer_show, make_parent_window)
29084 (window_resize_check, window_resize_apply, Fwindow_resize_apply)
29085 (resize_frame_windows, Fsplit_window_internal)
29086 (Fdelete_window_internal, grow_mini_window, shrink_mini_window)
29087 (Fresize_mini_window_internal, mark_window_cursors_off)
29088 (window_scroll, window_scroll_pixel_based)
29089 (window_scroll_line_based, scroll_command, Fscroll_other_window)
29090 (Fscroll_left, Fscroll_right, displayed_window_lines, Frecenter)
29091 (Fmove_to_window_line, Fset_window_configuration)
29092 (delete_all_child_windows, apply_window_adjustment)
29093 (set_window_fringes, set_window_scroll_bars)
29094 (Fset_window_vscroll, foreach_window, foreach_window_1)
29095 (compare_window_configurations, Fcompare_window_configurations):
29096 Prefer 'bool', 'true', and 'false' for booleans.
29097 * src/window.h (WINDOW_MODE_LINE_LINES)
29098 (WINDOW_HEADER_LINE_LINES): Omit unnecessary "!!" on bool value.
29100 2015-04-11 Artur Malabarba <bruce.connor.am@gmail.com>
29102 Speed up byte-compilation and autoload generation by avoiding mode-hooks
29103 This prevents emacs-lisp-mode-hook from being run everytime an
29104 autoload file is generated, which can account for a fraction of
29105 package installation time depending on the hooks the user has
29107 * lisp/emacs-lisp/bytecomp.el (byte-compile-file): Use delay-mode-hooks.
29108 * lisp/emacs-lisp/autoload.el (autoload-find-file)
29109 (autoload-find-generated-file): Use delay-mode-hooks.
29111 * lisp/emacs-lisp/package.el: Improve `package-menu-refresh'.
29112 (package-menu-refresh): Respect async and do new package checking.
29113 (list-packages): Use `package-menu-refresh' instead of repeating code.
29115 * lisp/emacs-lisp/package.el: Improve package-menu-quick-help.
29116 (package--quick-help-keys): New variable.
29117 (package--prettify-quick-help-key): New function.
29118 (package-menu-quick-help): Use it.
29120 * lisp/emacs-lisp/package.el: Fix initially wrong compat table.
29121 (package--build-compatibility-table): Require finder.
29123 * test/automated/package-test.el: Fix new test.
29125 * lisp/emacs-lisp/package.el: Silence async operations.
29126 (package--silence): New variable.
29127 (package--message): New function.
29128 (package-import-keyring, package-refresh-contents)
29129 (package-compute-transaction, package-install, package-delete)
29130 (package-menu--perform-transaction, package-menu-execute): Use it.
29132 * test/automated/package-test.el: Test async functionality.
29133 (package-test-update-archives-async): New test.
29135 2015-04-11 Daiki Ueno <ueno@gnu.org>
29137 Utilize `make-process' in epg.el
29138 * lisp/epg.el (epg-error-output): Abolish.
29139 (epg-context): New slot `error-buffer'.
29140 (epg--start): Use `make-process' and `make-pipe-process'.
29141 (epg--process-filter): Remove code separating stderr from stdout.
29142 (epg-wait-for-completion): Simplify `error-output' handling.
29143 (epg-reset): Dispose error buffer.
29145 2015-04-11 Paul Eggert <eggert@cs.ucla.edu>
29147 * .gitignore: Ignore doc temps and outputs.
29149 Port commit-msg to MSYS Bash+Gawk
29150 See Eli Zaretskii in:
29151 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00610.html
29152 * build-aux/git-hooks/commit-msg (cent_sign_utf8_format)
29153 (cent_sign, print_at_sign, at_sign): Revert previous change.
29154 (print_at_sign): Prepend "BEGIN".
29155 (at_sign): Redirect from /dev/null to be safer with pre-POSIX awk.
29157 Port commit-msg to broken MS-Windows shell
29158 * build-aux/git-hooks/commit-msg (cent_sign):
29159 Just use UTF-8 here rather than ASCII + printf, as the latter fails
29160 on a broken MS-Windows shell. Reported by Eli Zaretskii in:
29161 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00592.html
29163 2015-04-11 Chris Zheng <chriszheng99@gmail.com> (tiny change)
29165 Support GnuTLS v3.4 and later on MS-Windows
29166 * src/gnutls.c (syms_of_gnutls) <libgnutls-version>: New DEFSYM.
29167 * lisp/term/w32-win.el (dynamic-library-alist): Determine which
29168 GnuTLS DLL to load according to value of libgnutls-version.
29171 2015-04-11 Paul Eggert <eggert@cs.ucla.edu>
29173 Minor quoting etc. fixes to misc manuals
29174 Fix some minor quoting and spacing issues. Distinguish more
29175 clearly among grave accent and apostrophe (which are ASCII) and
29176 single quote (which is not). Prefer the standard terms
29177 "apostrophe" and "grave accent" to alternative names that can be
29178 confusing. Use apostrophes to single-quote ASCII text.
29179 * doc/misc/remember.texi: Spell the mystic's pseudonym in UTF-8
29180 rather than approximating it in ASCII with grave accent.
29182 2015-04-11 Daiki Ueno <ueno@gnu.org>
29184 Respect more keyword args in `make-process'
29185 * src/process.c (Fmake_process): Respect `:sentinel' and `:filter'
29186 keywords as documented.
29188 2015-04-10 Dmitry Gutov <dgutov@yandex.ru>
29190 Extract ChangeLog entries when committing a directory
29191 * lisp/vc/vc-dispatcher.el (vc-log-edit): Update FIXME comment.
29192 * lisp/vc/log-edit.el (log-edit-changelog-insert-entries):
29193 Add a FIXME comment.
29194 (log-edit-changelog-entries): Extract from
29195 `log-edit-changelog-entries', handle FILE being a directory
29196 (http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00555.html).
29198 2015-04-10 Paul Eggert <eggert@cs.ucla.edu>
29200 Fix problems found by --enable-gcc-warnings
29201 * src/process.c (create_process, Fmake_pipe_process)
29202 (Fmake_network_process): Omit unused locals.
29204 Fix commit-msg to handle scissors lines
29205 * build-aux/git-hooks/commit-msg:
29206 Ignore every line after a scissors line, such as a line generated
29207 by 'git commit -v'. Problem reported by Johan Bockgård in:
29208 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00580.html
29210 port commit-msg to Gawk 3.0.4 (1999)
29211 * build-aux/git-hooks/commit-msg (cent_sign_utf8_format, cent_sign)
29212 (print_at_sign, at_sign): New vars. Use them to avoid problems
29213 Eli Zaretskii encountered with Gawk 3.0.4 (1999) on MSYS. See:
29214 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00566.html
29216 Have commit-msg report commit failure
29217 * build-aux/git-hooks/commit-msg: If the commit is aborted,
29218 say so. Simplify by doing this at the end. Problem reported
29219 by Eli Zaretskii in:
29220 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00566.html
29222 2015-04-10 Thomas Fitzsimmons <fitzsim@fitzsim.org>
29224 Clean up LDAP Configuration section of EUDC manual
29225 * doc/misc/eudc.texi: Combine indices.
29226 (LDAP Configuration): Use command markup. Add index entries.
29227 Change formatting. Wrap long lines. Add noindent markup.
29229 2015-04-10 Daiki Ueno <ueno@gnu.org>
29231 Add facility to collect stderr of async subprocess
29232 * src/w32.h (register_aux_fd): New function declaration.
29233 * src/w32.c (register_aux_fd): New function.
29234 * src/process.h (struct Lisp_Process): New member stderrproc.
29235 * src/process.c (PIPECONN_P): New macro.
29236 (PIPECONN1_P): New macro.
29237 (Fdelete_process, Fprocess_status, Fset_process_buffer)
29238 (Fset_process_filter, Fset_process_sentinel, Fstop_process)
29239 (Fcontinue_process): Handle pipe process specially.
29240 (create_process): Respect p->stderrproc.
29241 (Fmake_pipe_process): New function.
29242 (Fmake_process): Add new keyword argument :stderr.
29243 (wait_reading_process_output): Specially handle a pipe process when
29245 (syms_of_process): Register Qpipe and Smake_pipe_process.
29246 * doc/lispref/processes.texi (Asynchronous Processes): Document
29247 `make-pipe-process' and `:stderr' keyword of `make-process'.
29248 * lisp/subr.el (start-process): Suggest to use `make-process' handle
29249 standard error separately.
29250 * test/automated/process-tests.el (process-test-stderr-buffer)
29251 (process-test-stderr-filter): New tests.
29252 * etc/NEWS: Mention new process type `pipe' and its usage with the
29253 `:stderr' keyword of `make-process'.
29255 2015-04-10 Paul Eggert <eggert@cs.ucla.edu>
29257 Minor quoting etc. fixes to lispref manual
29258 * doc/lispref/tips.texi (Documentation Tips):
29259 Distinguish more clearly among grave accent, apostrophe,
29261 * doc/lispref/README, doc/lispref/buffers.texi:
29262 * doc/lispref/commands.texi, doc/lispref/control.texi:
29263 * doc/lispref/customize.texi, doc/lispref/display.texi:
29264 * doc/lispref/elisp.texi, doc/lispref/files.texi:
29265 * doc/lispref/frames.texi, doc/lispref/hash.texi:
29266 * doc/lispref/help.texi, doc/lispref/internals.texi:
29267 * doc/lispref/loading.texi, doc/lispref/makefile.w32-in:
29268 * doc/lispref/markers.texi, doc/lispref/modes.texi:
29269 * doc/lispref/nonascii.texi, doc/lispref/objects.texi:
29270 * doc/lispref/os.texi, doc/lispref/positions.texi:
29271 * doc/lispref/strings.texi, doc/lispref/syntax.texi:
29272 * doc/lispref/text.texi, doc/lispref/tips.texi:
29273 * doc/lispref/two-volume-cross-refs.txt, doc/lispref/windows.texi:
29274 Use American-style double quoting in ordinary text,
29275 and quote 'like this' when single-quoting in ASCII text.
29276 Also, fix some minor spacing issues.
29278 2015-04-10 Michael Albinus <michael.albinus@gmx.de>
29280 Handle symlinked test directory in tramp-tests.el
29281 * test/automated/tramp-tests.el (tramp-test18-file-attributes)
29282 (tramp--test-check-files): Use `file-truename' for directories.
29284 2015-04-10 Eli Zaretskii <eliz@gnu.org>
29286 Fix 'recenter' when visual-line-mode is turned on
29287 * src/window.c (Frecenter): Use the same code for GUI and TTY
29288 frames alike; use vmotion only for "initial" frames. This is
29289 because vmotion doesn't support visual-line-mode. Rewrite the
29290 'iarg >= 0' case to use move_it_* functions instead of using
29291 vmotion, for the same reason. Fix the clipping of the argument
29292 value to support scroll-margin in all cases and avoid unwarranted
29293 recentering. Reported by Milan Stanojević <milanst@gmail.com> in
29294 http://lists.gnu.org/archive/html/help-gnu-emacs/2015-04/msg00092.html,
29297 2015-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
29299 * lisp/abbrev.el (define-abbrev-table): Refine last change.
29301 * lisp/emacs-lisp/cl-lib.el: Partial revert of "2015-04-05 Rationalize
29302 use of c[ad]+r", so as to keep the "cl-" prefix on all
29303 cl-lib definitions.
29305 * lisp/progmodes/vhdl-mode.el (vhdl-prepare-search-2):
29306 Use inhibit-point-motion-hooks.
29308 * lisp/cedet/semantic: Remove some dead code.
29309 * lisp/cedet/semantic/util-modes.el
29310 (semantic-stickyfunc-header-line-format): Emacs<22 is not supported
29312 * lisp/cedet/semantic/fw.el (semantic-buffer-local-value): Emacs<21 is
29313 not supported any more.
29314 (semantic-safe): Use `declare'.
29315 * lisp/cedet/semantic/decorate.el (semantic-set-tag-intangible)
29316 (semantic-tag-intangible-p): Remove unused functions.
29317 * lisp/cedet/semantic/complete.el (semantic-displayor-window-edges):
29318 Remove unused function.
29320 * lisp/gnus/gnus-art.el (gnus-hidden-properties): Simplify.
29321 (gnus-article-hide-text, gnus-article-unhide-text)
29322 (gnus-article-unhide-text-type): Remove special handling of
29323 `intangible' since that property is not used any more.
29324 (gnus-article-treat-body-boundary): Use gnus-hidden-properties.
29326 2015-04-09 Dmitry Gutov <dgutov@yandex.ru>
29328 Use the VC root in `log-edit-listfun'
29329 * lisp/vc/vc-dispatcher.el (vc-log-edit): Use the VC root in
29330 `log-edit-listfun'.
29332 2015-04-09 Jay Belanger <jay.p.belanger@gmail.com>
29334 Fix description of Unix time, mention new function.
29335 * lisp/calc/calc-forms.el (calcFunc-unixtime): Fix adjustment for
29337 * doc/misc/calc.texi (Date Forms): Fix description of Unix time.
29338 (Basic Operations on Units): Mention `calc-convert-exact-units'.
29340 2015-04-09 Artur Malabarba <bruce.connor.am@gmail.com>
29342 * lisp/emacs-lisp/package.el: Use mode-line-process for notification.
29344 2015-04-09 Dmitry Gutov <dgutov@yandex.ru>
29346 * lisp/vc/log-edit.el (log-edit-insert-changelog-entries):
29347 Don't add newline after the last entry.
29349 2015-04-09 Simen Heggestøyl <simenheg@gmail.com>
29351 css-mode.el: Add "not" pseudo-class
29353 * lisp/textmodes/css-mode.el (css-pseudo-class-ids): Add "not" to
29354 list of CSS pseudo-classes.
29356 2015-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
29358 * etc/NEWS: Add missing entry for "Stop messing with the EMACS env var".
29360 2015-04-09 Michael Albinus <michael.albinus@gmx.de>
29362 Stop messing with the EMACS env var
29363 * doc/emacs/misc.texi (Interactive Shell): Remove description of
29366 2015-04-09 Paul Eggert <eggert@cs.ucla.edu>
29368 Adapt 'make change-history' to coding cookie
29369 * Makefile.in (change-history): Adjust to change of format of
29370 ChangeLog file, which now has a coding cookie before an indented
29373 2015-04-09 Paul Eggert <eggert@cs.ucla.edu>
29375 Adapt 'make change-history' to coding cookie
29376 * Makefile.in (change-history): Adjust to change of format of
29377 ChangeLog file, which now has a coding cookie before an indented
29380 gitlog-to-changelog coding cookie and mv -i
29381 * build-aux/gitlog-to-emacslog: Use ChangeLog.1, not Makefile.in,
29382 for copyright notice prototype, so that we get a proper "coding:"
29383 cookie. Use 'mv -i' to avoid unconditionally overwriting an
29384 existing ChangeLog. Problems reported by Eli Zaretskii in:
29385 http://lists.gnu.org/archive/html/emacs-devel/2015-04/msg00504.html
29388 * build-aux/gitlog-to-changelog: Update from gnulib, incorporating:
29389 2015-04-09 gitlog-to-changelog: port to MS-Windows
29391 2015-04-09 Boruch Baum <boruch_baum@gmx.com> (tiny change)
29393 * lisp/bookmark.el (bookmark-bmenu-goto-bookmark): Don't inf-loop.
29396 2015-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
29398 Stop messing with the EMACS env var
29400 * lisp/net/tramp-sh.el (tramp-remote-process-environment):
29401 * lisp/comint.el (comint-exec-1):
29402 * lisp/term.el (term-exec-1): Don't set EMACS envvar.
29403 * lisp/progmodes/compile.el (compilation-start): Same and bring
29404 INSIDE_EMACS's format in line with other users.
29406 css-mode.el (css-smie-rules): Fix indentation after complex selectors
29408 * lisp/textmodes/css-mode.el (css-smie-rules): Don't get confused by
29409 inner structure of selectors.
29411 2015-04-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
29413 python.el: Indent docstring lines to base-indent
29415 Thanks to immerrr <immerrr@gmail.com> for reporting and providing
29417 * lisp/progmodes/python.el
29418 (python-indent-context): Add :inside-docstring context.
29419 (python-indent--calculate-indentation): Handle :inside-docstring.
29420 (python-indent-region): Re-indent docstrings.
29421 * test/automated/python-tests.el (python-indent-region-5)
29422 (python-indent-inside-string-2): Fix tests.
29424 python.el: Increase native completion robustness
29426 Thanks to Carlos Pita <carlosjosepita@gmail.com> for reporting
29427 this and providing useful ideas.
29428 * lisp/progmodes/python.el
29429 (python-shell-completion-native-output-timeout): Increase value.
29430 (python-shell-completion-native-try-output-timeout): New var.
29431 (python-shell-completion-native-try): Use it.
29432 (python-shell-completion-native-setup): New readline setup avoids
29433 polluting current context, ensures output when no-completions are
29434 available and includes output end marker.
29435 (python-shell-completion-native-get-completions): Trigger with one
29436 tab only. Call accept-process-output until output end is found or
29437 python-shell-completion-native-output-timeout is exceeded.
29439 2015-04-08 Samer Masterson <samer@samertm.com>
29441 * lisp/eshell: Make backslash a no-op in front of normal chars
29443 * lisp/eshell/esh-arg.el (eshell-parse-argument-hook): Update comment.
29444 (eshell-parse-backslash): Return escaped character after backslash
29445 if it is special. Otherwise, if the backslash is not in a quoted
29446 string, ignore the backslash and return the character after; if
29447 the backslash is in a quoted string, return the backslash and the
29449 * test/automated/eshell.el (eshell-test/escape-nonspecial)
29450 (eshell-test/escape-nonspecial-unicode)
29451 (eshell-test/escape-nonspecial-quoted)
29452 (eshell-test/escape-special-quoted): Add tests for new
29453 `eshell-parse-backslash' behavior.
29455 2015-04-08 Gustav Hållberg <gustav@gmail.com> (tiny change)
29457 * lisp/vc/diff-mode.el (diff-hunk-file-names): Don't require a TAB
29458 after the file name.
29461 2015-04-08 Paul Eggert <eggert@cs.ucla.edu>
29463 Minor quoting etc. fixes to Emacs manual
29464 * doc/emacs/Makefile.in, doc/emacs/ack.texi, doc/emacs/building.texi:
29465 * doc/emacs/calendar.texi, doc/emacs/cmdargs.texi:
29466 * doc/emacs/custom.texi, doc/emacs/dired.texi, doc/emacs/emacs.texi:
29467 * doc/emacs/files.texi, doc/emacs/glossary.texi, doc/emacs/gnu.texi:
29468 * doc/emacs/indent.texi, doc/emacs/macos.texi:
29469 * doc/emacs/maintaining.texi, doc/emacs/makefile.w32-in:
29470 * doc/emacs/programs.texi, doc/emacs/rmail.texi:
29471 * doc/emacs/search.texi, doc/emacs/trouble.texi:
29472 * doc/emacs/vc1-xtra.texi:
29473 Use American-style double quoting in ordinary text,
29474 and quote 'like this' when single-quoting in ASCII text.
29475 Also, fix some minor spacing issues.
29477 Minor quoting etc. fixes to elisp intro
29478 * doc/lispintro/emacs-lisp-intro.texi: Consistently use
29479 American-style double quoting in ordinary text. In ASCII text,
29480 consistently quote 'like this' instead of `like this', unless
29481 Emacs requires the latter.
29483 2015-04-08 Dmitry Gutov <dgutov@yandex.ru>
29485 * CONTRIBUTE: Mention log-edit-insert-changelog.
29487 * CONTRIBUTE: Emphasize creating the top-level ChangeLog file manually.
29489 2015-04-08 Paul Eggert <eggert@cs.ucla.edu>
29491 * doc/misc/calc.texi (Summary): Avoid '@:' when usurped.
29493 2015-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
29495 * lisp/emacs-lisp/eieio-core.el (eieio-copy-parents-into-subclass):
29496 Fix inheritance of initargs. (Bug#20270)
29498 2015-04-08 Artur Malabarba <bruce.connor.am@gmail.com>
29500 * lisp/emacs-lisp/package.el (package-menu-mode): Mode-line notification
29501 while dowloading information.
29503 * lisp/emacs-lisp/package.el: More conservative `ensure-init-file'
29504 (package--ensure-init-file): Check file contents before visiting.
29505 (package-initialize): Call it.
29506 (package-install-from-buffer, package-install): Don't call it.
29508 2015-04-08 Eli Zaretskii <eliz@gnu.org>
29510 * src/eval.c (init_eval_once): Bump max_lisp_eval_depth to 800.
29513 2015-04-08 Michael Albinus <michael.albinus@gmx.de>
29515 * lisp/net/tramp-cache.el (tramp-flush-file-property):
29516 Fix nasty scoping bug.
29518 2015-04-08 Tassilo Horn <tsdh@gnu.org>
29520 Add notice to visual commands section
29521 * doc/misc/eshell.texi (Input/Output): Add notice that some tools
29522 such as git call less with its -F option which omits pagination if
29523 the contents is less than one page long. This interferes with
29524 eshell's visual (sub-)commands.
29526 2015-04-07 Dmitry Gutov <dgutov@yandex.ru>
29528 * lisp/ffap.el (ffap-string-at-point-mode-alist): Support
29529 environment variable expansion in file names. (Bug#19839)
29531 2015-04-07 Paul Eggert <eggert@cs.ucla.edu>
29533 Prefer double-quote to accent-grave in man pages
29535 2015-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
29538 * lisp/files.el (set-visited-file-name): Clear auto-save if nil.
29540 2015-04-07 Ivan Shmakov <ivan@siamics.net>
29542 Update etc/PROBLEMS.
29543 * etc/PROBLEMS: Mention visible-cursor; a few more mentions of
29544 ~/.Xresources and xrdb(1); refer to 'GNU Coreutils' and
29545 'X Window System' or 'X' (were: 'GNU Fileutils' and 'X Windows',
29546 respectively); other minor updates and tweaks. (Bug#20011)
29548 2015-04-07 Paul Eggert <eggert@cs.ucla.edu>
29550 Add doc strings for some Isearch state vars
29551 * lisp/misearch.el (multi-isearch-buffer-list)
29552 (multi-isearch-file-list): Add doc strings.
29555 2015-04-07 Alan Mackenzie <acm@muc.de>
29557 Always mark "<" and ">" in #include directives with text properties.
29558 * lisp/progmodes/cc-fonts.el (c-cpp-matchers): Replace a font-lock
29559 "anchored matcher" with an invocation of
29560 c-make-font-lock-search-function to allow fontification when there's
29561 no trailing space on an "#include <..>" line.
29563 2015-04-07 Paul Eggert <eggert@cs.ucla.edu>
29565 Generate a ChangeLog file from commit logs
29566 * .gitignore: Add 'ChangeLog'.
29567 * build-aux/gitlog-to-changelog: New file, from Gnulib.
29568 * build-aux/gitlog-to-emacslog: New file.
29569 * CONTRIBUTE: Document the revised workflow.
29570 * Makefile.in (clean): Remove *.tmp and etc/*.tmp*
29571 instead of just special cases.
29572 (CHANGELOG_HISTORY_INDEX_MAX, CHANGELOG_N, gen_origin): New vars.
29573 (ChangeLog, unchanged-history-files, change-history)
29574 (change-history-commit): New rules.
29575 * admin/admin.el (make-manuals-dist--1):
29576 Don't worry about doc/ChangeLog.
29577 * admin/authors.el: Add a FIXME.
29578 * admin/make-tarball.txt:
29579 * lisp/calendar/icalendar.el:
29580 * lisp/gnus/deuglify.el:
29581 * lisp/obsolete/gulp.el:
29583 Adjust to renamed ChangeLog history files.
29584 * admin/merge-gnulib (GNULIB_MODULES): Add gitlog-to-changelog.
29585 * admin/notes/repo: Call it 'master' a la Git, not 'trunk' a la Bzr.
29586 Remove obsolete discussion of merging ChangeLog files.
29587 New section "Maintaining ChangeLog history".
29588 * build-aux/git-hooks/pre-commit:
29589 Reject attempts to commit files named 'ChangeLog'.
29590 * lib/gnulib.mk, m4/gnulib-comp.m4: Regenerate.
29591 * make-dist: Make and distribute top-level ChangeLog if there's a
29592 .git directory. Distribute the new ChangeLog history files
29593 instead of scattered ChangeLog files. Distribute the new files
29594 gitlog-to-changelog and gitlog-to-emacslog.
29597 Rename ChangeLogs for gitlog-to-changelog
29598 This patch was implemented via the following shell commands:
29599 find * -name ChangeLog |
29600 sed 's,.*,git mv & &.1,
29601 s, lisp/ChangeLog\.1$, lisp/ChangeLog.17,
29602 s, lisp/erc/ChangeLog\.1$, lisp/erc/ChangeLog.09,
29603 s, lisp/gnus/ChangeLog\.1$, lisp/gnus/ChangeLog.3,
29604 s, lisp/mh-e/ChangeLog\.1$, lisp/mh-e/ChangeLog.2,
29605 s, src/ChangeLog\.1$, src/ChangeLog.13,' |
29607 git commit -am"[this commit message]"
29609 This file records repository revisions from
29610 commit 9d56a21e6a696ad19ac65c4b405aeca44785884a (exclusive) to
29611 2016-02-04b6d89ff9288a49099f041752908b5eb9613e (inclusive).
29612 commit 3a2b6aa33109dc40b2c1bcc621a624d38fe814fc (inclusive).
29613 See ChangeLog.1 for earlier changes.
29615 ;; Local Variables:
29619 Copyright (C) 2015-2016 Free Software Foundation, Inc.
29621 This file is part of GNU Emacs.
29623 GNU Emacs is free software: you can redistribute it and/or modify
29624 it under the terms of the GNU General Public License as published by
29625 the Free Software Foundation, either version 3 of the License, or
29626 (at your option) any later version.
29628 GNU Emacs is distributed in the hope that it will be useful,
29629 but WITHOUT ANY WARRANTY; without even the implied warranty of
29630 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
29631 GNU General Public License for more details.
29633 You should have received a copy of the GNU General Public License
29634 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.