1 2015-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
3 * emacs-lisp/eieio.el (with-slots): Use macroexp-let2.
4 (object-class-fast): Change recommend replacement.
5 (eieio-object-class): Rewrite.
6 (slot-exists-p): Adjust to new slot representation.
7 (initialize-instance): Adjust to new slot representation.
8 (object-write): Adjust to new slot representation.
10 * emacs-lisp/eieio-opt.el (eieio--help-print-slot): New function
11 extracted from eieio-help-class-slots.
12 (eieio-help-class-slots): Use it. Adjust to new slot representation.
14 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
15 Declare to silence warnings.
16 (data-debug-insert-object-button): Avoid `object-slots'.
17 (data-debug/eieio-insert-slots): Adjust to new slot representation.
19 * emacs-lisp/eieio-custom.el (eieio-object-value-create)
20 (eieio-object-value-get): Adjust to new slot representation.
22 EIEIO: Change class's representation to unify instance and class slots
23 * emacs-lisp/eieio-core.el (eieio--class): Change field names and order
24 to match those of cl--class; use cl--slot for both instance slots and
26 (eieio--object-num-slots): Use cl-struct-slot-info.
27 (eieio--object-class): Rename from eieio--object-class-object.
28 (eieio--object-class-name): Remove.
29 (eieio-defclass-internal): Adjust to new slot representation.
30 Store doc in class rather than in `variable-documentation'.
31 (eieio--perform-slot-validation-for-default): Change API to take
33 (eieio--slot-override): New function.
34 (eieio--add-new-slot): Rewrite.
35 (eieio-copy-parents-into-subclass): Rewrite.
36 (eieio--validate-slot-value, eieio--validate-class-slot-value)
37 (eieio-oref-default, eieio-oset-default)
38 (eieio--class-slot-name-index, eieio-set-defaults): Adjust to new
40 (eieio--c3-merge-lists): Simplify.
41 (eieio--class/struct-parents): New function.
42 (eieio--class-precedence-bfs): Use it.
44 * emacs-lisp/eieio-compat.el (eieio--generic-static-symbol-specializers):
45 Extract from eieio--generic-static-symbol-generalizer.
46 (eieio--generic-static-symbol-generalizer): Use it.
48 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
49 Manually map initargs to slot names.
50 (eieio-persistent-validate/fix-slot-value): Adjust to new
53 * emacs-lisp/cl-preloaded.el (cl--class): Fix type of `parents'.
55 2015-03-19 Vibhav Pant <vibhavp@gmail.com>
57 * lisp/leim/quail/hangul.el
58 * lisp/progmodes/cperl-mode.el: Use delete-char instead of
59 delete-backward-char, fixes compilation warnings.
61 2015-03-18 Michael Albinus <michael.albinus@gmx.de>
63 * net/tramp-sh.el (tramp-do-directory-files-and-attributes-with-stat):
64 Mark apostrophs with ?/ instead of \037. (Bug#20117)
66 2015-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
68 Add classes as run-time descriptors of cl-structs.
69 * emacs-lisp/cl-preloaded.el (cl--struct-get-class): New function.
70 (cl--make-slot-desc): New constructor.
71 (cl--plist-remove, cl--struct-register-child): New functions.
72 (cl-struct-define): Rewrite.
73 (cl-structure-class, cl-structure-object, cl-slot-descriptor)
74 (cl--class): New structs.
75 (cl--struct-default-parent): Initialize it here.
76 * emacs-lisp/cl-macs.el (cl--find-class): New macro.
77 (cl-defsubst, cl--defsubst-expand, cl--sublis): Move before first use.
78 (cl--struct-default-parent): New var.
79 (cl-defstruct): Adjust to new representation of classes; add
80 default parent. In accessors, signal `wrong-type-argument' rather than
82 (cl-struct-sequence-type, cl-struct-slot-info)
83 (cl-struct-slot-offset): Rewrite.
84 * emacs-lisp/cl-generic.el (cl--generic-struct-specializers)
85 (cl-generic-generalizers): Rewrite.
87 * emacs-lisp/macroexp.el (macroexp--debug-eager): New var.
88 (internal-macroexpand-for-load): Use it.
90 * emacs-lisp/debug.el (debug--implement-debug-on-entry):
91 Bind inhibit-debug-on-entry here...
92 (debug): Instead of here.
94 2015-03-18 Dima Kogan <dima@secretsauce.net>
96 Have gud-display-line not display source buffer in gud window.
97 * lisp/progmodes/gud.el (gud-display-line): Make display-buffer
98 not reuse selected window. (Bug#17675, Bug#19901, Bug#20034)
100 2015-03-17 Tassilo Horn <tsdh@gnu.org>
102 * emacs-lisp/byte-run.el (macro-declarations-alist):
103 New declaration no-font-lock-keyword.
104 (defmacro): Flush font-lock in existing elisp buffers.
106 * emacs-lisp/lisp-mode.el (lisp--el-update-after-load)
107 (lisp--el-update-macro-regexp, lisp--el-macro-regexp):
108 Delete functions and defconst.
109 (lisp--el-match-keyword): Rename from lisp--el-match-macro.
110 (lisp--el-font-lock-flush-elisp-buffers): New function.
111 (lisp-mode-variables): Remove code for updating
112 lisp--el-macro-regexp, and add
113 lisp--el-font-lock-flush-elisp-buffers to after-load-functions.
115 2015-03-17 Simen Heggestøyl <simenheg@gmail.com>
117 * textmodes/css-mode.el (css--font-lock-keywords):
118 Discriminate between pseudo-classes and pseudo-elements.
119 (css-pseudo-ids): Remove.
120 (css-pseudo-class-ids, css-pseudo-element-ids): New variables.
121 (css--complete-property): New function for completing CSS properties.
122 (css--complete-pseudo-element-or-class): New function
123 completing CSS pseudo-elements and pseudo-classes.
124 (css--complete-at-rule): New function for completing CSS at-rules.
125 (css-completion-at-point): New function.
126 (css-mode): Add support for completion.
127 (css-extract-keyword-list, css-extract-parse-val-grammar)
128 (css-extract-props-and-vals): Remove function in favor of manual
130 (css-at-ids): Update list of CSS at-rule ids.
131 (css-property-ids): Update list of CSS properties.
133 2015-03-17 Bozhidar Batsov <bozhidar@batsov.com>
135 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Font-lock
138 2015-03-17 Michael Albinus <michael.albinus@gmx.de>
140 * net/tramp-sh.el (tramp-maybe-send-script): Avoid leading tabs in
141 shell scripts. (Bug#20118)
143 2015-03-17 Eli Zaretskii <eliz@gnu.org>
145 * mouse.el (mouse-appearance-menu): If w32-use-w32-font-dialog is
146 nil, construct a menu of fixed fonts. This resurrects a feature
149 * w32-vars.el (w32-use-w32-font-dialog): Add a ':set' function to
150 reset mouse-appearance-menu-map, so the font dialog is recomputed
151 the next time the menu is requested.
152 (w32-fixed-font-alist): Fix to use correct names of Courier fonts.
154 2015-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
156 * emacs-lisp/cl-macs.el (cl--transform-lambda): Refine last change
159 2015-03-17 Michael Albinus <michael.albinus@gmx.de>
161 * net/tramp-sh.el (tramp-ssh-controlmaster-options): Change test
162 for ControlPath in order to avoid DNS timeouts. (Bug#20015)
164 2015-03-16 Alan Mackenzie <acm@muc.de>
166 Edebug: Allow "S" to work during trace mode. Fixes debbugs #20074.
167 Also display the overlay arrow in go and go-nonstop modes.
169 * emacs-lisp/edebug.el (edebug--display-1): Move the
170 `input-pending' test to after trace mode's `sit-for'.
171 (edebug--recursive-edit): Insert "(sit-for 0)" after
172 "(edebug-overlay-arrow)".
174 2015-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
176 * emacs-lisp/cl-macs.el (cl--transform-lambda): Rework to avoid
177 cl--do-arglist in more cases; add comments to explain what's going on.
178 (cl--do-&aux): New function extracted from cl--do-arglist.
179 (cl--do-arglist): Use it.
181 * emacs-lisp/cl-generic.el: Add Version: header, for ELPA purposes.
183 * obsolete/iswitchb.el (iswitchb-read-buffer): Add `predicate' arg.
184 * isearchb.el (isearchb-iswitchb): Adjust accordingly.
185 * ido.el (ido-read-buffer): Add `predicate' argument.
186 * misearch.el (unload-function-defs-list): Declare before use.
188 2015-03-16 Vibhav Pant <vibhavp@gmail.com>
190 * net/browse-url.el (browse-url-browser-function): Add "Conkeror".
191 (browse-url-conkeror-program, browse-url-conkeror-arguments)
192 (browse-url-conkeror-new-window-is-buffer): New defcustoms.
193 (browse-url-default-browser): Check for `browse-url-conkeror'
194 and call `browse-url-conkeror-program'.
195 (browse-url-conkeror): New command.
198 2015-03-16 Vibhav Pant <vibhavp@gmail.com>
200 * eshell/esh-mode.el (eshell/clear): New function.
202 2015-03-16 Alan Mackenzie <acm@muc.de>
204 Make Edebug work with Follow Mode.
206 * emacs-lisp/edebug.el (edebug--display-1): Remove call to
207 edebug-adjust-window.
208 (edebug--recursive-edit): Don't bind pre/post-command-hooks to nil
209 over the recursive edit.
210 (edebug-adjust-window): Remove.
212 2015-03-15 Michael Albinus <michael.albinus@gmx.de>
217 * net/tramp-smb.el: Set tramp-autoload cookie for all defcustoms.
219 * net/tramp.el (tramp-ssh-controlmaster-options)
220 (tramp-use-ssh-controlmaster-options): Move them to tramp-sh.el.
221 (tramp-default-method): Do not check for
222 `tramp-ssh-controlmaster-options'.
224 * net/tramp-sh.el (tramp-use-ssh-controlmaster-options):
225 New defcustom, moved from tramp.el.
226 (tramp-ssh-controlmaster-options): New defvar, moved from tramp.el
227 but with a nil initial value.
228 (tramp-ssh-controlmaster-options): New defun.
229 (tramp-do-copy-or-rename-file-out-of-band)
230 (tramp-maybe-open-connection): Use it. (Bug#20015)
232 2015-03-15 Tassilo Horn <tsdh@gnu.org>
234 * emacs-lisp/lisp-mode.el (lisp--el-macro-regexp): New defconst.
235 (lisp--el-update-macro-regexp, lisp--el-update-after-load)
236 (lisp--el-match-macro): New functions.
237 (lisp-mode-variables): Update lisp--el-macro-regexp and add
238 lisp--el-update-after-load to after-load-functions.
240 2015-03-15 Daniel Colascione <dancol@dancol.org>
242 * emacs-lisp/cl-indent.el
243 (lisp-indent-backquote-substitution-mode): New user option.
244 (common-lisp-indent-function-1, common-lisp-loop-part-indentation)
245 (common-lisp-indent-function): Support normally indenting
246 backquote substitutions.
247 (extended-loop-p): Rename to `lisp-extended-loop-p'.
249 2015-03-14 Michael R. Mauger <michael@mauger.com>
251 * progmodes/sql.el: Version 3.5
252 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): Match password prompts.
253 (sql-interactive-remove-continuation-prompt): Fix regression. (Bug#6686)
255 2015-03-14 Daniel Colascione <dancol@dancol.org>
257 * widget.el (define-widget): Check that documentation is a string
258 or nil; prevent wailing and gnashing of teeth when users forget to
259 pass a docstring and wonder why their properties don't work.
261 * startup.el (command-line): Process "--no-x-resources".
263 2015-03-13 Kevin Ryde <user42_kevin@yahoo.com.au>
265 info-look fixes for Texinfo 5
266 * info-look.el (c-mode, bison-mode, makefile-mode)
267 (makefile-automake-mode, texinfo-mode, autoconf-mode, awk-mode)
268 (latex-mode, emacs-lisp-mode, sh-mode, cfengine-mode):
269 Match `foo' and 'foo' and ‘foo’ for @item and similar.
270 (latex-mode): Match multi-arg \frac{num}{den} or \sqrt[root]{n} in
273 2015-03-12 Juri Linkov <juri@linkov.net>
275 * simple.el (next-line-or-history-element)
276 (previous-line-or-history-element): Remember the goal column of
277 possibly multi-line input, and restore it afterwards. (Bug#19824)
279 2015-03-12 Rasmus Pank Roulund <emacs@pank.eu>
281 * ido.el (ido-add-virtual-buffers-to-list): Include bookmark-alist
284 2015-03-12 Eli Zaretskii <eliz@gnu.org>
286 * international/fontset.el (script-representative-chars): Add a
287 representative character for 'vai'.
289 2015-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
291 * international/quail.el (quail-input-method):
292 Use with-silent-modifications.
294 * simple.el (goto-history-element): Don't burp on t history.
296 2015-03-10 Paul Eggert <eggert@cs.ucla.edu>
298 Prefer "initialize" to "initialise"
299 * progmodes/js.el (js-indent-first-init):
300 Rename from js-indent-first-initialiser, to avoid worrying about
301 American vs British spelling. All uses changed.
303 2015-03-10 Glenn Morris <rgm@gnu.org>
305 * progmodes/js.el (js-indent-first-initialiser):
306 Fix doc, type, version.
308 2015-03-10 Jackson Ray Hamilton <jackson@jacksonrayhamilton.com>
310 * progmodes/js.el (js-indent-first-initialiser): New option.
311 (js--maybe-goto-declaration-keyword-end): New function.
312 (js--proper-indentation): Use js--maybe-goto-declaration-keyword-end.
314 2015-03-10 Thomas Fitzsimmons <fitzsim@fitzsim.org>
316 * net/ldap.el (ldap-attribute-syntaxes-alist): Add LDAP attributes
317 from RFC2798 Section 9.1.1. (Bug#8983)
319 2015-03-09 Nicolas Petton <nicolas@petton.fr>
321 * emacs-lisp/seq.el (seq-into): New function.
322 Bump seq.el version to 1.3.
324 2015-03-09 Dmitry Gutov <dgutov@yandex.ru>
326 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't consider
327 `=' a part of symbol when followed by `>'. (Bug#18644)
328 (ruby-syntax-before-regexp-re): Detect regexps after `!'.
331 2015-03-09 Eli Zaretskii <eliz@gnu.org>
333 * dired.el (dired-delete-file): Doc fix. (Bug#20021)
335 2015-03-06 Sergio Durigan Junior <sergiodj@sergiodj.net>
336 Thomas Fitzsimmons <fitzsim@fitzsim.org>
338 * net/eudcb-bbdb.el (eudc-bbdb-field): New function.
339 (eudc-bbdb-filter-non-matching-record): Call eudc-bbdb-field.
340 (eudc-bbdb-format-record-as-result): Likewise.
342 2015-03-08 Dmitry Gutov <dgutov@yandex.ru>
344 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
345 Use `font-lock-constant-face' for nil, true and false.
346 Highlight `self' as a keyword. (Bug#17733)
348 2015-03-08 Nobuyoshi Nakada <nobu@ruby-lang.org>
350 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
351 Expect beginning of regexp also after open brace or vertical bar.
354 2015-03-07 Stefan Monnier <monnier@iro.umontreal.ca>
356 * battery.el (battery-echo-area-format): Simplify default.
357 (battery-linux-sysfs): Standardize on energy&power. Accept ADP1
360 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Don't try to
361 unfold `closure's since byte-compile-unfold-lambda doesn't know how to
364 2015-03-06 Oscar Fuentes <ofv@wanadoo.es>
366 * net/browse-url.el (browse-url-firefox): Remove outdated
367 MS-Windows limitations.
369 2015-03-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
371 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple): Mark as
373 (eudc-ldap-cleanup-record-filtering-addresses): Add docstring.
374 Don't clean up postal addresses if ldap-ignore-attribute-codings
375 is set. Combine mail addresses into one field. (Bug#17720)
376 (eudc-ldap-simple-query-internal):
377 Call eudc-ldap-cleanup-record-filtering-addresses instead of
378 eudc-ldap-cleanup-record-simple.
379 (eudc-ldap-get-field-list): Likewise.
381 2015-03-05 Ivan Shmakov <ivan@siamics.net>
383 * net/eww.el (eww-html-p): New function (bug#20009).
384 (eww-render): Use it.
386 2015-03-05 Artur Malabarba <bruce.connor.am@gmail.com>
388 * desktop.el (desktop-buffer-info): Write docstring.
389 (desktop-buffer-info): Use `pushnew' instead of `add-to-list' and
392 * emacs-lisp/package.el (package-refresh-contents): Update doc.
394 2015-03-05 Dmitry Gutov <dgutov@yandex.ru>
396 * progmodes/js.el (js-mode-syntax-table): Add an entry for `.
398 2015-03-05 Stefan Monnier <monnier@iro.umontreal.ca>
400 Replace *-function vars with generic functions in cl-generic.
401 * emacs-lisp/cl-generic.el (cl--generic-generalizer): New struct.
402 (cl-generic-tagcode-function, cl-generic-tag-types-function): Remove.
403 (cl--generic-t-generalizer): New const.
404 (cl--generic-make-method): Rename from `cl--generic-method-make'.
405 (cl--generic-make): Change calling convention.
406 (cl--generic): Add `options' field.
407 (cl-generic-function-options): New function.
408 (cl-defgeneric): Rewrite handling of options. Add support for :method
409 options and allow the use of a default body.
410 (cl-generic-define): Save options in the corresponding new field.
411 (cl-defmethod): Fix ordering of qualifiers.
412 (cl-generic-define-method): Use cl-generic-generalizers.
413 (cl--generic-get-dispatcher): Change calling convention, and change
414 calling convention of the returned function as well so as to take the
415 list of methods separately from the generic function object, so that it
416 can receive the original generic function object.
417 (cl--generic-make-next-function): New function, extracted from
418 cl--generic-make-function.
419 (cl--generic-make-function): Use it.
420 (cl-generic-method-combination-function): Remove.
421 (cl--generic-cyclic-definition): New error.
422 (cl-generic-call-method): Take a generic function object rather than
424 (cl-method-qualifiers): New alias.
425 (cl--generic-build-combined-method): Use cl-generic-combine-methods,
426 don't segregate by qualifiers here any more.
427 (cl--generic-standard-method-combination): Segregate by qualifiers
428 here instead. Add support for the `:extra' qualifier.
429 (cl--generic-cache-miss): Move earlier, adjust to new calling convention.
430 (cl-generic-generalizers, cl-generic-combine-methods):
431 New generic functions.
432 (cl-no-next-method, cl-no-applicable-method, cl-no-primary-method):
433 Use the new "default method in defgeneric" functionality, change
434 calling convention to receive a generic function object.
435 (cl--generic-head-used): New var.
436 (cl--generic-head-generalizer, cl--generic-eql-generalizer)
437 (cl--generic-struct-generalizer, cl--generic-typeof-generalizer):
439 * emacs-lisp/eieio-core.el (eieio--generic-generalizer)
440 (eieio--generic-subclass-generalizer): New consts.
441 (cl-generic-generalizers): New methods.
442 * emacs-lisp/eieio-compat.el (eieio--generic-static-symbol-generalizer)
443 (eieio--generic-static-object-generalizer): New consts.
444 (cl-generic-generalizers) <(head eieio--static)>: New method.
445 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
446 Unfold closures like lambdas.
448 2015-03-04 Filipp Gunbin <fgunbin@fastmail.fm>
450 * autorevert.el (auto-revert-notify-add-watch):
451 Fix handler installation. (Bug#20000)
453 2015-03-04 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
455 * net/eww.el (eww-search-prefix, eww-open-file, eww-search-words)
456 (eww-same-page-p,eww-set-character-encoding): Fix docstring.
457 (eww): Do not end error messages with a period.
459 2015-03-04 Zhongwei Yao <ashi08104@gmail.com>
461 * net/tramp-adb.el (tramp-adb-connect-if-not-connected):
463 (tramp-adb-ls-toolbox-regexp): Fix regexp in order to support file
464 names starting with a space.
465 (tramp-methods): Add `tramp-default-port' for "adb".
466 (tramp-adb-parse-device-names): Add traces. Return device names
467 with port, if present.
468 (tramp-adb-handle-directory-files-and-attributes): Quote all
470 (tramp-adb-get-device): New defun.
471 (tramp-adb-execute-adb-command, tramp-adb-maybe-open-connection):
473 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
474 variables. Remove checks for listed devices.
476 2015-03-04 Michael Albinus <michael.albinus@gmx.de>
478 * net/tramp.el (tramp): Add :link property.
479 (tramp-login-prompt-regexp): Allow also "user", as required by
481 (tramp-autoload-file-name-handler): Use "/".
482 (tramp-handle-unhandled-file-name-directory): Return nil when
483 required by the spec.
485 * net/tramp-cache.el (tramp-dump-connection-properties):
486 Use `with-temp-file'.
488 * net/tramp-sh.el (tramp-perl-file-attributes)
489 (tramp-perl-directory-files-and-attributes): Escape apostrophes in
491 (tramp-do-file-attributes-with-stat): Quote file name.
492 (tramp-sh-handle-directory-files-and-attributes): Fall back to
493 `tramp-handle-directory-files-and-attributes' in case of problems.
494 (tramp-do-directory-files-and-attributes-with-stat)
495 (tramp-sh-handle-file-name-all-completions)
496 (tramp-sh-handle-delete-directory)
497 (tramp-sh-handle-expand-file-name, tramp-sh-handle-process-file):
498 Normalize use of "cd".
499 (tramp-do-directory-files-and-attributes-with-stat): Use the
500 `quoting-style' arg of `ls' if possible. Make it also working for
501 file names with apostrophes.
502 (tramp-sh-handle-file-name-all-completions): Use arguments of `ls'
504 (tramp-do-copy-or-rename-file-via-buffer)
505 (tramp-sh-handle-file-local-copy): Use `with-temp-file'.
506 (tramp-get-remote-locale): Accept also \r in output.
507 (tramp-get-ls-command-with-quoting-style): New defun.
508 (tramp-get-inline-coding): Set `default-directory' to a local
509 directory. Sporadically, `call-process-region' does not handle a
510 remote default directory properly.
512 * net/trampver.el: Update release number.
514 2015-03-03 Agustín Martín Domingo <agustin6martin@gmail.com>
516 * textmodes/ispell.el (ispell-aspell-find-dictionary): Make sure
517 .dat files for aspell dicts are also searched for in location
518 described by `ispell-aspell-dict-dir', matching aspell's dict-dir
521 2015-03-03 Agustín Martín Domingo <agustin6martin@gmail.com>
523 * textmodes/ispell.el (ispell-dicts-name2locale-equivs-alist)
524 (ispell-hunspell-fill-dictionary-entry)
525 (ispell-find-hunspell-dictionaries)
526 (ispell-set-spellchecker-params): New generic name for
527 `ispell-hunspell-dictionary-equivs-alist'.
528 (ispell-aspell-add-aliases): Also use
529 `ispell-dicts-name2locale-equivs-alist' to get aspell aliases for
532 2015-03-03 Glenn Morris <rgm@gnu.org>
534 * net/browse-url.el (browse-url-firefox-startup-arguments):
536 (browse-url-firefox): Doc fix. Remove -remote, which no longer
537 exists in Firefox 36. (Bug#19921)
538 (browse-url-firefox-sentinel): Remove function.
540 2015-03-03 Eli Zaretskii <eliz@gnu.org>
542 * frame.el (blink-cursor-timer-function): Don't increment
543 blink-cursor-blinks-done counter when a menu is active on a w32
546 2015-03-03 Juri Linkov <juri@linkov.net>
548 * comint.el (comint-line-beginning-position): Revert searching for
549 the prompt when comint-use-prompt-regexp is non-nil because it
550 doesn't distinguish input from output. Check the field property
551 `output' for the case when comint-use-prompt-regexp is nil.
554 2015-03-03 Jérémy Compostella <jeremy.compostella@gmail.com>
556 * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
557 with PAGER=cat. (Bug#19870)
559 2015-03-03 Glenn Morris <rgm@gnu.org>
561 * textmodes/flyspell.el (flyspell-duplicate-distance):
564 2015-03-03 Eli Zaretskii <eliz@gnu.org>
566 * textmodes/text-mode.el (text-mode-syntax-table): Make some
567 punctuation character behave as word-constituent, for more
568 compatibility with Unicode.
570 * simple.el (transient-mark-mode): Doc fix. (Bug#19841)
572 2015-03-03 Agustín Martín Domingo <agustin6martin@gmail.com>
574 Improve string search in `flyspell-word-search-*`. (Bug#16800)
575 * textmodes/flyspell.el (flyspell-duplicate-distance):
576 Limit default search distance for duplicated words to 40000.
577 (flyspell-word-search-backward, flyspell-word-search-forward):
578 Search as full word with defined casechars, not as substring.
580 2015-03-03 Juri Linkov <juri@linkov.net>
582 Better support for the case of typing RET on the prompt in comint.
583 * comint.el (comint-get-old-input-default): Go to the field end
584 when comint-use-prompt-regexp is nil.
585 (comint-line-beginning-position): Check if point is already
586 on the prompt before searching for the prompt when
587 comint-use-prompt-regexp is non-nil. (Bug#19710)
589 2015-03-03 Eli Zaretskii <eliz@gnu.org>
591 * frame.el (frame-notice-user-settings): Refresh the value of
592 frame parameters after calling tty-handle-reverse-video.
593 Call face-set-after-frame-default with the actual parameters, to avoid
594 resetting colors back to unspecified.
595 (set-background-color, set-foreground-color): Pass the foreground
596 and background colors to face-set-after-frame-default. (Bug#19802)
598 2015-03-03 Wolfgang Jenkner <wjenkner@inode.at>
600 * net/network-stream.el (network-stream-open-tls): Respect the
601 :end-of-capability setting.
603 2015-03-03 Juri Linkov <juri@linkov.net>
605 Revert the previous change of comint-line-beginning-position callers,
606 and modify comint-line-beginning-position instead.
608 * comint.el (comint-history-isearch-search)
609 (comint-history-isearch-message, comint-history-isearch-wrap):
610 Use comint-line-beginning-position instead of field-beginning.
611 (comint-send-input): Use either end-of-line or field-end
612 depending on comint-use-prompt-regexp.
613 (comint-line-beginning-position): Search backward
614 for comint-prompt-regexp if comint-use-prompt-regexp is non-nil.
615 Use field-beginning instead of line-beginning-position
616 if comint-use-prompt-regexp is nil. (Bug#19710)
618 2015-03-03 Robert Pluim <rpluim@gmail.com> (tiny change)
620 * calendar/todo-mode.el (todo-item-done): When done items are
621 hidden, restore point to its location prior to invoking this
624 2015-03-03 Eli Zaretskii <eliz@gnu.org>
626 * textmodes/artist.el (artist-ellipse-compute-fill-info):
627 Use mapcar, not mapc, to create the other half of fill-info.
630 2015-03-03 Nicolas Petton <nicolas@petton.fr>
632 * emacs-lisp/authors.el (authors-ignored-files)
633 (authors-renamed-files-alist): Additions.
635 2015-03-03 Michael Albinus <michael.albinus@gmx.de>
637 * net/tramp.el (tramp-ssh-controlmaster-options): Don't use a
638 tempfile for ControlPath. (Bug#19702)
640 2015-03-03 Michael Albinus <michael.albinus@gmx.de>
642 * net/tramp.el (tramp-ssh-controlmaster-options): Use "%C" for
643 ControlPath if possible. (Bug#19702)
645 2015-03-03 Glenn Morris <rgm@gnu.org>
647 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
648 (authors-valid-file-names, authors-renamed-files-alist): Additions.
650 2015-03-03 Alan Mackenzie <acm@muc.de>
652 CC Mode: Stop Font Lock forcing fontification from BOL. (Bug#19669)
653 * progmodes/cc-mode.el (c-font-lock-init):
654 Set font-lock-extend-region-functions to nil.
656 2015-03-03 Daniel Colascione <dancol@dancol.org>
658 * emacs-lisp/generator.el: Make globals conform to elisp
659 style throughout. Use more efficient font-lock patterns.
660 (cps-inhibit-atomic-optimization): Rename from
661 `cps-disable-atomic-optimization'.
662 (cps--gensym): New macro; replaces `cl-gensym' throughout.
663 (cps-generate-evaluator): Move the `iter-yield' local macro
665 (iter-defun, iter-lambda): from here.
667 (iter-defun): Use `macroexp-parse-body'.
669 2015-03-03 Daniel Colascione <dancol@dancol.org>
671 2015-03-03 Stefan Monnier <monnier@iro.umontreal.ca>
673 * progmodes/gud.el: Use lexical-binding (bug#19966).
675 * emacs-lisp/gv.el (gv-ref): Warn about likely problematic cases.
677 2015-03-03 Daniel Colascione <dancol@dancol.org>
679 * emacs-lisp/generator.el: Make globals conform to elisp
680 style throughout. Use more efficient font-lock patterns.
681 (cps-inhibit-atomic-optimization): Rename from
682 `cps-disable-atomic-optimization'.
683 (cps--gensym): New macro; replaces `cl-gensym' throughout.
684 (cps-generate-evaluator): Move the `iter-yield' local macro
686 (iter-defun, iter-lambda): ...from here.
688 2015-03-03 Artur Malabarba <bruce.connor.am@gmail.com>
690 * emacs-lisp/package.el (package-autoremove): Fix if logic.
692 2015-03-03 Martin Rudalics <rudalics@gmx.at>
694 * window.el (window--dump-frame): For pixel height return total
695 number of frame's lines.
697 2015-03-03 Daniel Colascione <dancol@dancol.org>
699 * emacs-lisp/cl-macs.el (cl-iter-defun): Add cl-iter-defun.
701 * emacs-lisp/generator.el (iter-defun): Correctly propagate
702 docstrings and declarations to underlying function.
704 2015-03-02 Daniel Colascione <dancol@dancol.org>
706 * emacs-lisp/generator.el: New file.
708 * vc/vc.el (vc-responsible-backend): Add autoload cookie for
709 `vc-responsible-backend'.
711 2015-03-01 Michael Albinus <michael.albinus@gmx.de>
713 * vc/vc-hooks.el (vc-state, vc-working-revision):
714 Use `vc-responsible-backend' in order to support unregistered files.
716 * vc/vc-rcs.el (vc-rcs-unregister): Support unregistered files.
718 * vc/vc-rcs.el (vc-rcs-fetch-master-state):
719 * vc/vc-sccs.el (vc-sccs-working-revision): Handle undefined
722 * vc/vc-src.el (vc-src-working-revision): Do not return an empty string.
724 2015-03-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
726 * net/shr.el (shr-insert): Remove soft hyphens.
727 (shr-insert): Also remove soft hypens from non-folded text.
729 2015-02-28 Eli Zaretskii <eliz@gnu.org>
731 * mail/rmailmm.el (rmail-mime-insert-html): Decode HTML payload
732 when the charset is only given by the HTML <head>, and allow to
733 specify the encoding with "C-x RET c".
735 2015-02-27 Mark Laws <mdl@60hz.org>
737 Support daemon mode on MS-Windows (bug#19688)
738 * server.el (server-process-filter): Force GUI frames on
739 MS-Windows in daemon mode, even if a TTY frame was requested.
741 * frameset.el (frameset-keep-original-display-p): Don't assume
742 windows-nt cannot be in daemon mode.
744 * frame.el (window-system-for-display): Don't assume windows-nt
745 cannot be in daemon mode.
747 2015-02-26 Ivan Shmakov <ivan@siamics.net>
749 * faces.el (face-list-p): Split from face-at-point.
750 (face-at-point): Use it.
751 * facemenu.el (facemenu-add-face): Likewise. (Bug#19912)
753 2015-02-26 Oscar Fuentes <ofv@wanadoo.es>
755 * vc/vc.el (vc-annotate-switches): New defcustom.
756 * vc/vc-bzr.el (vc-bzr-annotate-switches): New defcustom.
757 (vc-bzr-annotate-command): Use vc-switches.
758 * vc/vc-cvs.el (vc-cvs-annotate-switches): New defcustom.
759 (vc-cvs-annotate-command): Use vc-switches.
760 * vc/vc-git.el (vc-git-annotate-switches): New defcustom.
761 (vc-git-annotate-command): Use vc-switches.
762 * vc/vc-hg.el (vc-hg-annotate-switches): New defcustom.
763 (vc-hg-annotate-command): Use vc-switches.
764 * vc/vc-mtn.el (vc-mtn-annotate-switches): New defcustom.
765 (vc-mtn-annotate-command): Use vc-switches.
766 * vc/vc-svn.el (vc-svn-annotate-switches): New defcustom.
767 (vc-svn-annotate-command): Use vc-switches.
769 2015-02-26 Alan Mackenzie <acm@muc.de>
771 Handle "#" operator properly inside macro. Fix coding bug.
773 * progmodes/cc-mode.el (c-neutralize-syntax-in-and-mark-CPP):
774 On finding a "#" which looks like the start of a macro, check it
775 isn't already inside a macro.
777 * progmodes/cc-engine.el (c-state-safe-place): Don't record a new
778 "safe" position into the list of them when this is beyond our
781 2015-02-26 Martin Rudalics <rudalics@gmx.at>
783 * menu-bar.el (menu-bar-non-minibuffer-window-p): Return nil when
784 the menu frame is dead. (Bug#19728)
786 2015-02-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
788 python.el: Handle tabs in python-indent-dedent-line.
789 * progmodes/python.el (python-indent-dedent-line): Fixes for
790 indentation with tabs. Thanks to <dale@codefu.org> (Bug#19730).
792 2015-02-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
794 * progmodes/python.el (python-indent-context): Respect user
795 indentation after comment.
797 2015-02-26 Tassilo Horn <tsdh@gnu.org>
799 * textmodes/reftex-vars.el (featurep): Conditionalize value of
800 reftex-label-regexps in order to stay compatible with XEmacs 21.5
801 which has no explicitly numbered groups in regexps (bug#19714).
803 2015-02-26 Daiki Ueno <ueno@gnu.org>
805 * net/dbus.el (dbus-register-signal): Convert "N" of ":argN" to
806 integer before comparison.
808 2015-02-25 Nicolas Richard <theonewiththeevillook@yahoo.fr>
810 * progmodes/elisp-mode.el (elisp--eval-last-sexp): Document argument.
812 2015-02-25 Oleh Krehel <ohwoeowho@gmail.com>
814 * emacs-lisp/check-declare.el (check-declare-warn):
815 Use compilation-style warnings.
816 (check-declare-files): Make sure that
817 `check-declare-warning-buffer' is in `compilation-mode'.
819 2015-02-25 Oleh Krehel <ohwoeowho@gmail.com>
821 * emacs-lisp/check-declare.el (check-declare-ext-errors):
823 (check-declare): New defgroup.
824 (check-declare-verify): When `check-declare-ext-errors' is
825 non-nil, warn about an unfound function, instead of saying
826 "skipping external file".
828 2015-02-25 Tassilo Horn <tsdh@gnu.org>
830 * textmodes/reftex-vars.el (reftex-include-file-commands):
831 Call reftex-set-dirty on changes.
833 2015-02-25 Stefan Monnier <monnier@iro.umontreal.ca>
835 * emacs-lisp/edebug.el (edebug--display): Save-excursion (bug#19611).
836 * emacs-lisp/debug.el (debugger-env-macro): Remove redundant
839 2015-02-24 Glenn Morris <rgm@gnu.org>
841 * mail/rmailsum.el (rmail-summary-previous-all)
842 (rmail-summary-previous-msg): Simplify.
844 2015-02-25 Artur Malabarba <bruce.connor.am@gmail.com>
846 * simple.el (region-active-p): Fix doc to say non-nil.
848 2015-02-24 Samer Masterson <nosefrog@gmail.com>
850 * eshell/em-hist.el (eshell-hist-parse-word-designator):
851 Return args joined with " ".
852 * eshell/em-pred.el (eshell-parse-modifiers): Correct docstring.
853 (eshell-hist-parse-modifier): Pass mod a list instead of a string
856 2015-02-24 Karl Fogel <kfogel@red-bean.com> (tiny change)
858 * comint.el (comint-mode-map): Fix obvious typo.
860 2015-02-24 Johan Claesson <johanclaesson@bredband.net> (tiny change)
862 * filecache.el (file-cache-filter-regexps):
863 Add lock files. (Bug#19516)
865 2015-02-24 Glenn Morris <rgm@gnu.org>
867 * mail/rmailsum.el (rmail-summary-next-all)
868 (rmail-summary-previous-all, rmail-summary-next-msg):
869 Fix handling of optional argument. (Bug#19916)
871 * progmodes/f90.el (f90-beginning-of-subprogram)
872 (f90-end-of-subprogram, f90-match-end):
873 Handle continued strings where the continuation does not start
874 with "&" and happens to match our regexp. (Bug#19809)
876 2015-02-24 Bozhidar Batsov <bozhidar@batsov.com>
878 * comint.el (comint-clear-buffer): New command.
879 (comint-mode-map): Bind `comint-clear-buffer' to 'C-c M-o'.
881 2015-02-23 Pete Williamson <petewil0@googlemail.com> (tiny change)
883 Use ${EXEEXT} more uniformly in makefiles
884 * Makefile.in (EMACS): Append ${EXEEXT}.
886 2015-02-23 Sam Steingold <sds@gnu.org>
888 * files.el (recover-session): Handle `auto-save-list-file-prefix'
889 being a directory (empty non-directory part).
891 2015-02-23 Magnus Henoch <magnus.henoch@gmail.com>
893 * net/sasl.el (sasl-mechanism-alist): Refer to sasl-scram-rfc
894 instead of sasl-scram-sha-1, as the former is the name that can be
897 * net/sasl-scram-rfc.el (sasl-scram-sha-1-steps)
898 (sasl-scram-sha-1-client-final-message)
899 (sasl-scram-sha-1-authenticate-server): Move to end of file.
901 2015-02-23 Paul Eggert <eggert@cs.ucla.edu>
903 * bindings.el (ctl-x-map): Use [?\C-\;] to get the desired binding.
906 2015-02-23 Stefan Monnier <monnier@iro.umontreal.ca>
908 * emacs-lisp/macroexp.el (macroexp-parse-body): Handle cl-declare
909 and :documentation. Change return value format accordingly.
910 * emacs-lisp/cl-generic.el (cl--generic-lambda):
911 * emacs-lisp/pcase.el (pcase-lambda): Adjust accordingly.
912 * emacs-lisp/cl-macs.el (cl--transform-lambda): Use macroexp-parse-body.
914 2015-02-23 Dmitry Gutov <dgutov@yandex.ru>
916 Introduce `xref-etags-mode'.
917 * progmodes/xref.el (xref-etags-mode--saved): New variable.
918 (xref-etags-mode): New minor mode. (Bug#19466)
920 2015-02-22 Lars Magne Ingebrigtsen <larsi@gnus.org>
922 * dom.el (dom-previous-sibling): New function.
924 2015-02-21 Paul Eggert <eggert@cs.ucla.edu>
926 * bindings.el (ctl-x-map): There is no 'C-;'.
927 For now, make do with 'M-;'; this allows 'make bootstrap' to work.
928 Perhaps some other binding should be chosen. (Bug#19826)
930 2015-02-21 Artur Malabarba <bruce.connor.am@gmail.com>
932 * bindings.el (ctl-x-map): Fix `comment-line' binding. (Bug#19826)
934 2015-02-21 Michael Albinus <michael.albinus@gmx.de>
936 * autorevert.el (auto-revert-notify-add-watch)
937 (auto-revert-notify-handler, auto-revert-buffers): Handle also
938 buffers without an associated file, like dired buffers. (Bug#16112)
940 2015-02-21 Dima Kogan <dima@secretsauce.net>
942 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
943 (global-auto-revert-mode): Remove (let (auto-revert-use-notify) ... )
944 wrappers. Call (auto-revert-buffers) consequently in order to
947 2015-02-21 Wilson Snyder <wsnyder@wsnyder.org>
949 Sync with upstream verilog-mode revision 0d6420b.
950 * progmodes/verilog-mode.el (verilog-mode-version): Update.
951 (vector-skip-list): Remove.
952 (verilog-auto-inst-port, verilog-auto-inst-port-list)
953 (verilog-auto-inst, verilog-auto-inst-param):
954 Use arguments rather than vector-skip.
955 (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
956 modport if signal attachment is itself a modport.
957 Reported by Matthew Lovell.
959 2015-02-21 Reto Zimmermann <reto@gnu.org>
961 Sync with upstream vhdl mode v3.37.1. Add VHDL'08 support.
962 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp)
963 (vhdl-doc-release-notes): Update.
964 (vhdl-standard): Add VHDL'08 option.
965 (vhdl-sensitivity-list-all): New option.
966 (vhdl-directive-keywords): Add psl.
967 (vhdl-offsets-alist-default, vhdl-mode-abbrev-table-init)
968 (vhdl-template-construct-alist-init, vhdl-create-mode-menu):
969 (vhdl-imenu-generic-expression): Add context, directive.
970 (vhdl-offsets-alist, vhdl-mode, vhdl-doc-keywords): Doc fixes.
971 (vhdl-template-map-init): Add vhdl-template-context.
972 (vhdl-mode-syntax-table): Support VHDL'08 block comments.
973 (vhdl-create-mode-menu): Add some entries.
974 (vhdl-08-keywords, vhdl-08-types, vhdl-08-attributes)
975 (vhdl-08-functions, vhdl-08-packages, vhdl-08-directives):
977 (vhdl-directives): New variable.
978 (vhdl-words-init, vhdl-template-process)
979 (vhdl-template-replace-header-keywords): Support VHDL'08.
980 (vhdl-abbrev-list-init): Add vhdl-directives.
981 (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
982 (vhdl-forward-syntactic-ws, vhdl-get-syntactic-context)
983 (vhdl-lineup-comment): Handle block comments and directives.
984 (vhdl-beginning-of-directive, vhdl-template-context)
985 (vhdl-template-context-hook): New functions.
986 (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
987 (vhdl-corresponding-begin, vhdl-get-library-unit, vhdl-regress-line)
988 (vhdl-align-declarations, vhdl-beginning-of-block, vhdl-end-of-block)
989 (vhdl-font-lock-keywords-2, vhdl-get-end-of-unit)
990 (vhdl-scan-context-clause): Add context.
992 2015-02-20 Glenn Morris <rgm@gnu.org>
994 * calendar/solar.el (solar-sunrise-sunset-string):
995 Shorten message a little.
996 (sunrise-sunset): Use message rather than a window. (Bug#19859)
998 * progmodes/f90.el (f90-keywords-re, f90-procedures-re)
999 (f90-font-lock-keywords-2): Some F2008 additions.
1001 2015-02-19 Dima Kogan <dima@secretsauce.net>
1003 * autorevert.el (auto-revert-buffers-counter)
1004 (auto-revert-buffers-counter-lockedout): New variables.
1005 (auto-revert-buffers): Increase `auto-revert-buffers-counter'.
1006 (auto-revert-notify-handler): Apply `auto-revert-handler' if not
1007 suppressed by lockout. (Bug#18958)
1009 2015-02-19 Stefan Monnier <monnier@iro.umontreal.ca>
1011 * emacs-lisp/eieio-opt.el (eieio-help-class): `eieio-class-parents'
1012 returns classes, not class names (bug#19891).
1014 * emacs-lisp/cl-macs.el (cl-struct-slot-value): Handle a nil type.
1016 * emacs-lisp/smie.el (smie-prec2->grammar): Fix corner case problem.
1018 2015-02-18 Kelly Dean <kelly@prtime.org>
1020 * register.el (jump-to-register):
1021 * emacs-lisp/lisp.el (check-parens):
1022 Push mark before goto-char so user doesn't lose his previous place.
1024 2015-02-18 Kelly Dean <kelly@prtime.org>
1026 * rect.el (rectangle-mark-mode):
1027 Suppress superfluous "Mark set" message from push-mark.
1029 2015-02-18 Kelly Dean <kelly@prtime.org>
1031 * help-mode.el (help-go-back, help-go-forward, help-follow):
1032 * simple.el (yank-pop, pop-to-mark-command, exchange-point-and-mark):
1033 * winner.el (winner-redo):
1034 * windmove.el (windmove-do-window-select):
1035 * register.el (jump-to-register, increment-register, insert-register)
1036 (append-to-register, prepend-to-register):
1037 * files.el (find-alternate-file, abort-if-file-too-large, write-file)
1038 (set-visited-file-name):
1039 * emacs-lisp/lisp.el (kill-backward-up-list):
1040 Use user-error instead of error. (Bug#14480)
1042 2015-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
1044 * emacs-lisp/checkdoc.el (checkdoc-show-diagnostics): Don't make bogus
1045 assumptions about window ordering.
1047 2015-02-16 Kelly Dean <kelly@prtime.org>
1049 * files.el (insert-file-contents-literally): Fix docstring typo.
1051 2015-02-16 Kelly Dean <kelly@prtime.org>
1053 * emacs-lisp/easy-mmode.el (define-minor-mode): Process macro
1054 arguments correctly. (Bug#19685)
1055 (define-minor-mode): Clarify docstring.
1056 Clarify mode switch messages for minor modes. (Bug#19690)
1058 2015-02-16 Kelly Dean <kelly@prtime.org>
1060 * emacs-lisp/package-x.el (package-upload-buffer-internal):
1061 Create valid tar files. (Bug#19536)
1063 2015-02-16 Kelly Dean <kelly@prtime.org>
1065 * desktop.el (desktop-read): Conditionally re-enable desktop autosave.
1068 2015-02-16 Kelly Dean <kelly@prtime.org>
1070 * help-mode.el (help-do-xref): Prevent duplicated display of Info
1071 buffer, and prevent interference with existing buffer. (Bug#13190)
1073 2015-02-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1075 python.el: Do not deactivate mark on shell fontification. (Bug#19871)
1077 * progmodes/python.el (python-shell-font-lock-post-command-hook):
1078 Do not deactivate mark on fontification.
1080 2015-02-16 Ivan Shmakov <ivan@siamics.net>
1082 * net/eww.el: Fix desktop support. (Bug#19226)
1083 (eww-mode): Add autoload cookie.
1084 (eww-restore-desktop): Use inhibit-read-only.
1086 * net/eww.el (eww-suggest-uris): Add autoload cookie, so that
1087 add-hook works correctly even if the file is not yet loaded.
1089 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
1091 * emacs-lisp/eieio.el (defclass): Use make-instance rather than
1093 (set-slot-value): Mark as obsolete.
1094 (eieio-object-class-name): Improve call to eieio-class-name.
1095 (eieio-slot-descriptor-name, eieio-class-slots): New functions.
1096 (object-slots): Use it. Declare obsolete.
1097 (eieio-constructor): Merge it with `make-instance'.
1098 (initialize-instance): Use `dolist'.
1099 (eieio-override-prin1, eieio-edebug-prin1-to-string):
1100 Use eieio--class-print-name.
1102 * emacs-lisp/eieio-core.el (eieio--class-print-name): New function.
1103 (eieio-class-name): Make it do what the docstring claims.
1104 (eieio-defclass-internal): Simplify since `prots' isn't used any more.
1105 (eieio--slot-name-index): Simplify accordingly.
1106 (eieio-barf-if-slot-unbound): Pass the class object rather than its
1107 name to `slot-unbound'.
1109 * emacs-lisp/eieio-base.el (make-instance): Add a method here rather
1110 than on eieio-constructor.
1112 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
1114 * emacs-lisp/cl-macs.el (cl-defstruct): Keep type=nil by default.
1115 * emacs-lisp/cl-preloaded.el (cl-struct-define): Add sanity checks
1116 about relationship between `type', `named', and `slots'.
1117 * emacs-lisp/cl-generic.el (cl--generic-struct-tagcode): Adjust to new
1118 value of `cl-struct-type' property.
1120 2015-02-15 Jérémy Compostella <jeremy.compostella@gmail.com>
1122 * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
1123 with PAGER=cat. (Bug#19870)
1125 2015-02-14 Artur Malabarba <bruce.connor.am@gmail.com>
1127 * emacs-lisp/package.el (package-read-all-archive-contents):
1128 Don't build the compatibility table.
1129 (package-refresh-contents, package-initialize): Do build the
1130 compatibility table.
1131 (package--build-compatibility-table): New function.
1132 (describe-package-1): Describe why a package is incompatible.
1134 2015-02-14 Stefan Monnier <monnier@iro.umontreal.ca>
1136 * emacs-lisp/cl-preloaded.el (cl-struct-define): Register as children
1138 (cl--assertion-failed): New function.
1139 (cl-assertion-failed): Move in from cl-lib.el.
1141 * emacs-lisp/cl-macs.el (cl-defstruct): Don't generate code to register
1142 as children of its parents.
1143 (cl--make-type-test, cl--compiler-macro-typep): Remove functions.
1144 (cl-typep): Reimplement using define-inline.
1145 (cl-assert): Use cl--assertion-failed.
1146 (cl-struct-slot-value): Use define-inline.
1148 * emacs-lisp/cl-lib.el: Move autoloaded code to cl-preload.
1150 * textmodes/flyspell.el (flyspell-word): Defvar (bug#19844).
1151 (flyspell-generic-check-word-p): Mark as obsolete.
1153 2015-02-13 Artur Malabarba <bruce.connor.am@gmail.com>
1155 * emacs-lisp/package.el (package--compatibility-table): New var.
1156 (package--add-to-compatibility-table): New function.
1157 (package-read-all-archive-contents): Populate compatibility table.
1158 (package--incompatible-p): Also look in dependencies.
1159 (describe-package-1): Fix "incompat" handling.
1161 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
1163 * net/rfc2104.el: Moved here from lisp/gnus.
1165 2015-02-13 Magnus Henoch <magnus.henoch@gmail.com>
1167 * net/sasl-scram-rfc.el: New file.
1169 * net/sasl.el (sasl-mechanisms): Remove SCRAM-MD5.
1170 Add SCRAM-SHA-1 first.
1171 (sasl-mechanism-alist): Remove SCRAM-MD5 entry. Add SCRAM-SHA-1
1174 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
1176 * net/shr.el (shr-tag-li): Speed up rendering pages with lots of
1179 2015-02-12 Oleh Krehel <ohwoeowho@gmail.com>
1181 * progmodes/gdb-mi.el (gdb-display-io-nopopup): New defcustom.
1182 (gdb-inferior-filter): Don't pop up the buried output buffer when
1183 `gdb-display-io-nopopup' is non-nil.
1185 2015-02-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
1187 python.el: Allow killing shell buffer if process is dead. (Bug#19823)
1189 * progmodes/python.el (python-shell-font-lock-kill-buffer):
1190 Don't require a running process.
1191 (python-shell-font-lock-post-command-hook): Fontify only if the
1192 shell process is running.
1194 2015-02-11 Stefan Monnier <monnier@iro.umontreal.ca>
1196 * hi-lock.el (hi-lock-unface-buffer): Don't call
1197 font-lock-remove-keywords if not needed (bug#19796).
1199 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
1201 * emacs-lisp/package.el (package-install): Invert the second
1202 argument, for better backwards compatibility.
1203 (package-install-button-action, package-reinstall)
1204 (package-menu-execute): Account for the change.
1206 2015-02-11 Nicolas Petton <nicolas@petton.fr>
1208 * emacs-lisp/seq.el (seq-reverse): Add a backward-compatible
1209 version of seq-reverse that works on sequences in Emacs 24.
1210 Bump seq.el version to 1.2.
1212 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
1214 * emacs-lisp/package.el (package--incompatible-p): New function.
1215 Return non-nil if PKG has no chance of being installable.
1216 (package--emacs-version-list): New variable.
1217 (describe-package-1, package-desc-status)
1218 (package-menu--print-info, package-menu--status-predicate):
1219 Account for the "incompat" status.
1221 2015-02-11 Martin Rudalics <rudalics@gmx.at>
1223 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
1224 Rename frame parameter `maximized' to `fullscreen-restore'.
1225 Restore fullwidth/-height after fullboth state. Update doc-strings.
1227 2015-02-11 Lars Ingebrigtsen <larsi@gnus.org>
1229 * net/shr.el (shr-insert): Make sure the space inserted has the
1230 right font (for width).
1231 (shr-fill-line): Preserve background colours when indenting/folding.
1232 (shr-ensure-paragraph): Don't insert a new paragraph as the first
1235 2015-02-10 Lars Ingebrigtsen <larsi@gnus.org>
1237 * net/shr.el (shr-use-fonts): New variable.
1238 (shr-fill-text): Rename from "fold".
1239 (shr-pixel-column, shr-pixel-region, shr-string-pixel-width):
1241 (shr-insert): Just insert, don't fill the text. Filling is now
1242 done afterwards per display unit.
1243 (shr-fill-lines, shr-fill-line): New functions to fill text on a
1245 (shr-find-fill-point): Take a "beginning" parameter.
1246 (shr-indent): Indent using the :width display parameter when using
1248 (shr-parse-style): Ignore "inherit" values, since we already do that.
1249 (shr-tag-img): Remove the insertion states.
1250 (shr-tag-blockquote): New-style filling.
1251 (shr-tag-dd): Ditto.
1252 (shr-tag-li): Ditto.
1253 (shr-mark-fill): New function to mark lines that need filling.
1254 (shr-tag-h1): Use a larger font.
1255 (shr-tag-table-1): Get the natural and suggested widths in one
1257 (shr-tag-table): Create the "fixed" version of the table only once
1258 so that we can cache data in the table.
1259 (shr-insert-table): Get colspan calculations right by having
1260 zero-width columns after colspan ones.
1261 (shr-expand-alignments): New function to make :align-to specs work
1262 right when rendered in one buffer and displayed in another one.
1263 (shr-insert-table-ruler): Use :align-to to get the widths right.
1264 (shr-make-table): Cache more.
1265 (shr-make-table-1): Use the new <td> data layout.
1266 (shr-pixel-buffer-width): New function.
1267 (shr-render-td): Add a caching layer.
1268 (shr-dom-max-natural-width): New function.
1269 (shr-tag-h1): Don't use variable-pitch fonts on fontless rendering.
1270 (shr-tag-tt): New function.
1271 (shr-tag-hr): Compute the right length when using fonts.
1272 (shr-table-widths): Off-by-one error in width computation.
1273 (shr-expand-newlines): Remove dead code.
1274 (shr-insert-table): Extend background colors to the end of the column.
1275 (shr-insert-table): Only copy the background, not underline and
1277 (shr-face-background): New function.
1279 2015-02-10 Fabián Ezequiel Gallina <fgallina@gnu.org>
1281 python.el: Improved shell font lock respecting markers. (Bug#19650)
1283 * progmodes/python.el
1284 (python-shell-font-lock-get-or-create-buffer): Use special buffer name.
1285 (python-shell-font-lock-with-font-lock-buffer): Enable font lock.
1286 (python-shell-font-lock-post-command-hook): Fontify by copying text
1287 properties from fontified buffer to shell, keeping markers unchanged.
1288 (python-shell-font-lock-turn-off): Fix typo.
1289 (python-util-text-properties-replace-name): Delete function.
1291 2015-02-09 Nicolas Petton <nicolas@petton.fr>
1293 * emacs-lisp/seq.el (seq-group-by): Improves seq-group-by to
1294 return sequence elements in correct order.
1296 2015-02-09 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
1298 * textmodes/css-mode.el (css-smie-rules): Fix paren indent (bug#19815).
1300 2015-02-09 Stefan Monnier <monnier@iro.umontreal.ca>
1302 * emacs-lisp/cl-generic.el (cl--generic-lambda): Use macroexp-parse-body.
1304 * emacs-lisp/eieio-core.el (eieio-oset-default): Catch the unexpected
1305 case where the default value would be re-interpreted as a form!
1307 2015-02-09 Christopher Genovese <genovese@cmu.edu> (tiny change)
1309 * help-fns.el (help-fns--signature): Keep doc for keymap.
1311 2015-02-09 Kelly Dean <kelly@prtime.org>
1313 * desktop.el: Save mark-ring less verbosely.
1314 (desktop-var-serdes-funs): New var.
1315 (desktop-buffer-info, desktop-create-buffer): Use it.
1316 (desktop-file-version): Update to 208.
1318 2015-02-09 Leo Liu <sdl.web@gmail.com>
1320 * emacs-lisp/pcase.el (pcase-lambda): New Macro. (Bug#19814)
1322 * emacs-lisp/lisp-mode.el (el-kws-re): Include `pcase-lambda'.
1324 * emacs-lisp/macroexp.el (macroexp-parse-body): New function.
1326 2015-02-08 Paul Eggert <eggert@cs.ucla.edu>
1328 Port to platforms lacking test -a and -o
1329 * Makefile.in (compile-clean):
1330 * net/tramp-sh.el (tramp-find-executable):
1331 Prefer '&&' and '||' to 'test -a' and 'test -o'.
1333 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
1335 * newcomment.el (comment-line): Fix missing paren.
1337 2015-02-08 Ulrich Müller <ulm@gentoo.org>
1339 * play/gamegrid.el: Update comment to reflect that the
1340 'update-game-score' helper program is now setgid by default.
1342 2015-02-08 David Kastrup <dak@gnu.org>
1344 * subr.el (apply-partially): Use lexical binding here.
1346 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
1348 * newcomment.el (comment-line): New command.
1350 * bindings.el (ctl-x-map): Bind to `C-x C-;'.
1352 2015-02-08 Oleh Krehel <ohwoeowho@gmail.com>
1354 * outline.el (outline-show-entry): Fix one invisible char for the
1355 file's last outline. (Bug#19493)
1357 2015-02-08 Stefan Monnier <monnier@iro.umontreal.ca>
1359 * subr.el (indirect-function): Change advertised calling convention.
1361 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
1363 python.el: Fix completion-at-point. (Bug#19667)
1365 * progmodes/python.el
1366 (python-shell-completion-native-get-completions): Force process buffer.
1367 (python-shell-completion-at-point): Handle case where call is not
1370 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
1372 python.el: Fix shell font-lock multiline input. (Bug#19744)
1374 * progmodes/python.el
1375 (python-shell-font-lock-post-command-hook): Handle multiline input.
1377 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
1379 python.el: Make shell font-lock respect markers. (Bug#19650)
1381 * progmodes/python.el (python-shell-font-lock-cleanup-buffer):
1383 (python-shell-font-lock-comint-output-filter-function):
1385 (python-shell-font-lock-post-command-hook): Respect markers on
1388 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
1390 python.el: Keep eldoc visible while typing args. (Bug#19637)
1391 * progmodes/python.el (python-eldoc--get-symbol-at-point):
1392 New function based on Carlos Pita <carlosjosepita@gmail.com> patch.
1393 (python-eldoc--get-doc-at-point, python-eldoc-at-point): Use it.
1395 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
1397 Fix hideshow integration. (Bug#19761)
1398 * progmodes/python.el
1399 (python-hideshow-forward-sexp-function): New function based on
1400 Carlos Pita <carlosjosepita@gmail.com> patch.
1401 (python-mode): Make `hs-special-modes-alist` use it and initialize
1402 the end regexp with the empty string to avoid skipping parens.
1404 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
1406 * progmodes/python.el (python-check-custom-command): Do not use
1407 defvar-local for compat with Emacs<24.3.
1409 2015-02-07 Martin Rudalics <rudalics@gmx.at>
1411 * frame.el (frame-notice-user-settings):
1412 Update `frame-size-history'.
1413 (make-frame): Update `frame-size-history'.
1414 Call `frame-after-make-frame'.
1415 * faces.el (face-set-after-frame-default): Remove call to
1416 frame-can-run-window-configuration-change-hook.
1418 2015-02-06 Dmitry Gutov <dgutov@yandex.ru>
1420 * vc/vc-cvs.el (vc-cvs-dir-status-files): Don't pass DIR to
1421 `vc-cvs-command' (bug#19732).
1423 2015-02-06 Nicolas Petton <nicolas@petton.fr>
1425 * emacs-lisp/seq.el (seq-mapcat, seq-partition, seq-group-by):
1427 * emacs-lisp/seq.el (seq-drop-while, seq-take-while, seq-count)
1428 (seq--drop-list, seq--take-list, seq--take-while-list):
1431 2015-02-06 Artur Malabarba <bruce.connor.am@gmail.com>
1433 * doc-view.el (doc-view-kill-proc-and-buffer): Obsolete. Use
1434 `image-kill-buffer' instead.
1436 2015-02-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1438 * net/ldap.el (ldap-search-internal): Fix docstring.
1440 2015-02-06 Lars Ingebrigtsen <larsi@gnus.org>
1442 * subr.el (define-error): The error conditions may be constant
1443 lists, so use `append' to concatenate them.
1445 2015-02-06 Wolfgang Jenkner <wjenkner@inode.at>
1447 * net/network-stream.el (network-stream-open-tls): Respect the
1448 :end-of-capability setting.
1450 2015-02-05 Artur Malabarba <bruce.connor.am@gmail.com>
1452 * emacs-lisp/package.el (package--sort-by-dependence):
1453 New function. Return PACKAGE-LIST sorted by dependencies.
1454 (package-menu-execute): Use it to delete packages in order.
1455 (package--sort-deps-in-alist): New function.
1456 (package-menu-mark-install): Can mark dependencies.
1457 (package--newest-p): New function.
1458 (package-delete): Don't deselect when deleting an older version of
1459 an upgraded package.
1461 * emacs-lisp/package.el: Add missing (require 'subr-x)
1463 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
1465 * textmodes/css-mode.el (scss-smie--not-interpolation-p): Vars can be
1466 hyphenated (bug#19263).
1468 * textmodes/css-mode.el (css-fill-paragraph): Fix filling in presence
1469 of variable interpolation (bug#19751).
1471 2015-02-05 Era Eriksson <era+emacs@iki.fi>
1473 * json.el (json-end-of-file): New error (bug#19768).
1474 (json-pop, json-read): Use it.
1476 2015-02-05 Kelly Dean <kelly@prtime.org>
1478 * help-mode.el (help-xref-interned): Pass BUFFER and FRAME to
1479 `describe-variable'.
1481 * help-fns.el (describe-function-or-variable): New function.
1483 * help.el (help-map): Bind `describe-function-or-variable' to o.
1484 (help-for-help-internal): Document o key.
1486 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
1488 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use new
1489 special (:documentation ...) feature.
1490 * emacs-lisp/eieio-core.el (eieio-make-class-predicate)
1491 (eieio-make-child-predicate): Same.
1492 (eieio-copy-parents-into-subclass): Remove unused arg.
1493 (eieio-defclass-internal): Adjust call accordingly and remove redundant
1495 (eieio--slot-name-index): Remove unused arg `obj' and adjust all
1496 callers accordingly.
1498 * emacs-lisp/cconv.el (cconv--convert-function):
1499 Add `docstring' argument.
1500 (cconv-convert): Use it to handle the new (:documentation ...) form.
1501 (cconv-analyze-form): Handle the new (:documentation ...) form.
1503 * emacs-lisp/bytecomp.el:
1504 (byte-compile-initial-macro-environment): Use macroexp-progn.
1505 (byte-compile-cl-warn): Don't silence use of cl-macroexpand-all.
1506 (byte-compile-file-form-defvar-function): Rename from
1507 byte-compile-file-form-define-abbrev-table.
1508 (defvaralias, byte-compile-file-form-custom-declare-variable): Use it.
1509 (byte-compile): Use byte-compile-top-level rather than
1510 byte-compile-lambda so we can compile non-values.
1511 (byte-compile-form): Add warnings for failed uses of lexical vars via
1513 (byte-compile-unfold-bcf): Improve message for failed inlining.
1514 (byte-compile-make-closure): Handle new format of internal-make-closure
1515 for dynamically-generated docstrings.
1517 * delsel.el: Deprecate the `kill' option. Use lexical-binding.
1518 (open-line): Delete like all other commands, instead of killing.
1519 (delete-active-region): Don't define any return any value.
1521 * progmodes/python.el: Try to preserve compatibility with Emacs-24.
1522 (python-mode): Don't assume eldoc-documentation-function has a non-nil
1525 2015-02-04 Sam Steingold <sds@gnu.org>
1527 * progmodes/python.el (python-indent-calculate-indentation):
1528 Avoid the error when computing top-level indentation.
1530 2015-02-04 Stefan Monnier <monnier@iro.umontreal.ca>
1532 * emacs-lisp/cl-generic.el (cl--generic-member-method): Fix paren typo.
1534 * textmodes/flyspell.el: Use lexical-binding and cl-lib.
1535 (mail-mode-flyspell-verify): Fix last change.
1536 (flyspell-external-point-words, flyspell-large-region):
1537 Avoid add-to-list on local vars.
1539 2015-02-04 Tassilo Horn <tsdh@gnu.org>
1541 * emacs-lisp/package.el (package-installed-p): Fix typo causing
1542 void-variable error.
1544 2015-02-04 Artur Malabarba <bruce.connor.am@gmail.com>
1546 * image-mode.el (image-kill-buffer): New command.
1547 (image-mode-map): Bind it to k.
1549 * emacs-lisp/package.el (package-delete): Remove package from
1550 `package-selected-packages' even if it can't be deleted.
1551 (package-installed-p): Accept package-desc objects.
1552 (package-install): Can be used to mark dependencies as
1553 selected. When given a package-desc object which is already
1554 installed, the package is not downloaded again, but it is marked
1555 as selected (if it wasn't already).
1556 (package-reinstall): Accept package-desc objects.
1558 2015-02-03 Artur Malabarba <bruce.connor.am@gmail.com>
1560 * emacs-lisp/package.el (package-delete): Document NOSAVE.
1561 (package--get-deps): delete-dups when ONLY is nil.
1562 (package-autoremove): Warn the user if `package-selected-packages'
1565 (package--user-selected-p): New function.
1566 (package-delete, package-install, package-install-from-buffer):
1568 (package-selected-packages): Mention it.
1570 (package-initialize): Don't populate `package-selected-packages'.
1571 (package-install-user-selected-packages, package-autoremove):
1572 Special handling for empty `package-selected-packages'.
1573 (package-install): Fix when PKG is a package-desc.
1575 (package-desc-status): Add "dependency" status to the Package
1577 (package-menu--status-predicate, package-menu--print-info)
1578 (package-menu-mark-delete, package-menu--find-upgrades)
1579 (package-menu--status-predicate, describe-package-1): Use it
1581 (package--removable-packages): New function.
1582 (package-autoremove): Use it.
1583 (package-menu-execute): Offer to remove unneeded packages.
1585 (package--read-pkg-desc, package-tar-file-info): Fix reference to
1588 2015-02-03 Thierry Volpiatto <thierry.volpiatto@gmail.com>
1590 * emacs-lisp/package.el (package-reinstall): Don't change package's selected status.
1591 (package-delete): New NOSAVE argument.
1593 2015-02-03 Michael Albinus <michael.albinus@gmx.de>
1595 * net/tramp-sh.el (tramp-histfile-override): Fix docstring.
1596 (tramp-open-shell, tramp-maybe-open-connection): Set also
1597 HISTFILESIZE and HISTSIZE when needed. (Bug#19731)
1599 2015-02-02 Artur Malabarba <bruce.connor.am@gmail.com>
1601 * emacs-lisp/package.el (package--find-non-dependencies):
1603 (package-initialize): Use it to populate `package-selected-packages'.
1604 (package-menu-execute): Clean unnecessary `and'.
1605 (package--get-deps): Fix returning duplicates.
1607 2015-02-02 Michael Albinus <michael.albinus@gmx.de>
1609 * net/tramp-sh.el (tramp-histfile-override): Add another choice t.
1611 (tramp-open-shell, tramp-maybe-open-connection): Support it.
1614 2015-02-02 Thierry Volpiatto <thierry.volpiatto@gmail.com>
1616 * emacs-lisp/package.el (package-delete): Remove package from
1617 package-selected-packages.
1618 (package-autoremove): Remove unneeded variable.
1620 2015-02-01 Artur Malabarba <bruce.connor.am@gmail.com>
1622 * emacs-lisp/package.el (package-selected-packages): Fix :type
1623 (package-install): Rename ARG to MARK-SELECTED.
1624 (package--get-deps): Fix for indirect dependencies.
1625 (package-used-elsewhere-p): Rename to
1626 (package--used-elsewhere-p): New function.
1627 (package-reinstall, package-user-selected-packages-install)
1628 (package-autoremove): Use sharp-quote.
1629 (package-user-selected-packages-install): Reindent and rename to
1630 (package-install-user-selected-packages): New function.
1632 2015-02-01 Thierry Volpiatto <thierry.volpiatto@gmail.com>
1634 * emacs-lisp/package.el: Don't allow deleting dependencies.
1636 (package-used-elsewhere-p): New function.
1637 (package-delete): Use it, return now an error when trying to
1638 delete a package used as dependency by another package.
1640 Add a reinstall package command.
1641 (package-reinstall): New function.
1643 Add a package-autoremove command.
1644 (package-selected-packages): New user var.
1645 (package-install): Add an optional arg to notify interactive use.
1646 Fix docstring. Save installed package to
1647 packages-installed-directly.
1648 (package-install-from-buffer): Same.
1649 (package-user-selected-packages-install): Allow installing all
1650 packages in packages-installed-directly at once.
1651 (package--get-deps): New function.
1652 (package-autoremove): New function.
1653 (package-install-button-action): Call package-install with
1655 (package-menu-execute): Same but only for only for not installed
1658 2015-01-31 Stefan Monnier <monnier@iro.umontreal.ca>
1660 * emacs-lisp/eieio.el (defclass): Use new eieio-make-class-predicate
1661 and eieio-make-child-predicate.
1662 (eieio-class-parents): Use eieio--class-object.
1663 (slot-boundp, find-class, eieio-override-prin1): Avoid class-p.
1664 (slot-exists-p): Use find-class.
1666 * emacs-lisp/eieio-opt.el (eieio-help-class, eieio-help-constructor):
1667 Use find-lisp-object-file-name, help-fns-short-filename and new calling
1668 convention for eieio-class-def.
1669 (eieio-build-class-list): Remove function, unused.
1670 (eieio-method-def): Remove button type, unused.
1671 (eieio-class-def): Inherit from help-function-def.
1672 (eieio--defclass-regexp): New constant.
1673 (find-function-regexp-alist): Use it.
1674 (eieio--specializers-apply-to-class-p): Handle eieio--static as well.
1675 (eieio-help-find-method-definition, eieio-help-find-class-definition):
1678 * emacs-lisp/eieio-core.el (eieio--check-type): Remove.
1679 Use cl-check-type everywhere instead.
1680 (eieio-class-object): Remove, use find-class instead when needed.
1681 (class-p): Don't inline.
1682 (eieio-object-p): Check more thoroughly, so we don't treat cl-structs,
1683 such as eieio classes, as objects. Don't inline.
1684 (object-p): Mark as obsolete.
1685 (eieio-defclass-autoload, eieio-defclass-internal, eieio-oref)
1686 (eieio--generic-tagcode): Avoid `class-p'.
1687 (eieio-make-class-predicate, eieio-make-child-predicate): New functions.
1688 (eieio-defclass-internal): Use current-load-list rather than
1691 * emacs-lisp/cl-generic.el (cl--generic-search-method): Fix regexp.
1693 2015-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
1695 * emacs-lisp/backquote.el (backquote-delay-process): Don't reuse `s'
1696 since it may be "equivalent" in some sense, yet different (bug#19734).
1698 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1700 * outline.el (outline-font-lock-face): Add docstring.
1701 (outline-invisible-p): Improve docstring.
1702 (outline-invent-heading): Add docstring.
1703 (outline-promote): Improve docstring.
1704 (outline-demote): Improve docstring.
1705 (outline-head-from-level): Improve docstring.
1706 (outline-end-of-heading): Add docstring.
1707 (outline-next-visible-heading): Improve docstring.
1708 (outline-previous-visible-heading): Improve docstring.
1709 (outline-hide-region-body): Improve docstring.
1710 (outline-flag-subtree): Add docstring.
1711 (outline-end-of-subtree): Add docstring.
1712 (outline-headers-as-kill): Improve docstring.
1714 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1716 * outline.el (outline-hide-entry): Rename from `hide-entry'.
1717 (hide-entry): Declare as obsolete.
1718 (outline-show-entry): Rename from `show-entry'.
1719 (show-entry): Declare as obsolete.
1720 (outline-hide-body): Rename from `hide-body'.
1721 (hide-body): Declare as obsolete.
1722 (outline-hide-region-body): Rename from `hide-region-body'.
1723 (hide-region-body): Declare as obsolete.
1724 (outline-show-all): Rename from `show-all'.
1725 (show-all): Declare as obsolete.
1726 (outline-hide-subtree): Rename from `hide-subtree'.
1727 (hide-subtree): Declare as obsolete.
1728 (outline-hide-leaves): Rename from `hide-leaves'.
1729 (hide-leaves): Declare as obsolete.
1730 (outline-show-subtree): Rename from `show-subtree'.
1731 (show-subtree): Declare as obsolete.
1732 (outline-hide-sublevels): Rename from `hide-sublevels'.
1733 (hide-sublevels): Declare as obsolete.
1734 (outline-hide-other): Rename from `hide-other'.
1735 (hide-other): Declare as obsolete.
1736 (outline-show-children): Rename from `show-children'.
1737 (show-children): Declare as obsolete.
1738 (outline-show-branches): Rename from `show-branches'.
1739 (show-branches): Declare as obsolete.
1741 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1743 * outline.el (outline-mode): Clean up docstring.
1744 (font-lock-warning-face): Remove obsolete declaration.
1745 (outline-font-lock-face): Remove obsolete comment.
1747 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1749 * lisp/custom.el (defface): Set `indent' to 1.
1751 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
1753 * emacs-lisp/easy-mmode.el (define-minor-mode): Set `indent' to 1.
1755 2015-01-30 Michal Nazarewicz <mina86@mina86.com>
1757 * lisp/files.el (save-buffers-kill-emacs): If `confirm-kill-emacs'
1758 is set, but user has just been asked whether they really want to
1759 kill Emacs (for example with a ‘Modified buffers exist; exit
1760 anyway?’ prompt), do not ask them for another confirmation.
1762 2015-01-29 Jay Belanger <jay.p.belanger@gmail.com>
1764 * lisp/calc/calc-units.el (calc-convert-exact-units): New function.
1765 (calc-convert-units): Check for missing units.
1766 (math-consistent-units-p): Strengthen the test for consistent units.
1768 * lisp/calc/calc-ext.el (calc-init-extensions): Autoload
1769 `calc-convert-exact-units' and assign it a keybinding.
1771 * lisp/calc/calc-help (calc-u-prefix-help): Add help for the
1774 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
1776 * emacs-lisp/cl.el (cl--function-convert): Simplify.
1778 2015-01-28 Tassilo Horn <tsdh@gnu.org>
1780 * textmodes/reftex.el (reftex-syntax-table-for-bib): Give ( and )
1781 punctuation syntax since to allow bibtex fields with values such
1782 as {Test 1) and 2)} (bug#19205, bug#19707).
1783 (reftex--prepare-syntax-tables): New function.
1784 (reftex-mode): Use it.
1786 2015-01-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
1788 python.el: New non-global state dependent indentation engine.
1789 (Bug#18319, Bug#19595)
1790 * progmodes/python.el (python-syntax-comment-or-string-p):
1791 Accept PPSS as argument.
1792 (python-syntax-closing-paren-p): New function.
1793 (python-indent-current-level)
1794 (python-indent-levels): Mark obsolete.
1795 (python-indent-context): Return more context cases.
1796 (python-indent--calculate-indentation)
1797 (python-indent--calculate-levels): New functions.
1798 (python-indent-calculate-levels): Use them.
1799 (python-indent-calculate-indentation, python-indent-line):
1800 (python-indent-line-function): Rewritten to use new API.
1801 (python-indent-dedent-line): Simplify logic.
1802 (python-indent-dedent-line-backspace): Use `unless`.
1803 (python-indent-toggle-levels): Delete function.
1805 2015-01-28 Daniel Koning <dk@danielkoning.com> (tiny change)
1807 * subr.el (posnp): Correct docstring of `posnp'.
1808 (posn-col-row): Make it work with all mouse position objects.
1809 * textmodes/artist.el (artist-mouse-draw-continously):
1810 Cancel timers if an error occurs during continuous drawing. (Bug#6130)
1812 2015-01-28 Eli Zaretskii <eliz@gnu.org>
1814 * button.el (button-activate, push-button): Doc fix. (Bug#19628)
1816 2015-01-28 Michael Albinus <michael.albinus@gmx.de>
1818 * filenotify.el (file-notify-descriptors, file-notify-handle-event):
1820 (file-notify--descriptor): New defun.
1821 (file-notify-callback, file-notify-add-watch, file-notify-rm-watch):
1822 Adapt docstring. Handle multiple values for
1823 `file-notify-descriptors' entries. (Bug#18880)
1825 * net/tramp.el (tramp-handle-file-notify-rm-watch): Do not check
1826 `file-notify-descriptors', the implementation has been changed.
1828 2015-01-28 Eli Zaretskii <eliz@gnu.org>
1830 * net/net-utils.el (net-utils-run-program, net-utils-run-simple):
1831 On MS-Windows, bind coding-system-for-read to the console output
1832 codepage. (Bug#19458)
1834 2015-01-28 Dmitry Gutov <dgutov@yandex.ru>
1836 Unbreak `mouse-action' property in text buttons.
1837 * button.el (push-button): Fix regression from 2012-12-06.
1839 2015-01-28 Glenn Morris <rgm@gnu.org>
1841 * progmodes/sh-script.el (sh-mode): Doc fix.
1842 (sh-basic-indent-line): Handle electric newline. (Bug#18756)
1844 2015-01-28 Paul Eggert <eggert@cs.ucla.edu>
1846 Fix dired quoting bug with "Hit`N`Hide".
1847 * files.el (shell-quote-wildcard-pattern): Also quote "`". (Bug#19498)
1849 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
1851 Tighten up the tagcode used for eieio and cl-struct objects.
1852 * loadup.el: Load cl-preloaded.
1853 * emacs-lisp/eieio-core.el (eieio-defclass-internal): Set the function
1854 slot of the tag symbol to :quick-object-witness-check.
1855 (eieio-object-p): Use :quick-object-witness-check.
1856 (eieio--generic-tagcode): Use cl--generic-struct-tag.
1857 * emacs-lisp/cl-preloaded.el: New file.
1858 * emacs-lisp/cl-macs.el (cl--bind-inits): Remove, unused.
1859 (cl--transform-lambda, cl-destructuring-bind): Remove cl--bind-inits.
1860 (cl--make-usage-args): Strip away &aux args.
1861 (cl-case, cl-typecase, cl--parse-loop-clause): Use macroexp-let2.
1862 (cl-the, cl-check-type): Use macroexp-let2 and cl-typep.
1863 (cl-defstruct): Use `declare' and cl-struct-define.
1864 * emacs-lisp/cl-generic.el (cl--generic-struct-tag): New function.
1865 (cl--generic-struct-tagcode): Use it to tighten the tagcode.
1867 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
1869 * emacs-lisp/cl.el (cl--function-convert):
1870 Merge cache that cl--labels-convert adds (bug#19699).
1872 2015-01-27 Ivan Shmakov <ivan@siamics.net>
1874 * tar-mode.el: Allow for adding new archive members. (Bug#19274)
1875 (tar-new-regular-file-header, tar--pad-to, tar--put-at)
1876 (tar-header-serialize): New functions.
1877 (tar-current-position): Split from tar-current-descriptor.
1878 (tar-current-descriptor): Use it.
1879 (tar-new-entry): New command.
1880 (tar-mode-map): Bind it.
1882 2015-01-27 Sam Steingold <sds@gnu.org>
1884 * progmodes/python.el (python-check-custom-command): Buffer local
1885 because it usually includes the buffer name.
1886 (python-check-command): Set to epylint when pyflakes is not available.
1888 2015-01-27 Artur Malabarba <bruce.connor.am@gmail.com>
1890 * isearch.el (isearch-process-search-char): Add docstring.
1892 2015-01-27 Oleh Krehel <ohwoeowho@gmail.com>
1894 * emacs-lisp/derived.el (define-derived-mode): Declare indent 3.
1896 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
1898 * emacs-lisp/cl.el (cl--function-convert): Run cl--labels-convert
1899 for the case cl-flet or cl-labels form is wrapped with lexical-let
1902 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
1904 * emacs-lisp/cl-generic.el (cl--generic-method): New struct.
1905 (cl--generic): The method-table is now a (list-of cl--generic-method).
1906 (cl--generic-member-method): New function.
1907 (cl-generic-define-method): Use it.
1908 (cl--generic-build-combined-method, cl--generic-cache-miss):
1909 Adapt to new method-table.
1910 (cl--generic-no-next-method-function): Add `method' argument.
1911 (cl-generic-call-method): Adapt to new method representation.
1912 (cl--generic-cnm-sample, cl--generic-nnm-sample): Adjust.
1913 (cl-find-method, cl-method-qualifiers): New functions.
1914 (cl--generic-method-info): Adapt to new method representation.
1915 Return a string for the qualifiers.
1916 (cl--generic-describe):
1917 * emacs-lisp/eieio-opt.el (eieio-help-class): Adjust accordingly.
1918 (eieio-all-generic-functions, eieio-method-documentation):
1919 Adjust to new method representation.
1921 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use cl-find-method.
1923 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
1925 * emacs-lisp/cl-generic.el: Add a method-combination hook.
1926 (cl-generic-method-combination-function): New var.
1927 (cl--generic-lambda): Remove `with-cnm' arg.
1928 (cl-defmethod): Change accordingly.
1929 (cl-generic-define-method): Don't check qualifiers validity.
1930 Preserve all qualifiers in `method-table'.
1931 (cl-generic-call-method): New function.
1932 (cl--generic-nest): Remove (morph into cl-generic-call-method).
1933 (cl--generic-build-combined-method): Adjust to new format of method-table
1934 and use cl-generic-method-combination-function.
1935 (cl--generic-standard-method-combination): New function, extracted from
1936 cl--generic-build-combined-method.
1937 (cl--generic-cnm-sample): Adjust to new format of method-table.
1939 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use () qualifiers
1940 instead of :primary.
1942 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke):
1943 Remove obsolete function.
1945 2015-01-26 Lars Ingebrigtsen <larsi@gnus.org>
1947 * net/shr.el (shr-make-table-1): Fix colspan typo.
1948 (shr-make-table-1): Add comments.
1949 (shr-make-table-1): Make colspan display more sensibly.
1951 * net/eww.el (eww-add-bookmark): Fix prompt and clean up the code
1954 2015-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1956 * emacs-lisp/cl-generic.el (cl--generic-no-next-method-function): New fun.
1957 (cl--generic-build-combined-method, cl--generic-nnm-sample): Use it
1959 (cl--generic-typeof-types): Add support for `sequence'.
1960 (cl-defmethod): Add non-keywords in the qualifiers.
1962 2015-01-25 Dmitry Gutov <dgutov@yandex.ru>
1964 * emacs-lisp/find-func.el (find-function-regexp): Don't match
1965 `defgroup' (regression from the previous change here).
1967 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1969 * net/ldap.el (ldap-search-internal): Mention binddn in invalid
1970 credentials error message.
1972 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1974 * net/ldap.el (ldap-password-read): Validate password before
1976 (ldap-search-internal): Handle ldapsearch error conditions.
1978 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1980 * net/ldap.el (ldap-password-read): Handle password-cache being nil.
1982 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1984 * net/eudc.el (eudc-expand-inline): Always restore former server
1987 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1989 * net/eudcb-ldap.el: Don't nag the user in case a default base is
1990 provided by the LDAP system configuration file.
1992 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1994 * net/eudc.el (eudc-format-query): Preserve the
1995 eudc-inline-query-format ordering of attributes in the returned list.
1996 * net/eudcb-ldap.el (eudc-ldap-format-query-as-rfc1558):
1997 Append the LDAP wildcard character to the last attribute value.
1999 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2001 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple):
2002 Downcase field names of LDAP results.
2003 (eudc-ldap-cleanup-record-filtering-addresses): Likewise.
2005 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2007 * net/ldap.el (ldap-ldapsearch-password-prompt): New defcustom.
2008 (ldap-search-internal): Send password to ldapsearch through a pipe
2009 instead of via the command line.
2011 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2013 * net/ldap.el: Require password-cache.
2014 (ldap-password-read): New function.
2015 (ldap-search-internal): Call ldap-password-read when it is
2016 configured to be called.
2018 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2020 * net/eudc-vars.el (eudc-expansion-overwrites-query):
2021 Change default to nil.
2023 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2025 * net/eudc.el (eudc-expand-inline): Ignore text properties of
2028 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2030 * net/eudc-vars.el (eudc-inline-expansion-format): Default to a
2031 format that includes first name and surname.
2033 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2035 * net/eudc-vars.el (eudc-inline-query-format): Change default to
2036 query email and first name instead of surname.
2038 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2040 * net/ldap.el (ldap-search-internal): Support new-style LDAP URIs.
2042 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2044 * net/eudc-vars.el (eudc-server): Adjust docstring to mention
2045 eudc-server-hotlist.
2046 (eudc-server-hotlist): Move from eudc.el and make defcustom.
2047 * net/eudc.el (eudc-server-hotlist): Move to eudc-vars.el.
2048 (eudc-set-server): Allow setting protocol to nil.
2049 (eudc-expand-inline): Support hotlist-only expansions when server
2052 2015-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
2054 * emacs-lisp/cl-generic.el (cl-no-primary-method): New fun and error.
2055 (cl--generic-build-combined-method): Use it.
2057 2015-01-22 Paul Eggert <eggert@cs.ucla.edu>
2059 Don't downcase system diagnostics' first letters
2060 * emacs-lisp/bytecomp.el (byte-compile-file):
2061 * ffap.el (find-file-at-point):
2062 * files.el (insert-file-1):
2063 * net/ange-ftp.el (ange-ftp-barf-if-not-directory)
2064 (ange-ftp-copy-file-internal):
2065 * progmodes/etags.el (visit-tags-table):
2066 Keep diagnostics consistent with system's.
2067 * ffap.el (ffap-machine-p):
2068 Ignore case while comparing diagnostics.
2070 2015-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
2072 * help.el (help-make-usage): Don't turn a "_" arg into an empty-string
2074 * emacs-lisp/cl-generic.el (cl--generic-lambda): Don't confuse a string
2075 body with a docstring.
2077 2015-01-22 Dmitry Gutov <dgutov@yandex.ru>
2079 * progmodes/xref.el (xref-location-marker, xref-location-group):
2080 Use `cl-defgeneric' and `cl-defmethod' instead of the EIEIO
2083 * progmodes/etags.el (xref-location-marker): Same.
2085 * progmodes/xref.el (xref--current): Rename from `xref--selected'.
2086 (xref--inhibit-mark-current): Rename from
2087 `xref--inhibit-mark-selected'. Update the usages.
2088 (xref-quit): Reword the docstring. Kill buffers after quitting
2089 windows instead of before.
2090 (xref--insert-xrefs): Tweak help-echo.
2091 (xref--read-identifier-history, xref--read-pattern-history):
2093 (xref--read-identifier, xref-find-apropos): Use them.
2095 2015-01-21 Ulrich Müller <ulm@gentoo.org>
2097 * play/gamegrid.el (gamegrid-add-score-with-update-game-score):
2098 Allow the 'update-game-score' helper program to run suid or sgid.
2100 2015-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
2102 * emacs-lisp/eieio.el: Use cl-defmethod.
2103 (defclass): Generate cl-defmethod calls; use setf methods for :accessor.
2104 (eieio-object-name-string): Declare as obsolete.
2106 * emacs-lisp/eieio-opt.el: Adapt to cl-generic.
2107 (eieio--specializers-apply-to-class-p): New function.
2108 (eieio-all-generic-functions): Use it.
2109 (eieio-method-documentation): Use it as well as cl--generic-method-info.
2110 Change format of return value.
2111 (eieio-help-class): Adapt accordingly.
2113 * emacs-lisp/eieio-compat.el (eieio--defmethod): Avoid no-next-method
2114 errors when there's a `before' but no `primary' (bug#19645).
2115 (next-method-p): Return nil rather than signal an error.
2116 (eieio-defgeneric): Remove bogus (fboundp 'method).
2118 * emacs-lisp/eieio-speedbar.el:
2119 * emacs-lisp/eieio-datadebug.el:
2120 * emacs-lisp/eieio-custom.el:
2121 * emacs-lisp/eieio-base.el: Use cl-defmethod.
2123 * emacs-lisp/cl-generic.el (cl-defgeneric): Add support for `declare'.
2124 (cl--generic-setf-rewrite): Setup the setf expander right away.
2125 (cl-defmethod): Make sure the setf expander is setup before we expand
2127 (cl-defmethod): Silence byte-compiler warnings.
2128 (cl-generic-define-method): Shuffle code to change return value.
2129 (cl--generic-method-info): New function, extracted from
2130 cl--generic-describe.
2131 (cl--generic-describe): Use it.
2133 2015-01-21 Dmitry Gutov <dgutov@yandex.ru>
2135 * progmodes/xref.el (xref--xref-buffer-mode-map): Define before
2136 the major mode. Remap `quit-window' to `xref-quit'.
2137 (xref--xref-buffer-mode): Inherit from special-mode.
2139 xref: Keep track of temporary buffers (bug#19466).
2140 * progmodes/xref.el (xref--temporary-buffers, xref--selected)
2141 (xref--inhibit-mark-selected): New variables.
2142 (xref--mark-selected): New function.
2143 (xref--show-location): Maybe add the buffer to
2144 `xref--temporary-buffers', add `xref--mark-selected' to
2145 `buffer-list-update-hook' there.
2146 (xref--window): Add docstring.
2147 (xref-quit): Rename from `xref--quit'. Update both references.
2148 Add KILL argument. When it's non-nil, kill the temporary buffers
2149 that haven't been selected by the user.
2150 (xref--show-xref-buffer): Change the second argument to alist,
2151 extract the values for `xref--window' and
2152 `xref--temporary-buffers' from it. Add `xref--mark-selected' to
2153 `buffer-list-update-hook' to each buffer in the list.
2154 (xref--show-xrefs): Move the logic of calling `xref-find-function'
2155 here. Save the difference between buffer lists before and after
2156 it's called as "temporary buffers", and `pass it to
2157 `xref-show-xrefs-function'.
2158 (xref--find-definitions, xref-find-references)
2159 (xref-find-apropos): Update accordingly.
2161 2015-01-20 Artur Malabarba <bruce.connor.am@gmail.com>
2163 * emacs-lisp/package.el (package-dir-info): Fix `while' logic.
2165 2015-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
2167 * emacs-lisp/eieio-generic.el: Remove.
2168 (defgeneric, defmethod): Move to eieio-compat.el. Mark obsolete.
2169 * emacs-lisp/eieio-compat.el: New file.
2170 * emacs-lisp/eieio.el: Don't require eieio-generic any more.
2171 * emacs-lisp/eieio-core.el (eieio--slot-originating-class-p):
2172 Remove unused function.
2173 (eieio-defclass): Move to eieio-compat.el.
2174 * emacs-lisp/macroexp.el (macroexp-macroexpand): New function.
2175 (macroexp--expand-all): Use it.
2176 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): Here too.
2178 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
2180 * emacs-lisp/eldoc.el (eldoc-documentation-function): Describe how
2181 major modes should use `add-function' to alter value of the variable.
2182 * hexl.el (hexl-mode):
2183 * ielm.el (inferior-emacs-lisp-mode):
2184 * progmodes/cfengine.el (cfengine3-mode):
2185 * progmodes/elisp-mode (emacs-lisp-mode):
2186 * progmodes/octave.el (octave-mode):
2187 * progmodes/python.el (python-mode):
2188 * simple.el (read--expression): Set `eldoc-documentation-function'
2189 using `add-function' so the default value is always used.
2191 * descr-text.el (describe-char-eldoc): New function returning
2192 basic Unicode codepoint information (e.g. name) about character
2193 at point. It is meant to be used as a default value of the
2194 `eldoc-documentation-function' variable.
2195 (describe-char-eldoc--format, describe-char-eldoc--truncate):
2196 New helper functions for `describe-char-eldoc' function.
2198 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
2200 * textmodes/paragraphs.el (sentence-end-base): Include an
2201 ellipsis (…) and interrobang (‽) characters as end of a sentence,
2202 and a closing single quote (’) as an end of a quote.
2204 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
2206 * textmodes/tildify.el (tildify-double-space-undos): A new
2207 variable specifying whether pressing space in `tildify-mode' after
2208 a space has been replaced with hard space undos the substitution.
2209 (tildify-space): Add code branch for handling `tildify-doule-space'.
2211 * textmodes/tildify.el (tildify-space): A new function
2212 which can be used as a `post-self-insert-hook' to automatically
2213 convert spaces into hard spaces.
2214 (tildify-space-pattern): A new variable specifying pattern where
2215 `tildify-space' should take effect.
2216 (tildify-space-predicates): A new variable specifying list of
2217 predicate functions that all must return non-nil for
2218 `tildify-space' to take effect.
2219 (tildify-space-region-predicate): A new functions meant to be
2220 used as a predicate in `tildify-space-predicates' list.
2221 (tildify-mode): A new minor mode enabling `tildify-space' as a
2222 `post-self-insert-hook'
2224 2015-01-20 Daniel Colascione <dancol@dancol.org>
2226 * vc/vc-dir.el (vc-dir): Default to repository root, not
2229 2015-01-20 Dmitry Gutov <dgutov@yandex.ru>
2231 * progmodes/etags.el (xref-etags-location): New class.
2232 (xref-make-etags-location): New function.
2233 (etags--xref-find-definitions): Use it.
2234 (xref-location-marker): New method implementation.
2236 * progmodes/xref.el: Mention that xref-location is an EIEIO class.
2237 (xref--insert-xrefs): Expand help-echo string.
2239 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
2241 * ido.el: Update Customization instructions.
2243 2015-01-19 Jonas Bernoulli <jonas@bernoul.li>
2245 Define Ido keymaps once (bug#17000).
2246 * ido.el (ido-common-completion-map)
2247 (ido-file-dir-completion-map)
2248 (ido-file-completion-map, ido-buffer-completion-map): Set up key
2249 bindings when each variable is defined.
2250 (ido-completion-map): Move definition.
2251 (ido-init-completion-maps): Noop.
2252 (ido-common-initialization): Don't call it.
2253 (ido-setup-completion-map): Improve doc-string, cleanup.
2255 2015-01-19 Ivan Shmakov <ivan@siamics.net>
2257 * cus-dep.el (custom-make-dependencies): Ensure that
2258 default-directory is interpreted as a directory (see bug#19140.)
2260 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
2262 * progmodes/xref.el (xref--display-position):
2263 Set `other-window-scroll-buffer'.
2264 (xref-goto-xref): Use `user-error'.
2266 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
2268 * progmodes/xref.el (xref--display-history): New variable.
2269 (xref--window-configuration): Remove.
2270 (xref--save-to-history): New function.
2271 (xref--display-position): Use it. Add new argument.
2272 (xref--restore-window-configuration): Remove.
2273 (xref--show-location, xref-show-location-at-point):
2275 (xref--xref-buffer-mode): Don't use `pre-command-hook'.
2276 (xref--quit): New command.
2277 (xref-goto-xref): Use it.
2278 (xref--xref-buffer-mode-map): Bind `q' to it.
2280 2015-01-18 Dmitry Gutov <dgutov@yandex.ru>
2282 * progmodes/xref.el (xref-goto-xref): Perform the jump even inside
2283 indentation or at eol.
2285 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
2287 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
2288 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
2290 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
2292 * emacs-lisp/eieio.el (defclass): Add obsolescence warning for the
2295 * emacs-lisp/cl-generic.el (cl-generic-define-method): Correctly handle
2296 introduction of a new dispatch argument.
2297 (cl--generic-cache-miss): Handle dispatch on an argument which was not
2298 considered as dispatchable for this method.
2299 (cl-defmethod): Warn when adding a method to an obsolete generic function.
2300 (cl--generic-lambda): Make sure it works if cl-lib is not yet loaded.
2302 * emacs-lisp/eieio-generic.el (eieio--defgeneric-init-form): Use autoloadp.
2304 2015-01-18 Artur Malabarba <bruce.connor.am@gmail.com>
2306 * emacs-lisp/package.el (package--append-to-alist): Rename from
2307 `package--add-to-alist'
2308 Updated docstring due to new name.
2310 2015-01-18 Leo Liu <sdl.web@gmail.com>
2312 * emacs-lisp/cl-extra.el (cl-subseq): Use seq-subseq and fix
2313 multiple evaluation. (Bug#19519)
2315 * emacs-lisp/seq.el (seq-subseq): Throw bad bounding indices
2318 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
2320 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
2321 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
2323 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
2325 * emacs-lisp/cl-macs.el (cl-defstruct): Minor optimization when include
2327 (cl-struct-type-p): New function.
2329 * emacs-lisp/cl-generic.el: Add support for cl-next-method-p.
2330 (cl-defmethod): Add edebug spec.
2331 (cl--generic-build-combined-method): Fix call to
2332 cl-no-applicable-method.
2333 (cl--generic-nnm-sample, cl--generic-cnm-sample): New constant.
2334 (cl--generic-isnot-nnm-p): New function.
2335 (cl--generic-lambda): Use it to add support for cl-next-method-p.
2336 (cl-no-next-method, cl-no-applicable-method): Simplify arg list.
2337 (cl-next-method-p): New function.
2339 2015-01-17 Ulrich Müller <ulm@gentoo.org>
2341 * version.el (emacs-repository-get-version): Update docstring.
2343 2015-01-17 Ivan Shmakov <ivan@siamics.net>
2345 * files.el (find-file-other-window, find-file-other-frame):
2346 Use mapc instead of mapcar. (Bug#18175)
2348 * files.el (dir-locals-collect-variables): Use default-directory
2349 in place of the file name while working on non-file buffers, just
2350 like hack-dir-local-variables already does. (Bug#19140)
2352 * textmodes/enriched.el (enriched-encode):
2353 Use inhibit-point-motion-hooks in addition to inhibit-read-only.
2356 * desktop.el (desktop-read): Do not call desktop-clear when no
2357 desktop file is found. (Bug#18371)
2359 * misearch.el (multi-isearch-unload-function): New function.
2360 (misearch-unload-function): New alias. (Bug#19566)
2362 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
2364 * emacs-lisp/eieio-core.el (eieio--class-constructor): Rename from
2365 class-constructor, and make it an alias for `identity'.
2368 * emacs-lisp/eieio.el (eieio-constructor): Handle obsolete object name
2369 argument here (bug#19620)...
2370 (defclass): ...instead of in the constructor here.
2372 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
2374 * emacs-lisp/package.el (package-archive-priorities):
2375 Specify correct type.
2377 2015-01-17 Ulrich Müller <ulm@gentoo.org>
2379 * version.el (emacs-bzr-version-dirstate, emacs-bzr-version-bzr):
2381 (emacs-repository-get-version): Discard the Bazaar case.
2382 * vc/vc-bzr.el (vc-bzr-version-dirstate): Rename from
2383 emacs-bzr-version-dirstate and move from version.el to here.
2384 (vc-bzr-working-revision): Use it.
2386 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
2388 * emacs-lisp/eieio-generic.el (call-next-method): Don't bother checking
2389 eieio--scoped-class any more.
2391 * emacs-lisp/eieio-core.el (eieio--scoped-class-stack): Remove var.
2392 (eieio--scoped-class): Remove function.
2393 (eieio--with-scoped-class): Remove macro. Replace uses with `progn'.
2394 (eieio--slot-name-index): Don't check the :protection anymore.
2395 (eieio-initializing-object): Remove var.
2396 (eieio-set-defaults): Don't let-bind eieio-initializing-object.
2398 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
2400 Improve handling of doc-strings and describe-function for cl-generic.
2402 * help-mode.el (help-function-def): Add optional arg `type'.
2404 * help-fns.el (find-lisp-object-file-name): Accept any `type' as long
2406 (help-fns-short-filename): New function.
2407 (describe-function-1): Use it. Use autoload-do-load.
2409 * emacs-lisp/find-func.el: Use lexical-binding.
2410 (find-function-regexp): Don't rule out `defgeneric'.
2411 (find-function-regexp-alist): Document new possibility of including
2412 a function instead of a regexp.
2413 (find-function-search-for-symbol): Implement that new possibility.
2414 (find-function-library): Don't assume that `function' is a symbol.
2415 (find-function-do-it): Remove unused var `orig-buf'.
2417 * emacs-lisp/eieio-generic.el (eieio--defalias): Move from eieio-core.
2418 (eieio--defgeneric-init-form): Don't throw away a previous docstring.
2419 (eieio--method-optimize-primary): Don't mess with the docstring.
2420 (defgeneric): Keep the `args' in the docstring.
2421 (defmethod): Don't use the method's docstring for the generic
2422 function's docstring.
2424 * emacs-lisp/eieio-core.el (eieio--defalias): Move to eieio-generic.el.
2425 (eieio-defclass-autoload): Don't record the superclasses any more.
2426 (eieio-defclass-internal): Reuse the old class object if it was just an
2428 (eieio--class-precedence-list): Load the class if it's autoloaded.
2430 * emacs-lisp/cl-generic.el (cl-generic-ensure-function): It's OK to
2431 override an autoload.
2432 (cl-generic-current-method-specializers): Replace dyn-bind variable
2433 with a lexically-scoped macro.
2434 (cl--generic-lambda): Update accordingly.
2435 (cl-generic-define-method): Record manually in the load-history with
2436 type `cl-defmethod'.
2437 (cl--generic-get-dispatcher): Minor optimization.
2438 (cl--generic-search-method): New function.
2439 (find-function-regexp-alist): Add entry for `cl-defmethod' type.
2440 (cl--generic-search-method): Add hyperlinks for methods. Merge the
2441 specializers and the function's arguments.
2443 2015-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
2445 * emacs-lisp/package.el (package--read-pkg-desc):
2446 New function. Read a `define-package' form in current buffer.
2447 Return the pkg-desc, with desc-kind set to KIND.
2448 (package-dir-info): New function. Find package information for a
2449 directory. The return result is a `package-desc'.
2450 (package-install-from-buffer): Install packages from dired buffer.
2451 (package-install-file): Install packages from directory.
2452 (package-desc-suffix)
2453 (package-install-from-archive)
2454 * emacs-lisp/package-x.el (package-upload-buffer-internal):
2455 Ensure all remaining instances of `package-desc-kind' handle the 'dir
2458 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
2460 * emacs-lisp/package.el: Provide repository priorities.
2461 (package-archive-priorities): New variable.
2462 (package--add-to-alist): New function.
2463 (package--add-to-archive-contents): Use it.
2464 (package-menu--find-upgrades): Use it as well. Small clean up to
2465 make the use of the package name here explicit.
2466 (package-archive-priority): New function.
2467 (package-desc-priority-version): New function.
2469 2015-01-16 Daniel Colascione <dancol@dancol.org>
2471 * cus-start.el (all): Make `ring-bell-function' customizable.
2473 2015-01-16 Dmitry Gutov <dgutov@yandex.ru>
2475 * vc/vc-svn.el (vc-svn-dir-status-files): Pass t as
2476 vc-svn-after-dir-status's second argument. (Bug#19429)
2478 2015-01-16 Samer Masterson <samer@samertm.com>
2480 * pcomplete.el (pcomplete-parse-arguments): Parse arguments
2481 regardless of pcomplete-cycle-completions's value. (Bug#18950)
2483 2015-01-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
2485 * dom.el (dom-strings): New function.
2487 * files.el (directory-files-recursively): Don't use the word
2488 "path" for a file name.
2490 2015-01-15 Wolfgang Jenkner <wjenkner@inode.at>
2492 * calc/calc-units.el (math-units-in-expr-p)
2493 (math-single-units-in-expr-p, math-find-compatible-unit-rec)
2494 (math-extract-units): Handle the `neg' operator. (Bug#19582)
2496 2015-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
2498 * emacs-lisp/cl-macs.el (cl--labels-magic): New constant.
2499 (cl--labels-convert): Use it to ask the macro what is its replacement
2502 * emacs-lisp/cl-generic.el (cl--generic-build-combined-method):
2503 Return the value of the primary rather than the after method.
2505 * emacs-lisp/eieio-core.el: Provide support for cl-generic.
2506 (eieio--generic-tagcode): New function.
2507 (cl-generic-tagcode-function): Use it.
2508 (eieio--generic-tag-types): New function.
2509 (cl-generic-tag-types-function): Use it.
2510 (eieio-object-p): Tighten up the test.
2512 * emacs-lisp/cl-generic.el (cl-generic-define-method): Fix paren typo.
2514 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
2516 * emacs-lisp/cl-generic.el: New file.
2518 * emacs-lisp/cl-macs.el (cl-flet): Allow (FUN EXP) forms.
2519 (cl-load-time-value, cl-labels): Use closures rather than
2521 (cl-macrolet): Use `eval' to create the function value, and support CL
2522 style arguments in for the defined macros.
2524 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
2526 * net/eww.el: Use lexical-binding.
2527 (eww-links-at-point): Remove unused arg.
2528 (eww-mode-map): Inherit from special-mode-map.
2529 (eww-mode): Derive from special-mode. Don't use `setq' on a hook.
2531 2015-01-13 Alan Mackenzie <acm@muc.de>
2533 Allow compilation during loading of CC Mode-derived modes (bug#19206).
2534 * progmodes/cc-bytecomp.el (cc-bytecomp-compiling-or-loading):
2535 New function which walks the stack to discover whether we're compiling
2537 (cc-bytecomp-is-compiling): Reformulate, and move towards beginning.
2538 (cc-bytecomp-is-loading): New defsubst.
2539 (cc-bytecomp-setup-environment, cc-bytecomp-restore-environment):
2540 Use the above defsubsts.
2541 (cc-require-when-compile, cc-bytecomp-defvar)
2542 (cc-bytecomp-defun): Simplify conditionals.
2543 * progmodes/cc-defs.el (cc-bytecomp-compiling-or-loading):
2544 "Borrow" this function from cc-bytecomp.el.
2545 (c-get-current-file): Reformulate using the above.
2546 (c-lang-defconst): Prevent duplicate entries of file names in a
2547 symbol's 'source property.
2548 (c-lang-const): Use cc-bytecomp-is-compiling.
2549 * progmodes/cc-langs.el (c-make-init-lang-vars-fun):
2550 Use cc-bytecomp-is-compiling.
2552 2015-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
2554 * emacs-lisp/eieio-core.el (eieio-defclass): Fix call to `defclass'
2557 2015-01-13 Dmitry Gutov <dgutov@yandex.ru>
2559 * menu-bar.el (menu-bar-goto-menu): Before calling
2560 `xref-marker-stack-empty-p', first check that `xref' is loaded.
2563 2015-01-12 Martin Rudalics <rudalics@gmx.at>
2565 * progmodes/xref.el (xref-marker-stack-empty-p): Add autoload
2568 * frame.el (frame-notice-user-settings): Remove code dealing with
2569 frame-initial-frame-tool-bar-height. Turn off `tool-bar-mode'
2570 only if `window-system-frame-alist' or `default-frame-alist' ask
2572 (make-frame): Update frame-adjust-size-history if needed.
2574 2015-01-12 Paul Eggert <eggert@cs.ucla.edu>
2576 Have 'make' output better GEN names
2577 * Makefile.in (PHONY_EXTRAS): New macro.
2578 (.PHONY): Depend on it, and on $(lisp)/loaddefs.el, so that the
2579 relevant files' time stamps are ignored.
2580 (custom-deps, $(lisp)/cus-load.el, finder-data)
2581 ($(lisp)/finder-inf.el): Use PHONY_EXTRAS.
2582 (custom-deps, $(lisp)/cus-load.el, finder-data)
2583 ($(lisp)/finder-inf.el, autoloads, $(lisp)/loaddefs.el)
2584 ($(lisp)/subdirs.el, update-subdirs):
2585 Output more-accurate destination names with GEN.
2587 Say "ELC foo.elc" instead of "GEN foo.elc"
2588 * Makefile.in (AM_V_ELC, am__v_ELC_, am__v_ELC_0, am__v_ELC_1):
2590 ($(THEFILE)c, .el.elc): Use them.
2592 2015-01-11 Michael Albinus <michael.albinus@gmx.de>
2594 * files.el (directory-files-recursively): Do not include
2595 superfluous remote file names.
2597 2015-01-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
2599 * net/eww.el (eww): Interpret anything that looks like a protocol
2600 designator as a full URL.
2602 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2604 * net/shr.el (shr-urlify): Don't bother the user about
2605 invalidly-encoded display strings.
2607 2015-01-10 Ivan Shmakov <ivan@siamics.net>
2609 * net/shr.el (shr-urlify): Decode URLs before using them as titles
2612 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2614 * net/eww.el (eww): Always interpret URLs that start with https?:
2615 as plain URLs, even if they have spaces in them (bug#19556).
2616 (eww): Also interpret things like "en.wikipedia.org/wiki/Free
2617 software" as an URL.
2618 (eww): Don't interpret "org/foo" as an URL.
2619 (eww): Clear the title when loading so that we don't display
2620 misleading information.
2622 2015-01-10 Daniel Colascione <dancol@dancol.org>
2624 * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
2625 by analogy with dired.
2627 2015-01-09 Daniel Colascione <dancol@dancol.org>
2629 * progmodes/js.el (js--function-heading-1-re)
2630 (js--function-prologue-beginning): Parse ES6 generator function
2631 declarations. (That is, "function* name()").
2633 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2635 * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
2636 that creates functions, and most of the sanity checks.
2637 Mark as obsolete the <class>-child-p function.
2638 * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
2639 (eieio--class, eieio--object): Use cl-defstruct.
2640 (eieio--object-num-slots): Define manually.
2641 (eieio-defclass-autoload): Use eieio--class-make.
2642 (eieio-defclass-internal): Rename from eieio-defclass. Move all the
2643 `(lambda...) definitions and most of the sanity checks to `defclass'.
2644 Mark as obsolete the <class>-list-p function, the <class> variable and
2645 the <initarg> variables. Use pcase-dolist.
2646 (eieio-defclass): New compatibility function.
2647 * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
2648 (eieio-class-speedbar): Don't use eieio-default-superclass var.
2650 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2652 * emacs-lisp/eieio-generic.el: New file.
2653 * emacs-lisp/eieio-core.el: Move all generic function code to
2655 (eieio--defmethod): Declare.
2657 * emacs-lisp/eieio.el: Require eieio-generic. Move all generic
2658 function code to eieio-generic.el.
2659 * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
2661 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
2662 to eieio--generic-call.
2663 * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
2666 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2668 * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
2669 Don't use <class> as a variable.
2671 * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
2672 (call-next-method): Simplify.
2673 (clone): Obey eieio-backward-compatibility.
2675 * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
2676 (eieio-read-generic): Use `generic-p' instead.
2678 * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
2679 (eieio-defclass-autoload): Obey it.
2680 (eieio--class-object): Improve error behavior.
2681 (eieio-class-children-fast, same-class-fast-p): Remove. Inline at
2683 (eieio--defgeneric-form-primary-only): Rename from
2684 eieio-defgeneric-form-primary-only; update all callers.
2685 (eieio--defgeneric-form-primary-only-one): Rename from
2686 eieio-defgeneric-form-primary-only-one; update all callers.
2687 (eieio-defgeneric-reset-generic-form)
2688 (eieio-defgeneric-reset-generic-form-primary-only)
2689 (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
2690 (eieio--method-optimize-primary): New function to replace them.
2691 (eieio--defmethod, eieio-defmethod): Use it.
2692 (eieio--perform-slot-validation): Rename from
2693 eieio-perform-slot-validation; update all callers.
2694 (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
2695 Change `class' to be a class object. Update all callers.
2696 (eieio--validate-class-slot-value): Rename from
2697 eieio-validate-class-slot-value. Change `class' to be a class object.
2699 (eieio-oset-default): Accept class object as well.
2700 (eieio--generic-call-primary-only): Rename from
2701 eieio-generic-call-primary-only. Update all callers.
2703 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
2704 Improve error messages.
2705 (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
2706 well as user-defined types. Emit errors for legacy types like
2707 <class>-child and <class>-list, if not eieio-backward-compatibility.
2709 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2711 * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
2712 (eieio--class-slot-initarg): Rename from class-slot-initarg.
2713 Change `class' arg to be a class object. Update all callers.
2714 (call-next-method): Adjust to new return value of `eieio-generic-form'.
2715 (eieio-default-superclass): Set var to the class object.
2716 (eieio-edebug-prin1-to-string): Fix recursive call for lists.
2717 Change print behavior to affect class objects rather than
2720 * emacs-lisp/eieio-core.el (eieio-class-object): New function.
2721 (eieio-class-parents-fast): Remove macro.
2722 (eieio--class-option-assoc): Rename from class-option-assoc.
2724 (eieio--class-option): Rename from class-option. Change `class' arg to
2725 be a class object. Update all callers.
2726 (eieio--class-method-invocation-order): Rename from
2727 class-method-invocation-order. Change `class' arg to be a class
2728 object. Update all callers.
2729 (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
2730 a list of class objects rather than names.
2731 (eieio-defclass): Remove redundant quotes. Use `eieio-oref-default'
2732 for accessors to class allocated slots.
2733 (eieio--perform-slot-validation-for-default): Rename from
2734 eieio-perform-slot-validation-for-default. Update all callers.
2735 (eieio--add-new-slot): Rename from eieio-add-new-slot.
2736 Update all callers. Use push.
2737 (eieio-copy-parents-into-subclass): Adjust to new content of
2738 `parent' field. Use dolist.
2739 (eieio-oref): Remove support for providing a class rather than
2741 (eieio-oref-default): Prefer class objects over class names.
2742 (eieio--slot-originating-class-p): Rename from
2743 eieio-slot-originating-class-p. Update all callers. Use `or'.
2744 (eieio--slot-name-index): Turn check into assertion.
2745 (eieio--class-slot-name-index): Rename from
2746 eieio-class-slot-name-index. Change `class' arg to be a class object.
2748 (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
2749 (eieio--c3-candidate): Rename from eieio-c3-candidate.
2751 (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
2753 (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
2755 (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
2757 (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
2758 Update all callers. Adjust to new `parent' content.
2759 (eieio--class-precedence-list): Rename from -class-precedence-list.
2761 (eieio-generic-call): Use autoloadp and autoload-do-load.
2762 Slight simplification.
2763 (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
2764 return value of `eieio-generic-form'.
2765 (eieiomt-add): Index the hashtable with class objects rather than
2767 (eieio-generic-form): Accept class objects as well.
2769 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
2770 Adjust to new convention for eieio-persistent-validate/fix-slot-value.
2771 (eieio-persistent-validate/fix-slot-value):
2772 Change `class' arg to be a class object. Update all callers.
2774 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2776 * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
2777 additionally to class names.
2779 * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
2780 (object): Remove first (constant) slot; rename second to `class-tag'.
2781 (eieio--object-class-object, eieio--object-class-name): New funs
2782 to replace eieio--object-class.
2783 (eieio--class-object, eieio--class-p): New functions.
2784 (same-class-fast-p): Make it a defsubst, change its implementation
2785 to check the class objects rather than their names.
2786 (eieio-object-p): Rewrite.
2787 (eieio-defclass): Adjust the object initialization according to the new
2789 (eieio--scoped-class): Declare it returns a class object (not a class
2790 name any more). Adjust calls accordingly (along with calls to
2791 eieio--with-scoped-class).
2792 (eieio--slot-name-index): Rename from eieio-slot-name-index and change
2793 its class arg to be a class object. Adjust callers accordingly.
2794 (eieio-slot-originating-class-p): Make its start-class arg a class
2795 object. Adjust all callers.
2796 (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
2797 Make its `class' arg a class object. Adjust all callers.
2799 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
2800 Use eieio--slot-name-index rather than eieio-slot-name-index.
2802 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2804 * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
2806 (eieio-object-name): Use eieio-object-name-string.
2807 (eieio--object-names): New const.
2808 (eieio-object-name-string, eieio-object-set-name-string): Re-implement
2809 using a hashtable rather than a built-in slot.
2810 (eieio-constructor): Rename from `constructor'. Remove `newname' arg.
2811 (clone): Don't mess with the object's "name".
2813 * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
2814 (eieio-object-value-get): Use eieio-object-set-name-string.
2816 * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
2817 (eieio--object): Remove `name' field.
2818 (eieio-defclass): Adjust to new convention where constructors don't
2819 take an "object name" any more.
2820 (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
2821 (eieio-validate-slot-value, eieio-oset-default)
2822 (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
2823 (eieio-generic-call-primary-only): Simplify.
2825 * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
2826 Use call-next-method.
2827 (eieio-constructor): Rename from `constructor'.
2828 (eieio-persistent-convert-list-to-object): Drop objname.
2829 (eieio-persistent-validate/fix-slot-value): Don't hardcode
2830 eieio--object-num-slots.
2831 (eieio-named): Use a normal slot.
2832 (slot-missing) <eieio-named>: Remove.
2833 (eieio-object-name-string, eieio-object-set-name-string, clone)
2834 <eieio-named>: New methods.
2836 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2838 * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
2839 (method-*): Add a "eieio--" prefix to those constants.
2841 * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
2843 * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
2845 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
2847 * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
2848 `eieio-default-superclass'.
2850 * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
2852 * emacs-lisp/eieio-custom.el: Use lexical-binding.
2853 (eieio-object-value-to-abstract): Simplify.
2855 * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
2856 (eieio-build-class-alist): Use dolist.
2857 (eieio-all-generic-functions): Adjust to use of hashtables.
2859 * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
2860 symbol-hashtable. It contains a hashtable instead of an obarray.
2861 (generic-p): Use symbol property `eieio-method-hashtable' instead of
2862 `eieio-method-obarray'.
2863 (generic-primary-only-p, generic-primary-only-one-p):
2864 Slight optimization.
2865 (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
2866 (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
2867 (eieio-class-un-autoload): Use autoload-do-load.
2868 (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
2869 Use new cl-deftype-satisfies. Adjust to use of hashtables.
2870 Don't hardcode the value of eieio--object-num-slots.
2871 (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
2872 Use a closure rather than a backquoted lambda.
2873 (eieio--defmethod): Adjust call accordingly. Set doc-string via the
2874 function-documentation property.
2875 (eieio-slot-originating-class-p, eieio-slot-name-index)
2876 (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
2877 (eieio-generic-form): Adjust to use of hashtables.
2878 (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
2879 additional class argument.
2880 (eieio-generic-call-methodname): Remove, unused.
2882 * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
2885 2015-01-08 Eli Zaretskii <eliz@gnu.org>
2887 * simple.el (line-move-visual): When converting X pixel coordinate
2888 to temporary-goal-column, adjust the value for right-to-left
2889 screen lines. This fixes vertical-motion, next/prev-line, etc.
2891 2015-01-08 Glenn Morris <rgm@gnu.org>
2893 * files.el (file-tree-walk): Remove; of unknown authorship. (Bug#19325)
2895 2015-01-07 K. Handa <handa@gnu.org>
2897 * international/ccl.el (define-ccl-program): Improve the docstring.
2899 2015-01-06 Sam Steingold <sds@gnu.org>
2901 * shell.el (shell-display-buffer-actions): Remove,
2902 use `display-buffer-alist' instead.
2904 2015-01-05 Dmitry Gutov <dgutov@yandex.ru>
2906 * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
2909 2015-01-05 Stefan Monnier <monnier@iro.umontreal.ca>
2911 * minibuffer.el (completion-category-defaults): New var.
2912 Set unicode-name to use substring completion.
2913 (completion-category-defaults): Set it to nil.
2915 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
2917 Add mouse interaction to xref.
2918 * progmodes/xref.el (xref--button-map): New variable.
2919 (xref--mouse-2): New command.
2920 (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
2921 the inserted references.
2923 2015-01-04 Paul Eggert <eggert@cs.ucla.edu>
2925 Less 'make' chatter for lisp dir
2926 * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
2927 to make it clearer that the caller must specify it.
2928 (compile-onefile): Remove, replacing by ...
2929 ($(THEFILE)c): ... new rule. This lets us use AM_V_GEN here.
2930 ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
2931 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
2932 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
2933 Use AM_V_GEN to lessen 'make' chatter.
2934 (.el.elc): Omit duplicate comment.
2936 Less 'make' chatter in batch mode
2937 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
2938 * emacs-lisp/bytecomp.el (byte-compile-file):
2939 * files.el (save-buffer, basic-save-buffer):
2940 * international/quail.el (quail-update-leim-list-file):
2941 Don't output messages like "Generating ..." in batch mode.
2943 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
2945 Unbreak `mouse-action' property in text buttons.
2946 * button.el (push-button): Fix regression from 2012-12-06.
2948 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2950 * progmodes/xref.el (xref-marker-stack-empty-p): New function.
2952 * menu-bar.el (menu-bar-goto-menu): Use it.
2954 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2956 * progmodes/xref.el (xref--window-configuration): New variable.
2957 (xref-show-location-at-point): New command.
2958 (xref--restore-window-configuration): New function.
2959 (xref-next-line, xref-prev-line): Delegate to
2960 `xref-show-location-at-point'.
2961 (xref--location-at-point): Don't signal the error.
2962 (xref-goto-xref): Do that here instead.
2963 (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
2964 to `pre-command-hook'.
2965 (xref--xref-buffer-mode-map): Don't remap `next-line' and
2966 `previous-line'. Additionally bind `xref-next-line' and
2967 `xref-prev-line' to `n' and `p' respectively.
2968 Bind `xref-show-location-at-point' to `C-o'.
2970 2015-01-01 Eli Zaretskii <eliz@gnu.org>
2972 * tool-bar.el (tool-bar-local-item)
2973 (tool-bar-local-item-from-menu): Call force-mode-line-update to
2974 make sure the tool-bar changes show on display.
2976 2015-01-01 Michael Albinus <michael.albinus@gmx.de>
2978 Sync with Tramp 2.2.11.
2980 * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
2981 Make an alias for `default-toplevel-value' if it doesn't exist.
2983 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
2984 Use `tramp-compat-delete-directory'.
2986 * net/trampver.el: Update release number.
2988 2015-01-01 Filipp Gunbin <fgunbin@fastmail.fm>
2990 * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
2991 for remote files. (Bug#19449)
2993 2015-01-01 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
2995 * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
2997 2014-12-31 Paul Eggert <eggert@cs.ucla.edu>
2999 Less 'make' chatter in lisp directory
3000 * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
3001 (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
3002 (custom-deps, finder-data, autoloads, update-subdirs): Use them.
3004 2014-12-31 Filipp Gunbin <fgunbin@fastmail.fm>
3006 * info.el (info-display-manual): Limit the completion alternatives
3007 to currently visited manuals if prefix argument is non-nil.
3009 2014-12-30 Paul Eggert <eggert@cs.ucla.edu>
3011 * Makefile.in (semantic): Simplify.
3013 2014-12-30 Juri Linkov <juri@linkov.net>
3015 * net/eww.el (eww-isearch-next-buffer): New function.
3016 (eww-mode): Set multi-isearch-next-buffer-function to it.
3018 2014-12-30 Dmitry Gutov <dgutov@yandex.ru>
3020 * progmodes/xref.el (xref-find-definitions): Mention "no
3021 identifier at point" case in the docstring.
3023 * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
3024 (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
3025 and `separator-tag-file' items.
3027 2014-12-29 Paul Eggert <eggert@cs.ucla.edu>
3029 * obsolete/pc-select.el (pc-selection-mode): Use system-type.
3030 This is instead of system-name, which is both wrong here and obsolete.
3031 * desktop.el (desktop-save-frameset):
3032 * dnd.el (dnd-get-local-file-uri):
3033 * nxml/rng-uri.el (rng-uri-file-name-1):
3034 Prefer (system-name) to system-name, and avoid naming
3035 locals 'system-name'.
3036 * startup.el (system-name): Now an obsolete variable. (Bug#19438)
3038 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
3040 * menu-bar.el (menu-bar-next-tag-other-window)
3041 (menu-bar-next-tag): Remove.
3043 2014-12-29 K. Handa <handa@gnu.org>
3045 * international/mule.el (make-translation-table-from-alist):
3046 Accept nil or zero-length vector for FROM and TO.
3048 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
3050 * net/eww.el (eww-mode): Truncate overlong lines for prettier
3051 display when resizing.
3053 * net/shr.el (shr-width): Default to using the window width when
3056 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
3058 Unbreak jumping to an alias's definition.
3059 * emacs-lisp/find-func.el (find-function-library): Return a pair
3060 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
3061 (find-function-noselect): Use it.
3062 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
3063 `elisp--xref-identifier-location', incorporate logic from
3064 `elisp--xref-find-definitions', use the changed
3065 `find-function-library' return value.
3067 2014-12-29 Juri Linkov <juri@linkov.net>
3069 * comint.el (comint-history-isearch-message): Use field-beginning
3070 instead of comint-line-beginning-position - that's more fixes for
3071 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
3072 (comint-history-isearch-message): Fix args of isearch-message-prefix.
3074 2014-12-29 Juri Linkov <juri@linkov.net>
3076 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
3077 (vc-dir-mode-map): Bind it to "\C-o".
3078 (vc-dir-menu-map): Add it to menu.
3080 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
3082 * progmodes/etags.el (find-tag-other-window)
3083 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
3084 (tags-apropos): Declare obsolete.
3086 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
3089 2014-12-28 Eli Zaretskii <eliz@gnu.org>
3091 * international/mule.el (define-coding-system): Fix typos in the
3094 2014-12-28 Kenichi Handa <handa@gnu.org>
3096 * international/mule.el (define-coding-system): Improve the doc
3099 2014-12-28 Ivan Shmakov <ivan@siamics.net>
3101 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
3102 elements in tables (bug#19444).
3104 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
3107 2014-12-28 Juri Linkov <juri@linkov.net>
3109 * vc/compare-w.el: Require diff-mode for diff faces.
3110 (compare-windows-removed, compare-windows-added): New faces
3111 inheriting from diff faces.
3112 (compare-windows): Define obsolete face alias.
3113 (compare-windows-highlight): Replace face `compare-windows' with
3114 new faces `compare-windows-added' and `compare-windows-removed'
3116 (compare-windows-get-recent-window): Signal an error when
3117 no other window is found (bug#19170).
3119 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
3121 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
3122 Skip features that have no sources.
3124 * simple.el (execute-extended-command):
3125 When `suggest-key-bindings' is nil, don't.
3127 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3129 python.el: Native readline completion.
3130 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
3131 (python-shell-completion-native-enable)
3132 (python-shell-completion-native-output-timeout): New defcustoms.
3133 (python-shell-completion-native-interpreter-disabled-p)
3134 (python-shell-completion-native-try)
3135 (python-shell-completion-native-setup)
3136 (python-shell-completion-native-turn-off)
3137 (python-shell-completion-native-turn-on)
3138 (python-shell-completion-native-turn-on-maybe)
3139 (python-shell-completion-native-turn-on-maybe-with-msg)
3140 (python-shell-completion-native-toggle): New functions.
3141 (python-shell-completion-native-get-completions): New function.
3142 (python-shell-completion-at-point): Use it.
3144 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3146 python.el: Enhance shell user interaction and deprecate
3147 python-shell-get-or-create-process.
3148 * progmodes/python.el (python-shell-get-process-or-error):
3150 (python-shell-with-shell-buffer): Use it.
3151 (python-shell-send-string, python-shell-send-region)
3152 (python-shell-send-buffer, python-shell-send-defun)
3153 (python-shell-send-file, python-shell-switch-to-shell): Use it.
3154 Add argument MSG to display user-friendly message when no process
3156 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
3157 (python-shell-make-comint): Rename argument SHOW from POP.
3158 Use display-buffer instead of pop-to-buffer.
3159 (run-python): Doc fix. Return process.
3160 (python-shell-get-or-create-process): Make obsolete.
3162 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3164 * progmodes/python.el (python-shell-buffer-substring):
3165 Handle cornercase when region sent starts at point-min.
3167 2014-12-27 Eli Zaretskii <eliz@gnu.org>
3169 * language/misc-lang.el (composition-function-table): Add Syriac
3170 characters and also ZWJ/ZWNJ.
3171 See http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
3174 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3176 python.el: Fix message when sending region.
3177 * progmodes/python.el (python-shell-send-region): Rename argument
3178 send-main from nomain. Fix message.
3179 (python-shell-send-buffer): Rename argument send-main from arg.
3181 python.el: Cleanup temp files even with eval errors.
3182 * progmodes/python.el (python-shell-send-file): Make file-name
3183 mandatory. Fix temp file removal in the majority of cases.
3185 python.el: Handle file encoding for shell.
3186 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
3187 (python-shell--save-temp-file): Write file with proper encoding.
3188 (python-shell-buffer-substring): Add coding cookie for detected
3189 encoding to generated content. Fix blank lines when removing
3191 (python-shell-send-file): Handle file encoding.
3192 (python-info-encoding-from-cookie)
3193 (python-info-encoding): New functions.
3195 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3197 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
3198 Use `tramp-rsh-end-of-line', it ought to be more robust.
3200 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3202 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
3205 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3207 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
3209 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
3210 as end-of-line delimeter for passwords, when running on MS Windows.
3212 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3214 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
3215 of indent-line-function (bug#19433).
3217 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3219 Fix line numbers on Python shell.
3220 * progmodes/python.el (python-shell--save-temp-file): Do not
3221 append coding cookie.
3222 (python-shell-send-string): Generalize for
3223 python-shell-send-region.
3224 (python--use-fake-loc): Delete var.
3225 (python-shell-buffer-substring): Cleanup fake-loc logic.
3226 (python-shell-send-region): Remove fake-loc logic, simplify.
3228 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3230 * progmodes/python.el (python-indent-post-self-insert-function):
3231 Make colon to re-indent only for dedenters, handling
3232 multiline-statements gracefully.
3234 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3236 * net/tramp.el (tramp-handle-insert-file-contents):
3237 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
3239 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3241 * net/tramp-sh.el (tramp-send-command-and-read): New optional
3243 (tramp-get-remote-path): Use it.
3245 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3247 * subr.el (redisplay-dont-pause): Mark as obsolete.
3249 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
3251 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
3252 (tramp-accept-process-output): Use nil as argument for
3253 `accept-process-output', when there is a gateway prepended.
3255 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
3257 (tramp-gw-open-connection): Set process coding system 'binary.
3258 (tramp-gw-open-network-stream): Handle HTTP error 403.
3260 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
3262 (tramp-maybe-open-connection): Set connection property "gateway".
3264 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
3266 * subr.el (sit-for): Tweak docstring (bug#19381).
3268 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
3270 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
3271 stage to after `diff-index' (bug#19386).
3273 2014-12-27 João Távora <joaotavora@gmail.com>
3275 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
3276 `electric-pair-mode' (bug#19356).
3278 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
3280 elisp-xref-find: Don't create buffers eagerly.
3282 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
3283 into `elisp--company-location'.
3284 (elisp--identifier-completion-table): Rename to
3285 `elisp--identifier-completion-table', and do not include just any
3286 symbols with a property list.
3287 (elisp-completion-at-point): Revert the 2014-12-25 change.
3288 (elisp--xref-identifier-file): New function.
3289 (elisp--xref-find-definitions): Use it.
3291 * emacs-lisp/find-func.el (find-function-library): New function,
3292 extracted from `find-function-noselect'.
3294 * progmodes/xref.el (xref-elisp-location): New class.
3295 (xref-make-elisp-location): New function.
3296 (xref-location-marker): New implementation.
3298 2014-12-27 Juri Linkov <juri@linkov.net>
3300 * minibuffer.el (minibuffer-completion-help):
3301 Use shrink-window-if-larger-than-buffer in window-height
3302 when temp-buffer-resize-mode is nil.
3304 * window.el (with-displayed-buffer-window): Remove window-height
3305 from the action alist in the temp-buffer-window-show call
3306 when window-height is handled explicitly afterwards (bug#19355).
3308 2014-12-27 Juri Linkov <juri@linkov.net>
3310 Support subdirectories when saving places in dired.
3311 * saveplace.el (toggle-save-place, save-place-to-alist)
3312 (save-places-to-alist, save-place-dired-hook):
3313 Use dired-current-directory instead of dired-directory (bug#19436).
3314 (save-place-dired-hook): Add check for alist to make the new
3315 format future-proof to allow other possible formats.
3317 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
3319 python.el: Generate clearer shell buffer names.
3320 * progmodes/python.el (python-shell-get-process-name)
3321 (python-shell-internal-get-process-name): Use `buffer-name`.
3322 (python-shell-internal-get-or-create-process): Simplify.
3324 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
3326 Add basic xref apropos implementation to elisp-mode.
3328 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
3329 Filter out nil results.
3330 (elisp--xref-find-apropos): New function.
3331 (elisp-xref-find): Use it.
3333 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
3335 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
3337 * dired-aux.el (dired-maybe-insert-subdir):
3338 Make dired-maybe-insert-subdir always skip trivial files.
3340 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
3341 Dmitry Gutov <dgutov@yandex.ru>
3343 Consolidate cross-referencing commands.
3345 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
3346 `C-x 5 .' from etags.el to xref.el.
3348 * progmodes/xref.el: New file.
3350 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
3351 (elisp--identifier-location): New function, extracted from
3352 `elisp--company-location'.
3353 (elisp--company-location): Use it.
3354 (elisp--identifier-completion-table): New variable.
3355 (elisp-completion-at-point): Use it.
3356 (emacs-lisp-mode): Set the local values of `xref-find-function'
3357 and `xref-identifier-completion-table-function'.
3358 (elisp-xref-find, elisp--xref-find-definitions)
3359 (elisp--xref-identifier-completion-table): New functions.
3361 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
3362 favor of `xref--marker-ring'.
3363 (tags-lazy-completion-table): Autoload.
3364 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
3365 (find-tag-noselect): Use `xref-push-marker-stack'.
3366 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
3367 (etags--xref-limit): New constant.
3368 (etags-xref-find, etags--xref-find-definitions): New functions.
3370 2014-12-25 Martin Rudalics <rudalics@gmx.at>
3372 * cus-start.el (resize-mini-windows): Make it customizable.
3374 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
3376 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
3377 to (info "(emacs)Contributing"). (Bug#19299)
3379 2014-12-24 Martin Rudalics <rudalics@gmx.at>
3381 * window.el (mouse-autoselect-window-position-1): New variable.
3382 (mouse-autoselect-window-cancel)
3383 (mouse-autoselect-window-select, handle-select-window):
3384 With delayed autoselection select window only if mouse moves after
3385 selecting its frame.
3387 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
3389 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
3390 remote file names. (Bug#18782)
3392 2014-12-23 Sam Steingold <sds@gnu.org>
3394 * shell.el (shell-display-buffer-actions): New user option.
3395 (shell): Pass it to `pop-to-buffer' instead of hard-coding
3396 `pop-to-buffer-same-window'.
3398 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
3400 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
3401 (js-syntax-propertize-regexp): Use it to recognize "slash in
3402 a character class" (bug#19397).
3404 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
3406 * completion.el: Use post-self-insert-hook (bug#19400).
3407 (completion-separator-self-insert-command)
3408 (completion-separator-self-insert-autofilling): Remove.
3409 (completion-separator-chars): New var.
3410 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
3411 of changing the keymap.
3412 (completion--post-self-insert): New function.
3413 (dynamic-completion-mode): Use it instead of rebinding keys.
3414 (cmpl--completion-string): Rename from completion-string.
3415 (add-completion-to-head, delete-completion): Let-bind it explicitly.
3417 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
3419 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
3420 by leveraging `syntax-ppss'.
3422 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
3424 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
3426 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
3428 * net/tramp-sh.el (tramp-histfile-override): Add :version.
3430 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
3432 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
3434 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
3436 * let-alist.el (let-alist): Enable access to deeper alists by
3437 using dots inside the dotted symbols.
3439 2014-12-19 Alan Mackenzie <acm@muc.de>
3441 Make C++11 uniform init syntax work.
3442 New keywords "final" and "override".
3443 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
3445 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
3447 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
3448 carefully for "are we at a declarator?" using
3449 c-back-over-member-initializers.
3450 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
3451 and "override" in the C++ value.
3453 2014-12-19 Martin Rudalics <rudalics@gmx.at>
3455 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
3457 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
3459 * net/nsm.el (nsm-save-host): Don't save the host name twice
3462 2014-12-18 Sam Steingold <sds@gnu.org>
3464 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
3465 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
3466 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
3467 * menu-bar.el (menu-bar-buffer-vector): Extract from
3468 `menu-bar-update-buffers'.
3469 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
3470 (buffer-menu-open): New user command, bound globally to C-f10,
3471 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
3472 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
3473 convert the value returned by `mouse-buffer-menu-map' to a list
3474 acceptable to `popup-menu' for `buffer-menu-open'.
3476 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
3478 * let-alist.el (let-alist): Evaluate the `alist' argument only once.
3480 2014-12-18 Sam Steingold <sds@gnu.org>
3482 * emacs-lisp/package.el: Avoid compilation warning by declaring
3483 the `find-library-name' function.
3484 (package-activate-1): Fix the `with-demoted-errors' calls:
3485 the first argument must be a string literal.
3487 2014-12-18 Martin Rudalics <rudalics@gmx.at>
3489 Add code for "preserving" window sizes.
3490 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
3492 (dired-mark-pop-up): Preserve size of window showing marked files.
3493 * electric.el (Electric-pop-up-window):
3494 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
3495 with `preserve-size' t.
3496 * minibuffer.el (minibuffer-completion-help):
3497 Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
3498 (Bug#19355). Preserve size of completions window.
3499 * register.el (register-preview): Preserve size of register
3501 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
3502 with `preserve-size' t (Bug#1291).
3503 * window.el (with-displayed-buffer-window): Add calls to
3504 `window-preserve-size'.
3505 (window-min-pixel-size, window--preservable-size)
3506 (window-preserve-size, window-preserved-size)
3507 (window--preserve-size, window--min-size-ignore-p): New functions.
3508 (window-min-size, window-min-delta, window--resizable)
3509 (window--resize-this-window, split-window-below)
3510 (split-window-right): Amend doc-string.
3511 (window--min-size-1, window-sizable, window--size-fixed-1)
3512 (window-size-fixed-p, window--min-delta-1)
3513 (frame-windows-min-size, window--max-delta-1, window-resize)
3514 (window--resize-child-windows, window--resize-siblings)
3515 (enlarge-window, shrink-window, split-window): Handle preserving
3517 (adjust-window-trailing-edge): Handle preserving window
3518 sizes. Signal user-error instead of an error when there's no
3519 window above or below.
3520 (window--state-put-2): Handle horizontal scroll bars.
3521 (window--display-buffer): Call `preserve-size' if asked for.
3522 (display-buffer): Mention `preserve-size' alist member in doc-string.
3523 (fit-window-to-buffer): New argument PRESERVE-SIZE.
3524 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
3525 scroll bar on ispell's windows. Don't count window lines and
3526 don't deal with dedicated windows.
3527 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
3528 do the window handling.
3529 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
3530 (ispell-display-buffer): New function to reuse, create and fit
3531 window to ispell's buffers. (Bug#3413)
3533 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
3535 * emacs-lisp/package.el (package-activate): Do not re-activate or
3536 reload the dependencies (bug#19390).
3538 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
3540 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
3541 (c-update-modeline):
3542 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
3543 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
3544 (c-mode-base-map): Use c-subword-mode.
3546 2014-12-18 Eli Zaretskii <eliz@gnu.org>
3548 * international/mule-diag.el (describe-font-internal):
3549 Display additional info returned by font-info.
3551 * linum.el (linum--face-width): Rename from linum--face-height,
3552 and use the new functionality of font-info.
3553 (linum-update-window): Use linum--face-width and frame-char-width,
3554 instead of approximating with height.
3556 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
3558 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
3559 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
3561 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
3563 (package-activate): Call itself on dependencies on PACKAGE with
3564 the same FORCE argument. Pass FORCE as RELOAD into
3565 `package-activate-1' (bug#19390).
3567 2014-12-17 Sam Steingold <sds@gnu.org>
3569 * emacs-lisp/package.el (package--list-loaded-files):
3570 Handle `(nil ...)' elements in `load-history'.
3572 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
3574 * net/tramp-sh.el (tramp-histfile-override): New variable.
3575 (tramp-open-shell, tramp-maybe-open-connection): Use it.
3577 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
3579 * vc/vc.el: Improve `dir-status-files' description.
3581 * emacs-lisp/package.el (package--list-loaded-files): Don't call
3582 file-truename on load-history elements (bug#19390).
3584 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
3586 * emacs-lisp/seq.el: New file.
3588 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
3590 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
3591 is 0 and there is no input pending.
3593 2014-12-15 Juri Linkov <juri@linkov.net>
3595 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
3596 only on the return value (bug#19383).
3598 2014-12-15 Juri Linkov <juri@linkov.net>
3600 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
3601 the wrapped search by the length of the search string to be able
3602 to lazy-highlight the whole search string at point (bug#19353).
3604 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
3606 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
3608 2014-12-14 Alan Mackenzie <acm@muc.de>
3610 * cus-start.el (all): Add fast-but-imprecise-scrolling.
3612 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
3614 * let-alist.el: Add lexical binding.
3616 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
3618 * emacs-lisp/package.el (package-menu-mode): Use an extra column
3619 for the "Version" column, to accomodate date-and-time-based versions.
3621 2014-12-14 Cameron Desautels <camdez@gmail.com>
3623 * cus-edit.el (custom-unsaved-options): New function, extracted
3624 from `customize-unsaved'.
3625 (custom-unsaved): Use it.
3626 (custom-prompt-customize-unsaved-options): New function.
3629 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
3631 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
3633 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
3635 Move ASYNC argument to the `diff' VC command to the fifth
3636 position, for better compatibility with existing third-party code,
3639 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
3640 backend `diff' command in the last position.
3642 * vc/vc-svn.el (vc-svn-diff):
3643 * vc/vc-src.el (vc-src-diff):
3644 * vc/vc-sccs.el (vc-sccs-diff):
3645 * vc/vc-rcs.el (vc-rcs-diff):
3646 * vc/vc-mtn.el (vc-mtn-diff):
3647 * vc/vc-hg.el (vc-hg-diff):
3648 * vc/vc-git.el (vc-git-diff):
3649 * vc/vc-dav.el (vc-dav-diff):
3650 * vc/vc-cvs.el (vc-cvs-diff):
3651 * vc/vc-bzr.el (vc-bzr-diff):
3652 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
3654 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
3656 * emacs-lisp/cconv.el (cconv--analyze-use):
3657 Rename from cconv--analyse-use.
3658 (cconv--analyze-function): Rename from cconv--analyse-function.
3659 (cconv-analyze-form): Rename from cconv-analyse-form.
3661 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
3663 * net/shr.el (shr-next-link): Don't error out at eob.
3665 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3667 * isearch.el (isearch-open-necessary-overlays): Open overlay
3668 ending at point (bug#19333).
3670 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
3672 * net/shr.el (shr-fold-text): New function.
3673 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
3675 (shr-fold-text): Inhibit state from being altered.
3677 * files.el (directory-files-recursively): Really check whether
3679 (directory-name-p): New function.
3680 (directory-files-recursively): Use it.
3682 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
3684 * emacs-lisp/package.el (package--list-loaded-files): New function
3685 to list files in a given directory which correspond to already
3687 (package-activate-1): Reload files given by `package--list-loaded-files'.
3688 Fix bug#10125, bug#18443, and bug#18448.
3690 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
3692 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
3694 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
3696 * simple.el (password-word-equivalents): Add "passcode", used for
3697 numeric secrets like PINs or RSA tokens.
3699 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
3701 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
3702 order to determine `tramp-own-remote-path'.
3704 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
3706 * progmodes/python.el (python-shell-parse-command):
3707 Quote `python-shell-interpreter`. (Bug#19289)
3709 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
3711 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
3712 (python-indent-levels): Document extra value.
3713 (python-indent-calculate-indentation): Return `noindent' in strings.
3714 (python-indent-post-self-insert-function)
3715 (python-indent-calculate-levels): Handle new value.
3717 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
3719 * net/network-stream.el (network-stream-open-starttls): No need to
3720 check for the availability of `gnutls-available-p'.
3722 * files.el (directory-files-recursively): Don't follow symlinks to
3725 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
3727 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
3728 * vc/vc.el: latest-on-branch-p is no longer a public method.
3730 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
3731 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
3732 Remove `rollback' method, to be replaced in the future by uncommit.
3734 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
3736 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
3737 that there is empty output.
3739 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
3741 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
3742 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
3744 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
3746 * let-alist.el: Add new package and macro.
3748 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
3750 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
3751 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
3752 it's a shoot-self-in-foot archaism. Workfiles are always kept.
3754 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
3756 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
3757 trouble with ls over ftp. These flags result in ls returning no
3758 output, causing Tramp-breakage. (bug#19192)
3760 2014-12-10 Andreas Schwab <schwab@suse.de>
3762 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
3764 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
3766 * files.el (directory-files-recursively):
3767 Use `file-name-all-completions' instead of `directory-files' for
3770 * net/shr.el (shr-tag-object): Don't bug out on text elements in
3773 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
3775 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
3777 (ruby-toggle-string-quotes): New command that allows you to quickly
3778 toggle between single-quoted and double-quoted string literals.
3780 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
3782 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
3783 list, avoids problems witt names containing hyphens.
3785 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
3787 Sync with upstream verilog-mode revision aa4b777.
3788 * progmodes/verilog-mode.el (verilog-mode-version): Update.
3789 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
3790 (verilog-set-auto-endcomments): Automatically comment property/
3791 endproperty blocks to match other similar blocks like sequence/
3792 endsequence, function/endfunction, etc. Reported by Alex Reed.
3793 (verilog-set-auto-endcomments): Fix end comments for functions of
3794 type void, etc. Detect the function- or task-name when
3795 auto-commenting blocks that lack an explicit portlist.
3796 Reported by Alex Reed.
3797 (verilog-nameable-item-re): Fix nameable items that can have an
3798 end-identifier to include endchecker, endgroup, endprogram,
3799 endproperty, and endsequence. Reported by Alex Reed.
3800 (verilog-preprocessor-re, verilog-beg-of-statement):
3801 Fix indentation of property/endproperty around pre-processor
3802 directives. Reported by Alex Reed.
3803 (verilog-label-be): When auto-commenting a buffer, consider
3804 auto-comments on all known keywords (not just a subset thereof).
3805 Reported by Alex Reed.
3806 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
3807 Reported by Alex Reed.
3808 (verilog-beg-of-statement-1, verilog-at-constraint-p):
3809 Fix hanging with many curly-bracket pairs, bug663.
3810 (verilog-do-indent): Fix electric tab deleting form-feeds.
3811 Note caused by indent-line-to deleting tabls pre 24.5.
3812 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
3813 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
3814 (verilog-read-always-signals, verilog-auto-sense-sigs)
3815 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
3816 bug844. Reported by Greg Hilton.
3818 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
3820 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
3821 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
3822 'foreach', and 'do' keywords.
3823 (verilog-endcomment-reason-re, verilog-beg-of-statement):
3824 Fix labeling do-while blocks, bug842.
3825 (verilog-backward-token): Fix indenting sensitivity lists with
3826 named events, bug840.
3828 2014-12-09 Reto Zimmermann <reto@gnu.org>
3830 Sync with upstream vhdl mode v3.36.1.
3831 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
3832 (vhdl-compiler-alist): Anchor all error regexps.
3833 (vhdl-compile-use-local-error-regexp): Change default to nil.
3834 (vhdl-asort, vhdl-anot-head-p): Remove.
3835 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
3836 Remove optional argument of vhdl-aget and update all callers.
3837 (vhdl-import-project): Also set `vhdl-compiler'.
3839 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
3841 * files.el (find-files): New function.
3843 * net/shr.el (shr-dom-print): Don't print comments.
3844 (shr-tag-svg): Give inline SVG images the right type.
3846 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
3847 certificates in the header line.
3848 (eww-invalid-certificate, eww-valid-certificate): New faces.
3850 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
3852 * progmodes/python.el (inferior-python-mode):
3853 Set `comint-prompt-read-only` to `t` only locally.
3855 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3857 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
3858 (nsm-format-certificate): Include more data about the connection.
3859 (nsm-query): Fill the text to that it looks nicer.
3860 (nsm-check-protocol): Also warn if using SSL3 or older.
3862 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
3864 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
3866 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
3868 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
3870 * info.el (Info-mode-map): Remove left-over binding.
3872 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
3873 (avl-tree--root): Remove redundant defsetf.
3875 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3877 * net/nsm.el (network-security-level): Remove the detailed
3878 description, which was already outdated, and refer the users to
3880 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
3883 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
3885 * net/eww.el (eww-buffers-mode): New major mode.
3886 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
3887 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
3888 New commands/functions (bug#19131).
3890 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3892 * net/gnutls.el (gnutls-negotiate): Ignore files found via
3893 'file-name-handler-alist' since the gnutls library can't use those
3896 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
3898 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
3899 when FILES is non-nil (bug#19304).
3901 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
3903 * vc/vc-arch.el: Move to obsolete directory so a test framework
3904 won't trip over bit-rot in it. There has been no Arch snapshot
3907 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3909 * net/eww.el (eww-follow-link): Revert prefix behaviour to
3911 (eww-copy-page-url): Add doc string.
3913 2014-12-07 Ivan Shmakov <ivan@siamics.net>
3915 * net/eww.el (eww): Move history recording here...
3916 (eww-browse-url): ... from here (bug#19253).
3918 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
3919 iterating over possible buffer names.)
3921 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3923 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
3924 (eww-current-buffer): Compilation fix for bug#18550 patch.
3926 2014-12-07 Ivan Shmakov <ivan@siamics.net>
3928 * net/eww.el (eww-list-histories): Restore the history in the
3929 correct buffer (bug#18550).
3931 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3933 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
3934 displaying shortened titles first (bug#16398).
3936 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
3938 * progmodes/python.el: Recognize docstrings.
3939 (python-docstring-at-p, python-font-lock-syntactic-face-function):
3941 (python-mode): Use them.
3943 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
3945 * net/newst-treeview.el (newsticker--treeview-list-add-item)
3946 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
3947 (newsticker--treeview-create-groups-menu)
3948 (newsticker--treeview-create-tree-menu): Remove.
3949 (newsticker--treeview-tree-open-menu): New.
3950 (newsticker-treeview-tree-click): Pass event to
3951 `newsticker-treeview-tree-do-click'.
3952 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
3954 2014-12-05 Juri Linkov <juri@linkov.net>
3956 * comint.el (comint-history-isearch-search)
3957 (comint-history-isearch-wrap): Use field-beginning instead of
3958 comint-line-beginning-position.
3959 (comint-send-input): Go to the end of the field instead of the end
3960 of the line to accept whole multi-line input.
3961 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
3963 2014-12-05 Juri Linkov <juri@linkov.net>
3965 * minibuffer.el (minibuffer-completion-help):
3966 Compare selected-window with minibuffer-window to check whether
3967 completions should be displayed near the minibuffer. (Bug#17809)
3968 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
3970 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
3972 * vc/vc-mtn.el (vc-mtn-root):
3973 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
3975 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3977 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
3978 of the whole pipe when indenting an opening keyword after a |.
3979 Generalize this treatment to opening keywords like "while" (bug#18031).
3981 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3983 * simple.el (newline): Place the hook buffer-locally,
3984 to make sure it's first.
3986 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
3987 Fix handling of symbols with different syntax at beginning/end or with
3988 symbol rather than word syntax.
3990 2014-12-05 Eli Zaretskii <eliz@gnu.org>
3992 * simple.el (line-move): If noninteractive, call line-move-1, not
3993 forward-line, since the former is compatible with line-move-visual
3994 both in terms of the column to which it moves and the return
3997 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3999 * vc/ediff-init.el (ediff-odd-p): Remove.
4000 (ediff-background-face): Use cl-oddp instead.
4001 (ediff-buffer-live-p): Make it a defsubst.
4003 * tooltip.el (tooltip-region-active-p): Remove.
4005 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
4006 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
4008 * fringe.el (fringe-bitmap-p): Make it a plain function.
4010 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
4011 (class-p, generic-p, eieio-object-p, class-abstract-p):
4012 Make them defsubst, so as to avoid corner case problems where
4013 the arg might be evaluated in the condition-case, or it can't be passed
4014 to higher-order functions like `cl-some'.
4016 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
4018 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
4019 and remove old menu-related code.
4021 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
4023 * net/eww.el (eww-display-pdf): Let mailcap determine how to
4024 display PDF files (bug#19270).
4026 2014-12-05 Juri Linkov <juri@linkov.net>
4028 Compare with the most recent window by default.
4029 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
4030 (compare-windows-get-recent-window)
4031 (compare-windows-get-next-window): New functions.
4032 (compare-windows, compare-windows-sync-default-function):
4033 Use `compare-windows-get-window-function' instead of `next-window'.
4034 (compare-windows): Add diff/match messages with region boundaries.
4037 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
4039 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
4041 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
4043 * net/shr.el (shr--extract-best-source): Ignore non-text children.
4045 2014-12-04 Eli Zaretskii <eliz@gnu.org>
4047 Implement copying of a buffer portion while preserving visual order.
4048 * simple.el (bidi-directional-controls-chars)
4049 (bidi-directional-non-controls-chars): New variables.
4050 (squeeze-bidi-context-1, squeeze-bidi-context)
4051 (line-substring-with-bidi-context)
4052 (buffer-substring-with-bidi-context): New functions.
4054 * files.el (file-tree-walk): Doc fix.
4056 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
4057 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4059 * autoinsert.el (auto-insert-alist): Update C/C++ header and
4060 program support to match more extensions. Replace non-alnum
4061 characters when generating include guards (headers) and check for
4062 more extensions when generating includes (programs)
4065 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
4067 * files.el (file-tree-walk): Fix docstring.
4069 2014-12-03 Karl Fogel <kfogel@red-bean.com>
4071 Fix bug whereby saving files hung in VC hook.
4073 Saving a buffer visiting a file under SVN control would hang if
4074 the remote repository were unreachable, because the VC hooks tried
4075 to run "svn status -u" on the file, where the "-u" tells svn to
4076 get update information from the remote repository.
4077 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
4079 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
4080 argument and always pass "-v" to "svn status", never "-u".
4082 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
4084 * emacs-lisp/inline.el: Fix up copyright header.
4085 (inline-quote, inline-const-p, inline-const-val, inline-error):
4086 Silence compiler warnings.
4087 (inline-letevals): Fix edebug spec.
4088 (inline--testconst-p): Consider lambda expressions as const-p.
4089 (inline--getconst-val): Use inline--testconst-p.
4091 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
4092 and change default to stay in the minibuffer when called from
4093 the minibuffer (bug#19250).
4094 (lazy-completion-table): Use this new argument to preserve the
4097 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
4098 incorrect lexical elements (bug#19250).
4100 2014-12-03 A. N. Other <none@example.com>
4102 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
4104 2014-12-02 Glenn Morris <rgm@gnu.org>
4106 * whitespace.el (whitespace-big-indent-regexp): Add :version.
4108 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
4110 * subr.el (filter): New macro. Because it's just silly for a Lisp
4111 not to have this in 2014. And VC needs it.
4113 * vc.el: All backends: API simplification: Abolish dir-status.
4114 It's replaced by dir-status-files.
4116 * vc.el: All backends: API simplification: Remove 4th
4117 'default-state' argument from vc-dir-status files and its backend
4118 methods - no backend method ever set it. It was used only in the
4119 fallback method to to set a default of 'up-to-date, though a
4120 convoluted call chain obscured this.
4122 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
4124 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
4125 improving behavior on directories using multiple file-oriented VCSes.
4127 * vc/vc.el: All backends: API simplification; clear-headers
4128 is no longer a public method. It is now local to the one place
4129 it's used, in the RCS steal-lock method.
4131 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
4133 * vc/vc.el: In all backends: API simplification; could-register
4134 is no longer a public method. (vc-cvs.el still has a private
4137 * vc/vc.el: In all backends: API cleanup; the backend diff method
4138 takes an explicit async flag. This eliminates a particularly ugly
4141 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
4142 VC randomly/unpredictably fails without it; cause not yet established.
4144 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
4146 Merge some of the differences from the standalone CC-mode.
4147 The main change is to only use the `category' text-property only when
4148 available. For that many calls are changed to use c-get-char-property,
4149 c-next-single-property-change, c-sc-scan-lists,
4150 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
4152 * progmodes/cc-mode.el (c-just-done-before-change): New var.
4153 (c-basic-common-init): Initialize it.
4154 (c-common-init): Only use mode-require-final-newline when available.
4155 (c-before-change): Check and set c-just-done-before-change.
4156 (c-after-change): Re-set c-just-done-before-change.
4157 (c-advise-fl-for-region): New macro.
4158 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
4159 (font-lock-after-change-function, jit-lock-after-change):
4162 * progmodes/cc-langs.el (c-modified-constant): New lang var.
4163 (c-known-type-key): Don't make a list just to throw it away.
4165 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
4166 Handle the case where categories are not available.
4167 (c-record-parse-state-state, c-replay-parse-state-state):
4168 Handle marker values.
4169 (c-before-change-check-<>-operators): Look for the `syntax-table'
4170 property rather than for the corresponding `category'.
4171 (c-looking-at-decl-block): Remove unused var
4172 `c-disallow-comma-in-<>-arglists'.
4173 (c-forward-<>-arglist-recur): Remove unused var
4174 `orig-record-found-types'.
4176 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
4177 (c-use-category): New const.
4178 (c-next-single-property-change): New macro.
4179 (c-region-is-active-p): Prefer region-active-p when available.
4180 (c-search-backward-char-property): Fix old min/max typo; probably
4182 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
4183 Turn them into macros that obey c-use-category.
4184 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
4185 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
4186 (c-sc-scan-lists, c-sc-parse-partial-sexp)
4187 (c-looking-at-non-alphnumspace): New macros.
4188 (c-sc-parse-partial-sexp-no-category): New function.
4189 (c-emacs-features): Add `category-properties' element.
4191 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
4192 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
4194 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
4195 (c-indent-line-or-region): Use c-region-is-active-p.
4197 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
4198 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
4199 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
4200 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
4201 (cc-bytecomp-obsolete-fun): Delete unused functions.
4203 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
4205 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
4207 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
4210 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
4212 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
4213 current "project" rather than just the current directory.
4214 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
4215 the file names make sense.
4217 * vc/smerge-mode.el (smerge-swap): New command.
4219 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
4221 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
4223 * net/newst-treeview.el (newsticker--treeview-item-show):
4224 Check window liveliness before measuring its width.
4226 * net/newst-backend.el (newsticker--get-news-by-url-callback):
4227 Pass correct status to `newsticker--sentinel-work'.
4228 (newsticker--sentinel-work): Use "newsticker--download-error" as
4229 guid in order to prevent multiple "Could not download..."
4230 messages. (Bug#19166)
4232 2014-12-01 Ivan Shmakov <ivan@siamics.net>
4234 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
4235 correct buffer (bug#19225).
4237 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
4239 * net/nsm.el (network-security-level): Change the default to `medium'.
4241 * net/eww.el (eww): Leave point in a place that doesn't cause
4242 scrolling when displaying "Loading...".
4244 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
4246 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
4247 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
4248 'merge-branch'. Prompting for merge revisions is pushed down to
4249 the back ends; this fixes a layering violation that caused bad
4252 * vc/vc.el, vc-hooks.el: All backends: API simplification;
4253 vc-stay-local-p and repository-hostname are no longer public
4254 methods. Only the CVS and SVN backends used these, and the SVN
4255 support was conditioned out because svn status -v is too slow.
4256 The CVS back end retains this machinery and the vc-stay-local
4257 configuration variable now only affects it.
4259 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
4261 * emacs-lisp/inline.el: New file.
4263 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
4265 * vc/vc.el, vc-hooks.el: All backends: API simplification;
4266 vc-state-heuristic is no longer a public method, having been
4267 removed where it is redundant, unnecessary, or known buggy.
4268 This eliminated all backends except CVS. Eliminates bug#7850.
4270 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
4271 Eliminate vc-mistrust-permissions. It was only relevant to the
4272 RCS and SCCS back ends and defaulted to t. Code now always
4273 mistrusts permissions - by actual measurement the effect on
4274 performance is negligible. As a side effect bug#11490 is now
4277 * vc/vc.el, vc-hooks.el: All backends: API simplification;
4278 vc-workfile-unchanged-p is no longer a public method (but the RCS
4279 and SCCS back ends retain it as a private method used in state
4280 computation). This method was redundant with vc-state and usually
4281 implemented as a trivial call to same. Fixes the failure mode
4282 described in bug#694.
4284 * vc/vc.el: All backends: API simplification; init-revision is
4285 gone, and vc-registered functions no longer take an
4286 initial-revision argument.
4288 2014-11-29 Glenn Morris <rgm@gnu.org>
4290 * vc/vc-src.el (vc-src, vc-src-diff-switches)
4291 (vc-src-master-templates): Fix :version tags.
4293 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
4295 * outline.el (outline-move-subtree-down): Refactor and improve code.
4297 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
4298 Stefan Monnier <monnier@iro.umontreal.ca>
4300 * outline.el (outline-move-subtree-down): Make sure we can move
4301 forward to find the end of the subtree and the insertion point
4304 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
4306 * progmodes/python.el (python-shell-completion-setup-code):
4307 Use __builtin__ module (or builtins in Python 3) and catch all errors
4308 when importing readline and rlcompleter.
4310 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
4312 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
4313 (todo-revert-buffer): New function.
4314 (todo-modes-set-1): Use it as the buffer-local value of
4315 revert-buffer-function.
4317 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
4319 * calendar/todo-mode.el (todo-mode): If called interactively, just
4320 display a message saying to call todo-show to enter Todo mode
4323 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
4325 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
4328 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
4331 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
4333 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
4334 remote `buffer-file-name'.
4336 2014-11-29 Leo Liu <sdl.web@gmail.com>
4338 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
4340 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
4342 Set PYTHONUNBUFFERED on shell startup.
4344 * progmodes/python.el (python-shell-unbuffered): New var.
4345 (python-shell-calculate-process-environment): Use it.
4347 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
4349 * net/tramp.el (tramp-action-password): Clean password on subsequent
4350 attempts even if there was no wrong password indication. (Bug#19047)
4352 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
4354 (tramp-open-connection-setup-interactive-shell): No need to check
4355 for nil as `tramp-get-remote-locale' return value.
4357 2014-11-29 Eli Zaretskii <eliz@gnu.org>
4359 * vc/vc-git.el (vc-git-command, vc-git--call):
4360 Bind coding-system-for-read and coding-system-for-write to
4361 vc-git-commits-coding-system.
4362 (vc-git-previous-revision): Use "~1" instead of "^", since the
4363 latter is a special character for MS-Windows system shells.
4365 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
4367 Improve XEmacs compatibility.
4369 * net/tramp.el (tramp-autoload-file-name-handler):
4370 Wrap `temporary-file-directory' by `symbol-value', it doesn't
4372 (tramp-read-passwd): Don't use `with-timeout-suspend' and
4373 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
4374 (tramp-time-less-p, tramp-time-subtract): Remove functions.
4375 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
4376 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
4377 * net/tramp-cache.el (tramp-get-file-property):
4378 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
4379 Use `time-less-p' and `time-subtract, respectively.
4381 * net/tramp-adb.el (top): Do not require time-date.el.
4383 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
4385 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
4386 Check, whether `utf-8' is a valid coding system.
4388 2014-11-29 Eli Zaretskii <eliz@gnu.org>
4390 * vc/vc.el (vc-retrieve-tag): Doc fix.
4392 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
4394 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
4397 2014-11-28 Martin Rudalics <rudalics@gmx.at>
4399 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
4400 * help.el (help-window-old-frame): New variable.
4401 (help-window-select): Default to nil (Bug#11039).
4403 (help-window-setup): When the help window appears on another
4404 frame and `help-window-select' is non-nil, give that frame input
4405 focus too (Bug#19012).
4406 (with-help-window): Store selected frame in
4407 help-window-old-frame.
4409 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
4411 * net/newst-treeview.el (newsticker--treeview-load): Take care of
4412 nil value for `newsticker-groups-filename'.
4414 2014-11-28 Daiki Ueno <ueno@gnu.org>
4416 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4417 (epa-sign-region, epa-encrypt-region):
4418 Use `epg-context-set-{passphrase,progress}-callback', instead of
4419 `setf'. This partially reverts commit 9e48a95c (bug#19150).
4420 Reported by José A. Romero L.
4422 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
4424 * net/eww.el (eww-restore-history):
4425 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
4427 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
4429 * net/newst-backend.el (newsticker--parse-atom-1.0):
4430 Handle embedded (x)html in summary node.
4432 2014-11-27 Sam Steingold <sds@gnu.org>
4434 * menu-bar.el (menu-bar-open): When everything else fails,
4435 use (mouse-menu-bar-map).
4437 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
4439 * net/newst-treeview.el (newsticker-groups-filename):
4440 Change default value to nil. Point out that variable is obsolete in doc
4442 (newsticker--treeview-load): Change wording of the questions the
4443 user is asked when `newsticker-groups-filename' is found to be
4444 used and we offer to read and remove the groups file. (Bug#19165)
4446 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
4448 * net/eww.el (eww): Record the new URL immediately, so that if the
4449 HTTP fetch fails, we have the right URL in the buffer.
4450 (eww-process-text-input): Don't shorten the input field if
4451 deleting at the last character (bug#19085).
4452 (eww-restore-history): Inhibit change functions while restoring
4454 (eww-process-text-input): Fix deletion at the start of the field, too.
4455 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
4456 (eww-process-text-input): Try to keep track of the size more reliably.
4458 * dom.el (dom-pp): New function.
4460 2014-11-27 Eli Zaretskii <eliz@gnu.org>
4462 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
4463 Don't assume --long is the default for "bzr log", always specify
4464 it explicitly, in case the user defined an alias for 'log' that
4465 uses some other format.
4467 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
4469 * progmodes/python.el (python-eldoc--get-doc-at-point):
4470 Strip shell output before returning. (bug#18794)
4472 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
4474 Fix indentation before `!=' and after `+='. Originally reported
4475 in https://github.com/mooz/js2-mode/issues/174.
4476 * progmodes/js.el (js--indent-operator-re): Make assignments and
4477 (in)equality operator a separate case.
4478 (js--continued-expression-p): Escape the second `+' in the regexp.
4480 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
4482 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
4484 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
4486 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
4487 when querying about new certificates.
4489 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
4491 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
4493 (dom-elements): Protect against non-text nodes.
4494 (dom-non-text-children): New function.
4496 * net/eww.el (eww-tag-title): Use `dom-text'.
4498 2014-11-26 Sam Steingold <sds@gnu.org>
4500 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
4502 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
4504 * net/eww.el (eww-highest-readability): More dom.el fixes.
4506 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
4508 * net/newst-backend.el (newsticker--parse-generic-items):
4509 Take care of UIDs when adding elements to cache.
4511 2014-11-26 Alan Mackenzie <acm@muc.de>
4513 Remove spurious reference to symbol category_properties.
4514 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
4516 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
4518 * net/eww.el: Use the new dom.el accessors throughout.
4520 * net/shr.el: Ditto.
4524 2014-11-26 Glenn Morris <rgm@gnu.org>
4526 * arc-mode.el (archive-visit-single-files): Add :version.
4528 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
4530 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
4532 (nsm-warnings-ok-p): The new version of this function always
4533 returned nil when everything was OK.
4535 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
4537 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
4539 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
4540 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
4542 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
4544 * emacs-lisp/byte-run.el (function-put): Match argument names to
4547 2014-11-24 Sam Steingold <sds@gnu.org>
4549 * vc/vc-hooks.el (vc-directory-exclusion-list):
4550 Fix a trivial typo (bug#19171).
4552 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
4554 * vc/vc-hooks.el (vc-state-base-face): Don't override
4557 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
4559 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
4560 (eww-process-text-input): Inhibit read only so that input fields
4561 don't get shortened (bug#19085).
4563 2014-11-24 Leo Liu <sdl.web@gmail.com>
4565 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
4567 * window.el (with-temp-buffer-window)
4568 (with-current-buffer-window, with-displayed-buffer-window):
4569 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
4570 * emacs-lisp/cl-lib.el (substring):
4571 * emacs-lisp/cl-extra.el (cl-getf): Use it.
4573 2014-11-24 Eli Zaretskii <eliz@gnu.org>
4575 * isearch.el (isearch-update): Don't assume
4576 pos-visible-in-window-p will return nil when point is hscrolled
4577 out of view. (Bug#19157)
4579 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
4581 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
4582 (eww-follow-link): Follow in new buffer in case of prefix
4583 argument, open externally with double prefix (bug#19130).
4585 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
4587 * net/eww.el (eww-display-html): Decode the document-defined charset.
4588 (eww): Pop to the *eww* buffer immediately after executing the
4589 `M-x eww' command to avoid having buffers pop up later.
4590 (eww-display-html): Don't pop the *eww* buffer.
4591 (eww-display-raw): Ditto.
4592 (eww-display-image): Ditto.
4593 (eww-follow-link): Make going to #targets in the page work again.
4595 2014-11-23 Ivan Shmakov <ivan@siamics.net>
4597 * net/eww.el (eww-suggest-uris): New variable.
4598 (eww-suggested-uris): New function.
4599 (eww): Default to URL under point.
4600 (eww-links-at-point): New function.
4602 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
4604 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
4606 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
4608 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
4610 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
4612 * net/eww.el (eww-set-character-encoding): New command and keystroke.
4613 (eww-display-raw): Use it (bug#16225).
4615 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
4617 * net/nsm.el (network-security-level): Rename from
4618 `nsm-security-level' and documented.
4620 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
4621 we're sending a password.
4623 * net/nsm.el: New file that implements a Network Security Manager.
4625 * net/network-stream.el (open-network-stream): Add a new
4626 :warn-unless-encrypted parameter.
4627 (network-stream-open-plain): Allow warning unless encrypted.
4628 (network-stream-open-starttls): Call the Network Security Manager.
4629 (network-stream-open-tls): Ditto.
4631 2014-11-23 Leo Liu <sdl.web@gmail.com>
4633 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
4634 (calendar-chinese-to-absolute-for-diary)
4635 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
4636 Handle leap months in Chinese calendar. (Bug#18953)
4638 2014-11-22 Alan Mackenzie <acm@muc.de>
4640 Fix error with `mark-defun' and "protected:" in C++ Mode.
4641 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
4642 return code of (label) from c-beginning-of-decl-1. (Bug#19134)
4644 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
4646 * net/newst-backend.el (newsticker--sentinel-work):
4647 Tell `libxml-parse-xml-region' to discard comments. (Bug#18787)
4649 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
4651 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
4652 (tramp-sh-handle-process-file): Propagate `process-environment'.
4654 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
4655 Tramp propagates environment variables now.
4657 2014-11-22 Eric S. Raymond <esr@snark>
4659 * vc/vc-filewise.el: New file to isolate code used only by the
4660 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
4661 live in vc.el and certainly not in vc-hooks.el.
4663 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
4664 This is preparatory to isolating all the 'master' functions
4665 used only by the file-oriented back ends. With this done first,
4666 the substantive diffs will be easier to read.
4668 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4670 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
4671 that is recognized by `help-mode'.
4673 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
4675 * desktop.el (desktop-create-buffer): Use activate-mark to set
4676 `mark-active' (bug#19058).
4678 2014-11-21 Eric S. Raymond <esr@snark>
4680 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
4683 2014-11-21 Eli Zaretskii <eliz@gnu.org>
4685 * vc/vc.el (vc-deduce-fileset): Support invocation from
4686 *vc-change-log* buffer. (Bug#19084)
4688 2014-11-13 Matthew Leach <matthew@mattleach.net>
4690 * arc-mode.el (archive-visit-single-files): New.
4691 (archive-mode): Visit file if archive contains a single file.
4694 2014-11-21 Ulrich Müller <ulm@gentoo.org>
4696 * vc/vc.el: Fix a typo in the commentary.
4698 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
4700 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
4701 testing and a real log-view mode.
4703 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
4704 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
4705 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
4706 checkout methods; where it matters (which is only in SCCS and RCS)
4707 files are always checked out editable. This may actually have
4708 been dynamically true already - it looks like the vc-next-action
4709 code evolved past visiting the other case. Tested with RCS.
4711 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
4712 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
4713 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
4714 argument from the backend checkin methods. Only the RCS, SCCS,
4715 and CVS back ends tried to do anything with it, and that code was
4716 never exercised. Chiseling away the cruft of decades...
4718 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
4720 * net/eww.el (eww-render): Remove a no-op :title setting.
4722 2014-11-19 Ivan Shmakov <ivan@siamics.net>
4724 * net/eww.el (eww-history-limit): New variable.
4725 (eww-save-history): Use it (bug#19105).
4726 (eww-reload): Reload the page in the right buffer.
4728 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
4730 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
4732 2014-11-19 Ivan Shmakov <ivan@siamics.net>
4734 * net/eww.el (eww-desktop-remove-duplicates)
4735 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
4736 (eww-mode): Set up desktop mode (bug#18010).
4737 (eww-desktop-data-save, eww-desktop-data-1)
4738 (eww-desktop-history-duplicate, eww-desktop-misc-data)
4739 (eww-restore-desktop): New functions.
4741 2014-11-19 Eli Zaretskii <eliz@gnu.org>
4743 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
4744 correct buffer. (Bug#19101)
4746 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4748 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
4749 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
4751 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
4753 * ido.el (ido-bury-buffer-at-head): New command.
4754 (ido-buffer-completion-map): Bind it to C-S-b.
4756 2014-11-18 Juri Linkov <juri@linkov.net>
4758 * simple.el (next-line-or-history-element): Wrap next-line
4759 in with-no-warnings.
4760 (previous-line-or-history-element): Wrap previous-line
4761 in with-no-warnings.
4763 2014-11-18 Juri Linkov <juri@linkov.net>
4765 * progmodes/grep.el (grep-compute-defaults):
4766 Compute grep-highlight-matches before its use.
4768 2014-11-18 Juri Linkov <juri@linkov.net>
4770 * replace.el (query-replace-from-to-separator): Turn defvar into
4771 defcustom. Wrap char-displayable-p in ignore-errors because an
4772 attempt to autoload char-displayable-p fails during pre-loading.
4773 Move (propertize "\0" ... 'separator t) out of customizable part
4774 to query-replace-read-from.
4775 (query-replace-read-from): Call custom-reevaluate-setting on
4776 query-replace-from-to-separator to reevaluate the separator
4777 depending on the return value of char-displayable-p.
4778 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
4780 2014-11-18 Juri Linkov <juri@linkov.net>
4782 * bindings.el (minibuffer-local-map): Rebind [down] from
4783 next-history-element to next-line-or-history-element, and [up]
4784 from previous-history-element to previous-line-or-history-element.
4786 * simple.el (next-line-or-history-element)
4787 (previous-line-or-history-element): New commands.
4788 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
4790 2014-11-18 Leo Liu <sdl.web@gmail.com>
4792 * emacs-lisp/nadvice.el (define-advice): New macro.
4793 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
4795 (lisp-font-lock-keywords-1): Add define-advice.
4797 2014-11-18 Daiki Ueno <ueno@gnu.org>
4799 * epg.el (epg-context): New slot EDIT-CALLBACK.
4800 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
4801 (epg-reset): Reset EDIT-CALLBACK of the context.
4802 (epg-start-edit-key): New function.
4803 (epg-edit-key): New function.
4805 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
4807 Port new time stamp handling to Emacs 23.2.
4808 This fix is for Gnus. Reported by Katsumi Yamaoka.
4809 * calendar/time-date.el (time-add, time-subtract, time-less-p):
4810 Use eval-and-compile, not eval-when-compile.
4812 2014-11-18 Daiki Ueno <ueno@gnu.org>
4814 * epg.el (epg-context-set-passphrase-callback)
4815 (epg-context-set-progress-callback): Check if the CALLBACK
4816 argument is a function, instead of a cons.
4818 2014-11-18 Daiki Ueno <ueno@gnu.org>
4820 * epa-file.el (epa-file-insert-file-contents)
4821 (epa-file-write-region): Remove redundant check of
4823 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4824 (epa-sign-region, epa-encrypt-region): Remove redundant check of
4827 2014-11-18 Daiki Ueno <ueno@gnu.org>
4829 * epa-file.el (epa-file-insert-file-contents): Don't show
4830 "*Error*" buffer if input file does not exist.
4831 Reported by Herbert J. Skuhra.
4833 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
4834 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
4836 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
4837 An alternative version of the patch from bug#13871.
4838 (c-operators): Add "alignof".
4839 (c-primitive-type-kwds): Add "char16_t", "char32_t".
4840 (c-type-modifier-kwds): Add "constexpr", "noexcept".
4841 (c-modifier-kwds): Add "thread_local".
4842 (c-constant-kwds): Add "nullptr".
4844 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
4846 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
4847 New variables for specifying tildify pattern and representation of
4848 a hard space -- a no-break space by default -- respectively.
4849 Being buffer-local they are much easier to handle than
4850 `tildify-string-alist' and `tildify-pattern-alist' respectively
4851 that have been used so far. They also works better with derived
4853 (tildify-foreach-region-function): New variable specifying
4854 a function determining portions of buffer that should be
4855 tildified. It allows major modes to create a filtering function
4856 more elaborate than a set of regular expressions. Initialized to
4857 `tildify--deprecated-ignore-evironments' by default to handle now
4858 deprecated `tildify-ignored-environments-alist' variable.
4859 (tildify--foreach-region): A new function that takes
4860 `tildify-foreach-region-function' into account and calls callback
4861 for regions of the buffer that should be tildified.
4862 (tildify-foreach-ignore-environments): A new function which can be
4863 partially applied and used as `tildify-foreach-region-function'.
4864 (tildify-ignored-environments-alist, tildify-pattern)
4865 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
4866 (tildify--find-env): Rename from `tildify-find-env' and mark as
4868 (tildify--deprecated-ignore-evironments): New function,
4869 immediately marked as obsolete, used to handle deprecated
4870 `tildify-ignored-environments-alist'.
4872 * textmodes/tex-mode.el (tex-common-initialization):
4873 Set `tildify-space-string' and `tildify-foreach-region-function'
4874 variables in all variants of TeX mode since `tildify-string-alist'
4875 and `tildify-ignored-environments-alist' are now empty by default.
4877 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
4878 If encoding supports it use no-break space instead of character
4879 entity; this changes previous default which used a numeric
4882 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
4883 If encoding does not support no-break space, use numeric reference;
4884 this changes previous default which used named entity (“ ”)
4887 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
4889 * calendar/icalendar.el (icalendar-export-alarms):
4890 New customizable variable. (Bug#5433)
4891 (icalendar-export-region): Export alarms as specified in
4892 `icalendar-export-alarms'.
4893 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
4894 New functions for exporting alarms.
4896 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
4898 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
4900 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
4902 Port new time stamp handling to old Emacs and to XEmacs.
4903 This is needed for Gnus, which copies time-date.el and which
4904 runs on older Emacs implementations.
4905 * calendar/time-date.el (with-decoded-time-value):
4906 Handle 'nil' and floating-point arg more compatibly with new Emacs.
4907 (encode-time-value, with-decoded-time-value):
4908 Obsolete only if new Emacs.
4909 (time-add, time-subtract, time-less-p): Define if not new Emacs.
4911 Improve time stamp handling, and be more consistent about it.
4912 This implements a suggestion made in:
4913 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
4914 Among other things, this means timer.el no longer needs to
4915 autoload the time-date module.
4916 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
4917 * arc-mode.el (archive-ar-summarize):
4918 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
4919 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
4920 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
4921 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
4922 * proced.el (proced-time-lessp):
4923 * timezone.el (timezone-time-from-absolute):
4924 * type-break.el (type-break-schedule, type-break-time-sum):
4925 Simplify by using new functionality.
4926 * calendar/cal-dst.el (calendar-next-time-zone-transition):
4927 Do not return time values in obsolete and undocumented (HI . LO)
4928 format; use (HI LO) instead.
4929 * calendar/time-date.el (with-decoded-time-value):
4930 Treat 'nil' as current time. This is mostly for XEmacs.
4931 (encode-time-value, with-decoded-time-value): Obsolete.
4932 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
4933 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
4934 * ldefs-boot.el: Update to match new time-date.el
4935 * proced.el: Do not require time-date.
4937 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4939 * net/eww.el (eww-mode): Make the buffer read-only.
4940 (eww-form-text): Inhibit read-only-ness in text input fields
4943 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4945 * simple.el (execute-extended-command--shorter): Cut search here.
4946 (execute-extended-command): Instead of here.
4948 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4950 * progmodes/python.el (python-mode): Avoid use of set-local to
4951 keep Emacs 24.x compatibility.
4953 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4955 * net/shr.el (shr): Move to the new defgroup `web'.
4957 * net/eww.el (eww): Ditto.
4959 * simple.el (execute-extended-command): Don't show the help
4960 message if the binding isn't significantly shorter than the
4961 M-x command the user typed (bug#19013).
4963 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
4965 * calendar/icalendar.el (icalendar--convert-tz-offset):
4966 Return complete cons when offsets of standard time and daylight saving
4968 (icalendar-export-region): Fix unbound variable warning.
4970 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4972 * progmodes/python.el (run-python): Allow CMD to be optional and
4973 default it to a safe command, even for Windows. (bug#18596)
4975 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4977 * progmodes/python.el (python-shell-calculate-command):
4978 Rename from python-shell-parse-command. Cleanup.
4979 (run-python, run-python-internal): Use it.
4980 (python-shell-calculate-pythonpath): Rename from
4981 python-new-pythonpath.
4982 (python-shell-calculate-process-environment): Use it.
4983 (python-shell-calculate-exec-path): Add comment.
4985 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
4987 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
4990 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
4992 * version.el (emacs-repository-get-version): Use git rev-parse
4995 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4997 * progmodes/python.el (python-indent-calculate-levels):
4998 Fix indentation behavior multiline dedenter statement. (Bug#18432)
5000 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
5002 * progmodes/python.el (python-indent-region):
5003 Use python-indent-line and skip special cases. (Bug#18843)
5005 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
5007 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
5008 envelope-from when reporting through sendmail (bug#19054).
5010 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
5012 Add faces for the VC modeline state indicator.
5014 (vc-state-faces, vc-state-base-face)
5015 (vc-up-to-date-state, vc-needs-update-state)
5016 (vc-locked-state, vc-locally-added-state)
5017 (vc-conflict-state, vc-removed-state)
5018 (vc-missing-state, vc-edited-state):
5020 (vc-default-mode-line-string): Use them
5022 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
5024 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
5026 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
5028 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
5030 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
5032 * progmodes/python.el (python-eldoc-setup-code): Enhance string
5033 type checks, simplify printing. (Bug#18962)
5035 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
5037 * progmodes/python.el (python-shell-font-lock-kill-buffer):
5038 (python-shell-font-lock-with-font-lock-buffer)
5039 (python-shell-get-buffer, python-ffap-module-path):
5040 Use `derived-mode-p' instead of equality test on `major-mode'.
5042 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
5044 * progmodes/python.el (python-shell-virtualenv-root): Rename from
5045 python-shell-virtualenv-path.
5046 (python-shell-internal-get-process-name)
5047 (python-shell-calculate-process-environment)
5048 (python-shell-calculate-exec-path): Use it.
5050 2014-11-14 Eli Zaretskii <eliz@gnu.org>
5052 * bindings.el (search-map): Fix last change: don't use 'kbd' in
5053 bindings.el, since it is not yet loaded when bindings.el is
5056 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
5058 * progmodes/python.el (python-shell-completion-get-completions):
5061 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
5063 * net/eww.el (eww-render): Don't set the title to the URL.
5065 2014-11-13 Ulrich Müller <ulm@gentoo.org>
5067 * version.el (emacs-repository-get-version): Call `git log'
5068 command with proper format argument (bug#19049).
5070 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
5072 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
5074 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
5076 * net/eww.el (eww-search-words): New command (bug#16258).
5078 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
5080 * net/shr.el (shr-inhibit-images): Add a doc string.
5082 * net/eww.el (eww-after-render-hook): New variable.
5083 (eww-render): Use it.
5085 * net/shr.el (shr-descend): Don't descend further than
5086 `max-specpdl-size' allows (bug#16587).
5087 (shr-depth): New variable.
5088 (shr-warning): New variable.
5090 2014-11-13 Ivan Shmakov <ivan@siamics.net>
5092 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
5093 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
5095 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
5097 * net/eww.el (eww): Add comment to clarify.
5099 * net/shr.el (shr-parse-image-data): Remove blocked bits from
5100 external SVG images.
5101 (shr-tag-object): Display images in <object> forms (bug#16244).
5102 (shr-tag-table): Also insert <objects> after the tables.
5104 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
5106 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
5108 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
5110 * net/eww.el (eww-form-file): Fix version number.
5112 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
5114 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
5116 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
5118 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
5119 (eww-submit-file): New key map of file upload.
5120 (eww-form-file): New file upload button and file name context.
5121 (eww-select-file): Select file and display selected file name.
5122 (eww-tag-input): Handle input tag of file type.
5123 (eww-update-field): Add point offset.
5124 (eww-submit): Add submit with multipart/form-data.
5126 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
5128 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
5129 Allow taking a buffer to render data in. This allows using several
5130 eww buffers (bug#16211).
5132 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
5134 * net/eww.el (eww-download-callback): Save only the file contents,
5137 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
5139 * net/eww.el (eww-data): New plist to store all the data relevant
5140 to a single page, used throughout the file instead of the
5141 variables `eww-current-url', `eww-current-dom',
5142 `eww-current-source', and `eww-current-title'.
5143 (eww-readable): Copy over pertinent data from the parent page.
5144 (eww-save-history): Don't let the history grow infinitely.
5146 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
5147 `eww-up-url', `eww-home-url', `eww-start-url' and
5148 `eww-contents-url' and put the data into the `eww-data' plist.
5149 This allow restoring these values after going back in the history.
5151 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
5153 Allow VTIMEZONE where daylight and standard time zones are equal.
5154 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
5155 * calendar/icalendar.el (icalendar--convert-tz-offset):
5156 Support timezone without daylight saving time.
5158 2014-11-10 Glenn Morris <rgm@gnu.org>
5160 * startup.el (command-line): Handle nil elements in load-path.
5162 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
5164 * help.el (view-lossage): Include the actual commands run.
5166 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
5168 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
5169 no state is specified. (Bug#18964)
5171 2014-11-09 Eric Ludlam <zappo@gnu.org>
5173 * emacs-lisp/eieio-custom.el (eieio-customize-object):
5174 Set eieio-cog (current group) to g, which is an improved form of input
5177 2014-11-09 Juri Linkov <juri@jurta.org>
5179 * isearch.el (isearch-message-prefix): Show "Multi-file" and
5180 "Multi-buffer" instead of "Multi". (Bug#13592)
5182 * misearch.el (multi-isearch-file-list):
5183 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
5184 (multi-isearch-end): Reset multi-isearch-buffer-list and
5185 multi-isearch-file-list to nil.
5187 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
5189 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
5190 Don't call byte-compile-preprocess since the result will go through
5192 (byte-compile-output-docform): Handle uninterned `name' correctly.
5193 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
5194 to circumvent byte-compiler bug.
5196 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
5197 (macroexp--compiler-macro): Remove left-over debug code.
5199 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
5201 2014-11-08 Juri Linkov <juri@jurta.org>
5203 * simple.el (shell-command): Use buffer-name when output-buffer is
5204 a buffer. (Bug#18096)
5206 2014-11-08 Juri Linkov <juri@jurta.org>
5208 * minibuffer.el (minibuffer-completion-help): Compare this-command
5209 with completion-at-point. (Bug#17809)
5211 2014-11-08 Glenn Morris <rgm@gnu.org>
5213 * emacs-lisp/bytecomp.el (byte-compile-report-error):
5214 Allow the argument to be a string. Due to the vague doc,
5215 it was already being used this way.
5217 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
5219 * net/tramp.el (tramp-check-cached-permissions): Include hop in
5220 the constructed Tramp file name. (Bug#18943)
5222 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
5224 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
5226 (cua-set-mark, cua--post-command-handler-1):
5227 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
5229 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
5231 * files.el (file-name-non-special): Wrap the call of
5232 `insert-file-contents' by `unwind-protect', in order to set the
5233 buffer's file name anyway. (Bug#18891)
5235 2014-11-08 Alan Mackenzie <acm@muc.de>
5237 Fix wrong bound to c-font-lock-declarators.
5238 * progmodes/cc-fonts.el (c-font-lock-declarations):
5239 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
5240 the buffer is sometimes narrowed to less than "limit" (e.g., in
5241 the presence of macros). (Bug#18948)
5243 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
5245 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
5246 only when message appeared in minibuffer. (Bug#18891)
5248 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
5249 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
5250 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
5253 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
5255 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
5256 Don't compile before eval in `eval-and-compile'.
5257 (byte-compile-arglist-warn): Add check for defining macros after their
5258 first use. Check call use even if the function is fboundp.
5260 2014-11-08 Richard Stallman <rms@gnu.org>
5262 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
5263 Check more carefully for mime-part specified character set.
5264 Check for mime-part Content Transfer Encoding.
5265 Notify if no armor found.
5267 2014-11-08 Martin Rudalics <rudalics@gmx.at>
5269 * faces.el (face-set-after-frame-default): Enable running
5270 `window-configuration-change-hook'.
5272 2014-11-07 Juri Linkov <juri@jurta.org>
5274 * replace.el: History for query replace pairs.
5275 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
5276 (query-replace-from-to-separator): New variable.
5277 (query-replace-read-from): Let-bind query-replace-from-to-history
5278 to a list of FROM-TO strings created from query-replace-defaults
5279 and separated by query-replace-from-to-separator. Use it as
5280 the history while reading from the minibuffer. Split the returned
5281 string by the separator to get FROM and TO parts, and add them
5282 to the history variables.
5283 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
5284 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
5285 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
5287 * isearch.el (isearch-text-char-description): Keep characters
5288 intact and put formatted strings with the `display' property.
5290 2014-11-07 Martin Rudalics <rudalics@gmx.at>
5292 * cus-start.el (frame-resize-pixelwise): Fix group.
5293 (frame-inhibit-implied-resize): Add entry.
5295 2014-11-07 Daiki Ueno <ueno@gnu.org>
5297 * epa.el (epa-pinentry-mode): New user option.
5298 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
5299 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
5300 * epa-file.el (epa-file-insert-file-contents)
5301 (epa-file-write-region): Respect epa-pinentry-mode.
5303 2014-11-07 Daiki Ueno <ueno@gnu.org>
5305 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
5306 (bug#18979). Reported by Hideki Saito.
5308 2014-11-06 Daiki Ueno <ueno@gnu.org>
5310 * emacs-lisp/package.el (package--display-verify-error): New function.
5311 (package--check-signature): Use it to display output sent to stderr.
5313 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
5315 * subr.el (pop): Don't call the getter twice (bug#18968).
5317 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
5320 2014-11-06 Daiki Ueno <ueno@gnu.org>
5322 * epa.el (epa-error-buffer): New variable.
5323 (epa-display-error): New function.
5324 (epa-decrypt-file, epa-verify-file, epa-verify-region)
5325 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
5326 (epa-sign-file, epa-sign-region, epa-encrypt-region)
5327 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
5328 Use setf instead of epg-context-set-*.
5329 * epa-file.el (epa-file-insert-file-contents):
5330 Use epa-display-error instead of epa-display-info. Mimic the behavior
5331 of jka-compr when decryption program is not found.
5332 (epa-file-write-region): Use epa-display-error instead of
5335 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
5337 * vc/vc.el (vc-region-history): New command.
5338 (vc-print-log-internal): Use cl-some.
5340 * vc/vc-git.el (vc-git-region-history): New function.
5341 (vc-git-region-history-mode-map)
5342 (vc-git--log-view-long-font-lock-keywords)
5343 (vc-git-region-history-font-lock-keywords): New vars.
5344 (vc-git-region-history-font-lock): New function.
5345 (vc-git-region-history-mode): New major mode.
5347 2014-11-05 Tassilo Horn <tsdh@gnu.org>
5349 * net/eww.el (subr-x): Require subr-x at compile-time because eww
5352 2014-11-05 Daiki Ueno <ueno@gnu.org>
5354 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
5355 (epg-error-output): New buffer-local variable.
5356 (epg--start): Initialize epg-error-output.
5357 (epg--process-filter): Record output lines sent to stderr, in
5359 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
5361 * epa-file.el (epa-file-insert-file-contents): On error, display
5362 output sent to stderr.
5363 (epa-file-write-region): Likewise.
5365 2014-11-05 Eli Zaretskii <eliz@gnu.org>
5367 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
5368 returned by load-average.
5370 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
5372 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
5373 a local copy; setting `inhibit-file-name-handlers' proper might be
5374 more performant. (Bug#18751)
5376 2014-11-05 Glenn Morris <rgm@gnu.org>
5378 * mail/emacsbug.el (report-emacs-bug): No longer include
5379 recent-keys in the report. (Bug#18900)
5381 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
5383 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
5385 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
5387 * net/eww.el (eww): Trim URL with `string-trim'.
5388 Suggested by Vibhav Pant <vibhavp@gmail.com>.
5390 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
5392 * net/eww.el (eww-score-readability): Don't count comments positively.
5394 * net/shr.el (shr-retransform-dom): Typo fix.
5396 * net/eww.el (eww-score-readability): Parse SVC images correctly.
5397 (eww-display-html): Don't leave point inside forms.
5399 * net/shr.el: Ditto.
5401 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
5403 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
5404 edebug-prin1-to-string already handles circularity.
5406 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
5407 autoloading when specified as a lambda.
5409 * simple.el (execute-extended-command--last-typed): New var.
5410 (read-extended-command): Set it.
5411 Don't complete obsolete commands.
5412 (execute-extended-command--shorter-1)
5413 (execute-extended-command--shorter): New functions.
5414 (execute-extended-command): Use them to suggest shorter names.
5415 (indicate-copied-region, deactivate-mark): Use region-active-p.
5417 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
5419 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
5420 local copy of FILENAME, when it is remote. (Bug#18751)
5422 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
5423 an error when the command fails; the return code must indicate.
5424 (tramp-adb-send-command-and-check): Fix docstring.
5426 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
5428 * net/shr.el (shr-retransform-dom): Don't ignore elements that
5429 have no children like <br />.
5431 * net/eww.el (eww-display-html): Clear `url-queue'.
5432 (eww-display-pdf): New function.
5433 (eww-render): Display PDFs with `doc-view'.
5434 (url-queue): Require `url-queue' to avoid compilation warning.
5435 (eww-colorize-region): Remove duplicate function.
5436 (eww-tag-body): Use `shr-colorize-region'.
5438 2014-11-03 Yoni Rabkin <yrk@gnu.org>
5440 * net/eww.el (eww-list-bookmarks): Autoload.
5442 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
5444 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
5446 * net/eww.el (eww-display-html): The charset is called `utf-8',
5448 (eww-readable): Decode the saved text correctly.
5449 (eww-readable): Save the history before displaying so that we can
5450 go back to the non-readable version.
5451 (eww-display-html): Don't try to decode the text if we've been
5452 passed in a pre-parsed DOM.
5453 (eww-tag-title): Remove newlines and extra whitespace from the
5456 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
5458 * net/eww.el (eww-readable): New command and keystroke.
5460 * net/shr.el (shr-retransform-dom): New function.
5462 * net/eww.el (eww-display-html): Set `eww-current-source' in the
5464 (eww-view-source): Use it.
5466 2014-11-02 Ivan Shmakov <ivan@siamics.net>
5468 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
5471 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
5473 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
5475 2014-11-02 Ivan Shmakov <ivan@siamics.net>
5477 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
5479 2014-11-02 Ivan Shmakov <ivan@siamics.net>
5481 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
5484 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
5486 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
5488 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
5490 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
5492 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
5494 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
5496 2014-11-01 Michael R. Mauger <michael@mauger.com>
5498 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
5499 syntax, add new keywords, and parse longer keywords first.
5500 (sql-redirect-one): Protect against empty command.
5501 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
5504 2014-11-01 Michael R. Mauger <michael@mauger.com>
5506 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
5507 Bug#16814 with let-bind of comint-input-ring variables around read
5510 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
5512 * net/tramp-cache.el (tramp-get-file-property)
5513 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
5514 and `tramp-cache-set-count-*' are bound. Otherwise, there might
5515 be compiler warnings.
5517 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
5518 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
5520 2014-11-01 Eli Zaretskii <eliz@gnu.org>
5522 * progmodes/compile.el (compilation-mode): Turn off deferred
5523 fontifications locally. (Bug#18856)
5525 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
5527 * net/tramp-sh.el (tramp-send-command): Fix the case where the
5528 remote-echo connection property is non-nil (bug#18858).
5530 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
5532 * simple.el (newline): Add assertions to try and help catch bug#18913.
5534 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
5536 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
5538 2014-11-01 Kim F. Storm <storm@cua.dk>
5540 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
5541 * delsel.el (delete-selection-save-to-register)
5542 (delsel--replace-text-or-position): New vars.
5543 (delete-active-region): Use them.
5544 (delete-selection-repeat-replace-region): New command, moved from
5546 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
5547 (cua-repeat-replace-region): Move command to delsel.el.
5548 (cua--init-keymaps): Update binding accordingly.
5549 (cua-mode): Set delete-selection-save-to-register.
5551 2014-11-01 Alan Mackenzie <acm@muc.de>
5553 Make blink-parens work with a closing template delimiter.
5554 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
5555 before calling blink-paren-function, so as to apply syntax-table
5556 properties to the ">".
5558 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
5560 * select.el (gui-get-selection): Comment: data-type ignored on NS.
5562 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
5564 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
5565 (macroexp--expand-all): Unrelated tweaks.
5567 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
5569 2014-10-30 Glenn Morris <rgm@gnu.org>
5571 * startup.el (command-line): Remove pointless attempt to avoid
5572 statting the file-system (which expand-file-name doesn't do).
5574 2014-10-30 Daniel Colascione <dancol@dancol.org>
5576 Add "enum class" support to C++ mode.
5577 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
5578 (c-after-brace-list-key): New language consts/variables.
5579 * progmodes/cc-engine.el (c-looking-at-decl-block):
5580 Exclude spurious match of "enum struct" from decl-block recognition.
5581 (c-backward-colon-prefixed-type): New function.
5582 (c-backward-over-enum-header): Call above function to extend
5583 recognition of enum structure.
5585 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
5587 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
5589 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
5591 2014-10-30 Eli Zaretskii <eliz@gnu.org>
5593 * progmodes/compile.el (compilation-start):
5594 If compilation-scroll-output is non-nil, don't force window-start of
5595 the compilation buffer to be at beginning of buffer. (Bug#18874)
5597 * startup.el (fancy-about-text): Read the entire tutorial, not
5598 just its first 256 bytes. (Bug#18760)
5600 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
5602 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
5603 * emacs-lisp/cl-extra.el: Add missing provide.
5605 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
5606 all args are copyable (bug#18767).
5607 (=, <, >, <=, >=): Re-enable the optimization.
5609 2014-10-29 Glenn Morris <rgm@gnu.org>
5611 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
5613 * version.el (emacs-bzr-version, emacs-bzr-get-version):
5614 Revert 2014-10-26 change.
5616 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
5618 Simplify use of current-time and friends.
5619 * allout-widgets.el (allout-widgets-hook-error-handler):
5620 * calendar/appt.el (appt-display-message):
5621 * calendar/icalendar.el (icalendar--convert-float-to-ical):
5622 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
5623 (timeclock-last-period, timeclock-day-base):
5624 * eshell/em-ls.el (eshell-ls-file):
5625 * eshell/esh-util.el (eshell-parse-ange-ls):
5626 * generic-x.el (named-database-print-serial):
5627 * net/newst-backend.el (newsticker--get-news-by-url-callback)
5628 (newsticker-get-news, newsticker--sentinel-work)
5629 (newsticker--image-get, newsticker--image-sentinel):
5630 * net/tramp-sh.el (tramp-get-remote-touch):
5631 * progmodes/opascal.el (opascal-debug-log):
5632 * textmodes/remember.el (remember-mail-date)
5633 (remember-store-in-files):
5634 * vc/vc-annotate.el (vc-annotate-display-autoscale)
5635 (vc-default-annotate-current-time):
5636 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
5637 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
5638 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
5639 Omit unnecessary call to current-time.
5640 * calendar/time-date.el (time-to-seconds) [!float-time]:
5641 * vc/vc-annotate.el (vc-annotate-convert-time):
5642 Use current time if arg is nil, to be compatible with float-time.
5643 (time-date--day-in-year): New function, with most of the guts of
5644 the old time-to-day-in-year.
5645 (time-to-day-in-year): Use it.
5646 (time-to-days): Use it, to avoid decoding the same time stamp twice.
5647 * calendar/timeclock.el (timeclock-time-to-date):
5648 Arg is now optional, like current-time-string.
5649 (timeclock-update-mode-line):
5650 Don't call current-time twice to get the current time stamp,
5651 as this can lead to inconsistent results.
5652 * completion.el (cmpl-hours-since-origin):
5653 * ido.el (ido-time-stamp):
5654 * vc/vc-annotate.el (vc-annotate-convert-time):
5655 Simplify by using float-time.
5656 * completion.el (save-completions-to-file):
5657 Rename local var to avoid confusion.
5658 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
5659 time-to-seconds now behaves like float-time with respect to nil arg.
5660 * subr.el (progress-reporter-do-update):
5661 Don't call float-time unless needed.
5663 2014-10-29 Leo Liu <sdl.web@gmail.com>
5665 * net/rcirc.el (rcirc-fill-column): Use function.
5666 (rcirc-markup-fill): Remove adjustment.
5668 2014-10-28 Christopher Schmidt <ch@ristopher.com>
5670 * calc/calc.el (quick-calc):
5671 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
5673 2014-10-28 Sam Steingold <sds@gnu.org>
5675 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
5676 the sake of `window-body-width' (in addition to `frame-width').
5678 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
5680 * version.el: Fix some fallback values to conform to the actual
5683 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
5685 * Makefile.in: Change some production names so they're neutral
5686 about the repository type.
5688 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
5690 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
5691 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
5692 during initialization. (Bug#18774)
5694 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
5696 * ses.el (macroexp): Add require for this package, so that
5697 function `ses--cell' gets macroexp-quote --- this change was
5698 supposed to be in my previous commit, but left out by mistake.
5699 (ses--cell): Do not make formula a macroexp-quote of value when
5700 value, not formula, is *skip*.
5702 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
5704 * ses.el (macroexp): Add require for this package, so that function
5705 `ses--cell gets macroexp-quote.
5706 (ses--cell): Makes formula a macroexp-quote of value when formula
5707 is nil. The rationale of this changr is to allow in the future
5708 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
5709 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
5710 reference list REFLIST would be re-computed after load --- thus
5711 trading off load time against file size.
5713 * emacs-lisp/package.el (package--alist-to-plist-args):
5714 Use macroexp-quote instead of a lambda expression which has the same
5715 content as macroexp-quote.
5716 (macroexp): Add require for this package, so that function
5717 `package--alist-to-plist-args' gets macroexp-quote.
5719 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
5721 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
5723 * term/ns-win.el (ns-store-cut-buffer-internal)
5724 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
5726 2014-10-24 Martin Rudalics <rudalics@gmx.at>
5728 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
5729 Calculate increment from last position instead of window edge.
5730 Add right- and bottom-divider bindings to transient map.
5732 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
5734 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
5735 even if :predicate was nil, for the benefit of typep.
5736 Record the name of the predicate for typep's use.
5737 (cl--make-type-test): Use pcase. Obey new
5738 cl-deftype-satisfies property.
5740 * epg.el: Use cl-defstruct.
5741 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
5742 (epg-data-string): Define via cl-defstruct.
5743 (epg--gv-nreverse): New macro.
5744 (epg-context--make): New constructor (provided vi cl-defstruct).
5745 (epg-make-context): Rewrite using it.
5746 (epg-context-protocol, epg-context-program)
5747 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
5748 (epg-context-include-certs, epg-context-cipher-algorithm)
5749 (epg-context-digest-algorithm, epg-context-compress-algorithm)
5750 (epg-context-passphrase-callback, epg-context-progress-callback)
5751 (epg-context-signers, epg-context-sig-notations, epg-context-process)
5752 (epg-context-output-file, epg-context-result, epg-context-operation)
5753 (epg-context-pinentry-mode): Define using cl-defstruct.
5754 (epg-context-set-protocol, epg-context-set-program)
5755 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
5756 (epg-context-set-digest-algorithm)
5757 (epg-context-set-sig-notations, epg-context-set-process)
5758 (epg-context-set-output-file, epg-context-set-result)
5759 (epg-context-set-operation, epg-context-set-pinentry-mode)
5760 (epg-context-set-compress-algorithm): Remove. Use setf instead.
5761 (epg-context-set-armor, epg-context-set-textmode)
5762 (epg-context-set-signers): Redefine using setf
5763 and declare as obsolete.
5764 (epg-context-set-passphrase-callback)
5765 (epg-context-set-progress-callback): Use setf.
5766 (epg-signature-notations): Rename from epg-sig-notations.
5767 (epg-make-signature, epg-signature-status, epg-signature-key-id)
5768 (epg-signature-validity, epg-signature-fingerprint)
5769 (epg-signature-creation-time, epg-signature-expiration-time)
5770 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
5771 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
5772 (epg-signature-set-status, epg-signature-set-key-id)
5773 (epg-signature-set-validity, epg-signature-set-fingerprint)
5774 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
5775 (epg-signature-set-pubkey-algorithm)
5776 (epg-signature-set-digest-algorithm, epg-signature-set-class)
5777 (epg-signature-set-version, epg-signature-set-notations): Remove.
5779 (epg-make-new-signature, epg-new-signature-type)
5780 (epg-new-signature-pubkey-algorithm)
5781 (epg-new-signature-digest-algorithm, epg-new-signature-class)
5782 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
5783 Define using cl-defstruct.
5784 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
5785 (epg-key-user-id-list): Define using cl-defstruct.
5786 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
5788 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
5789 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
5790 (epg-sub-key-id, epg-sub-key-creation-time)
5791 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
5793 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
5794 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
5795 (epg-user-id-signature-list): Define using cl-defstruct.
5796 (epg-user-id-set-signature-list): Remove. Use setf instead.
5797 (epg-make-key-signature, epg-key-signature-validity)
5798 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
5799 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
5800 (epg-key-signature-user-id, epg-key-signature-class)
5801 (epg-key-signature-exportable-p): Define using cl-defstruct.
5802 (epg-make-sig-notation, epg-sig-notation-name)
5803 (epg-sig-notation-value, epg-sig-notation-human-readable)
5804 (epg-sig-notation-critical): Define using cl-defstruct.
5805 (epg-sig-notation-set-value): Remove. Use setf instead.
5806 (epg-make-import-status, epg-import-status-fingerprint)
5807 (epg-import-status-reason, epg-import-status-new)
5808 (epg-import-status-user-id, epg-import-status-signature)
5809 (epg-import-status-sub-key, epg-import-status-secret): Define using
5811 (epg-make-import-result, epg-import-result-considered)
5812 (epg-import-result-no-user-id, epg-import-result-imported)
5813 (epg-import-result-imported-rsa, epg-import-result-unchanged)
5814 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
5815 (epg-import-result-new-signatures, epg-import-result-new-revocations)
5816 (epg-import-result-secret-read, epg-import-result-secret-imported)
5817 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
5818 (epg-import-result-imports): Define using cl-defstruct.
5820 * emacs-lisp/package.el: Require EPG during macroexpansion.
5821 (package--check-signature, package-import-keyring): Use setf instead of
5822 epg-context-set-home-directory.
5824 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
5826 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
5828 2014-10-23 Leo Liu <sdl.web@gmail.com>
5830 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
5831 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
5832 (cfengine3-current-defun): New function.
5833 (cfengine3-mode): Set add-log-current-defun-function.
5835 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
5837 * select.el: Use lexical-binding.
5838 (gui-set-selection): Provide an implementation for non-GUI frames
5840 * term/x-win.el: Use lexical-binding.
5841 (x-clipboard-yank): Fix up missed renamings.
5842 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
5843 (w32--set-selection): Fix up var names.
5844 * term/pc-win.el: Use lexical-binding.
5845 (w16-selection-exists-p): Silence compiler warning.
5846 (w16-selection-owner-p): Fix up missed renamings.
5848 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
5850 * frame.el (frame-notice-user-settings): Fix excessive quoting.
5852 2014-10-22 Tassilo Horn <tsdh@gnu.org>
5854 * doc-view.el (doc-view-open-text): View the document's plain text
5855 in the current buffer instead of a new one.
5856 (doc-view-toggle-display): Handle the case where the current
5857 buffer contains the plain text contents of the document.
5858 (doc-view-initiate-display): Don't switch to fallback mode if the
5859 user wants to view the doc's plain text.
5860 (doc-view-set-doc-type): Use assoc-string instead of
5863 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5865 * subr.el (read-key): Fix clicks on the mode-line.
5866 (set-transient-map): Return exit function.
5868 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
5869 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
5870 (mouse-yank-secondary): Use gui-get-selection.
5871 (mouse--down-1-maybe-follows-link): Use read-key.
5873 * xt-mouse.el: Add `event-kind' property on the fly from
5874 xterm-mouse-translate-1 rather than statically at the outset.
5876 2014-10-21 Daniel Colascione <dancol@dancol.org>
5878 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
5879 change window configuration when we turn it off.
5881 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5883 Get rid of backend-dependent selection-handling functions for kill/yank
5884 and make it generic instead by relying on the lower-level selection
5885 management functions.
5887 * select.el (select-enable-clipboard): Rename from
5888 gui-select-enable-clipboard.
5889 (select-enable-primary): Move from x-win.el and rename from
5890 x-select-enable-primary.
5891 (gui-last-selected-text): Remove.
5892 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
5894 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
5895 (gui-select-text-alist, gui-selection-value-alist): Remove.
5896 (x-select-request-type): Move from x-win.el.
5897 (gui--selection-value-internal): New function, taken from x-win's
5898 x-selection-value-internal.
5899 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
5900 (gui-set-selection-alist): Rename from gui-own-selection-alist and
5901 extend it to handle a nil value as a "disown" request.
5902 (gui-disown-selection-alist): Remove.
5903 (xselect-convert-to-delete): Adjust accordingly.
5904 (gui-set-selection): Simplify accordingly as well. Use dotimes.
5906 * term/x-win.el (x-last-selected-text-primary)
5907 (x-select-enable-primary): Remove (moved to select.el).
5908 (x-select-request-type): Move to select.el.
5909 (x-selection-value-internal, x--selection-value): Remove functions.
5910 (gui-selection-value, gui-select-text): Remove moethods.
5911 (gui-set-selection): Merge own and disown methods.
5913 * term/w32-win.el (w32--select-text, w32--get-selection-value):
5914 Delete function (move functionality into w32--set-selection and
5915 w32--get-selection).
5916 (gui-select-text, gui-selection-value): Don't define methods.
5917 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
5919 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
5921 (gui-selection-exists-p): Adjust to new name of C primitive.
5923 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
5924 test of gui-select-enable-clipboard, to make it usable as
5925 a gui-get-selection method.
5926 (gui-selection-exists-p): Adjust to new name of C primitive.
5927 (gui-set-selection): Merge own and disown methods.
5928 (gui-select-text, gui-selection-value): Delete methods.
5929 (w16--select-text): Delete function.
5931 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
5932 (ns-selection-value): Remove functions.
5933 (gui-select-text, gui-selection-value): Don't define method any more.
5934 (gui-set-selection): Merge the old own and disown methods.
5935 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
5936 underlying C primitive.
5938 * startup.el (command-line): Adjust now that `gui-method' expects nil
5941 * frame.el (gui-method): Use window-system rather than framep.
5942 (gui-method-declare): The tty case is now nil rather than t.
5943 (make-frame): Adjust accordingly.
5945 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5947 * net/newst-reader.el (newsticker--image-read): Simplify.
5948 (newsticker--icon-read): Use dolist and fix free var error.
5950 * imenu.el (imenu--menubar-keymap): New var.
5951 (imenu-add-to-menubar): Set it to remember the keymap we used.
5952 (imenu-update-menubar): Use it instead of asking lookup-key.
5954 * obsolete/cc-compat.el: Make obsolete (bug#18561).
5956 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
5958 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5961 2014-10-21 Glenn Morris <rgm@gnu.org>
5963 * Merge in all changes up to version 24.4 release.
5965 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5967 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5970 2014-10-20 Glenn Morris <rgm@gnu.org>
5972 * Merge in all changes up to 24.4 release.
5974 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
5976 * net/newst-backend.el
5977 (newsticker--image-download-by-url-callback): Make this function
5978 actually work: Check status properly, then save image.
5980 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5982 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
5984 (mouse-drag-line): Unless there's no actual mouse, use the event's
5987 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5989 * textmodes/css-mode.el (scss-mode): New major-mode.
5990 (css-mode-syntax-table): Use d style comment, to ease the scss case.
5991 (css-ident-re): Allow things like @-moz-keyframes.
5992 (scss--hash-re): New const.
5993 (css--font-lock-keywords): New function, extracted from
5994 css-font-lock-keywords.
5996 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
5998 * net/newst-backend.el: Require url-parse.
5999 (newsticker--get-news-by-wget): Store feed name as process property.
6000 (newsticker--sentinel): Read feed name from process property.
6001 (newsticker--sentinel-work): Rename argument name to feed-name.
6002 Rename variable imageurl to image-url. Pick icon url from Atom
6003 1.0 data. Launch download of feed icon.
6004 (newsticker--get-icon-url-atom-1.0): New.
6006 (newsticker--unxml-node)
6007 (newsticker--unxml-attribute): Documentation.
6008 (newsticker--icons-dir): New.
6009 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
6010 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
6011 (newsticker--image-download-by-wget): New. Use process properties
6012 for storing informations.
6013 (newsticker--image-sentinel): Read informations from process properties.
6014 (newsticker--image-save)
6015 (newsticker--image-remove)
6016 (newsticker--image-download-by-url)
6017 (newsticker--image-download-by-url-callback): New.
6018 (newsticker-opml-export): Handle url list entries containing a
6019 function instead of an url string.
6021 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
6022 (newsticker--print-extra-elements)
6023 (newsticker--do-print-extra-element):
6024 Documentation (newsticker--image-read): Optionally limit image height.
6025 Use imagemagick if possible.
6026 (newsticker--icon-read): New.
6028 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
6029 (newsticker--treeview-tree-expand): Use feed icons in treeview.
6030 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
6031 (newsticker--tree-widget-leaf-icon): Use feed icon.
6033 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
6035 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
6036 Use help-function-arglist instead.
6038 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
6039 (eieio--with-scoped-class): Use `declare'.
6040 (eieio-defclass): Remove compatibility code.
6041 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
6042 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
6044 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
6046 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
6048 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
6049 replacements to stock names before stock names in a list.
6050 Cdr may be a list, each name is tried in turn until one is found.
6052 2014-10-18 Alan Mackenzie <acm@muc.de>
6054 Check that a "macro" found near point-min isn't a ## operator.
6055 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
6056 (c-beginning-of-macro): Use the above new function. (Bug#18749)
6058 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
6060 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
6061 correct data to `gnutls-boot' (Bug#18664).
6062 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
6064 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
6066 * whitespace.el (whitespace-style, whitespace-big-indent)
6067 (whitespace-big-indent-regexp, whitespace-style-value-list)
6068 (whitespace-toggle-option-alist, whitespace-interactive-char)
6069 (whitespace-toggle-options)
6070 (global-whitespace-toggle-options, whitespace-help-text)
6071 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
6072 style to `whitespace-mode' to indicate that the line indentation
6073 is too deep. By default, 32 SPACEs or four TABs are considered
6074 too many but `whitespace-big-indent-regexp' can be configured.
6076 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
6078 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
6081 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
6083 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
6084 (defclass, defgeneric, defmethod): Add doc-string position.
6085 (with-slots): Require cl-lib.
6087 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
6088 (list-of): New type.
6089 (eieio--typep): Remove.
6090 (eieio-perform-slot-validation): Use cl-typep instead.
6092 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
6094 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
6096 2014-10-16 Alan Mackenzie <acm@muc.de>
6098 Trigger showing when point is in the "periphery" of a line or just
6100 * paren.el (show-paren-style, show-paren-delay)
6101 (show-paren-priority, show-paren-ring-bell-on-mismatch):
6102 Remove superfluous :group specifications.
6103 (show-paren-when-point-inside-paren)
6104 (show-paren-when-point-in-periphery): New customizable variables.
6105 (show-paren-highlight-openparen): Make into a defcustom.
6106 (show-paren--unescaped-p, show-paren--categorize-paren)
6107 (show-paren--locate-near-paren): New defuns.
6108 (show-paren--default): Refaactor and trigger on more paren
6110 (show-paren-function): Small consequential changes.
6112 2014-10-16 Tom Tromey <tom@tromey.com>
6114 * files.el (auto-mode-alist): Use javascript-mode for .jsm
6117 2014-10-16 Eli Zaretskii <eliz@gnu.org>
6119 * international/characters.el (bracket-type): Force pre-loading of
6122 2014-10-16 Alan Mackenzie <acm@muc.de>
6124 * cus-edit.el (custom-command-apply): Specify the return value in
6126 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
6127 custom-command-apply has returned non-nil.
6129 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
6131 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
6132 Remove incorrect handling of eldoc-print-after-edit.
6133 (eldoc-message-commands, eldoc-last-data): Use defvar.
6134 * loadup.el (emacs-lisp/eldoc): Load it.
6136 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
6138 (m4--quoted-p): New function.
6139 (m4-font-lock-keywords): Don't handle #..\n comments any more.
6140 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
6141 for most special characters.
6143 * progmodes/compile.el (compilation--previous-directory): Simplify.
6144 (compilation-next-error): Ensure the parse before we look at
6145 compilation-message property.
6147 2014-10-15 Eli Zaretskii <eliz@gnu.org>
6149 * simple.el (what-cursor-position):
6150 * descr-text.el (describe-char): Update to support the new bidi
6153 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
6154 Force bidi-paragraph-direction to 'left-to-right'. This fixes
6155 buffer-menu display when the first buffer happens to start with
6158 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
6160 * progmodes/elisp-mode.el (elisp--local-variables-1):
6161 Handle quoted expressions (bug#18688).
6163 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
6164 Michael Albinus <michael.albinus@gmx.de>
6166 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
6167 Reduce the amount of set environment variable commands.
6169 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
6171 Fix import completion. (Bug#18582)
6172 * progmodes/python.el (python-shell-completion-get-completions):
6173 Fix import case regexp.
6175 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
6177 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
6178 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
6179 * progmodes/prolog.el (prolog-electric--underscore): Same.
6181 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
6183 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
6185 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
6187 * cus-start.el (all): Add missing ns and boolean to
6188 ns-use-fullscreen-animation.
6190 2014-10-11 Leo Liu <sdl.web@gmail.com>
6192 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
6194 (cfengine3-create-imenu-index): New function.
6195 (cfengine3-mode): Use it for `imenu-create-index-function'.
6196 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
6199 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
6201 * cus-start.el (all): Add ns-use-fullscreen-animation.
6203 2014-10-11 Glenn Morris <rgm@gnu.org>
6205 * calendar/diary-lib.el (diary-display-function):
6206 Drop support for deprecated nil and list forms.
6207 (diary-list-entries): Update for the above.
6208 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
6210 2014-10-10 Leo Liu <sdl.web@gmail.com>
6212 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
6215 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
6217 * select.el (gui-selection-exists-p-alist): New method.
6218 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
6219 * simple.el (deactivate-mark): Use it.
6220 * term/x-win.el (gui-selection-exists-p):
6221 * term/w32-win.el (gui-selection-exists-p):
6222 * term/pc-win.el (gui-selection-exists-p):
6223 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
6225 2014-10-10 Glenn Morris <rgm@gnu.org>
6227 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
6228 Fix :type. Allow t to mean no limit.
6229 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
6231 2014-10-09 Glenn Morris <rgm@gnu.org>
6233 * frame.el (display-monitor-attributes-list): Doc tweaks.
6235 2014-10-09 Eli Zaretskii <eliz@gnu.org>
6237 * faces.el (display-grayscale-p): Mention in the doc string that
6238 the argument can be either a display name or a frame.
6240 * frame.el (display-pixel-height, display-pixel-width)
6241 (display-mm-height, display-mm-width, display-backing-store)
6242 (display-save-under, display-planes, display-color-cells)
6243 (display-visual-class, display-monitor-attributes-list)
6244 (display-screens): Mention in the doc string that the argument can
6245 be either a display name or a frame. Improve the docs of the
6246 monitor attributes. (Bug#18636)
6248 2014-10-09 Martin Rudalics <rudalics@gmx.at>
6250 * term.el (term-window-width): Subtract 1 from the width when
6251 any fringe has zero width, not just the right fringe. (Bug#18601)
6253 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
6255 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
6257 2014-10-08 Leo Liu <sdl.web@gmail.com>
6259 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
6261 2014-10-08 Glenn Morris <rgm@gnu.org>
6263 * calendar/cal-x.el (calendar-dedicate-diary):
6264 Drop support for recently deleted aliases.
6266 2014-10-08 Leo Liu <sdl.web@gmail.com>
6268 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
6269 Always return a syntax. Replace call-process-shell-command with
6270 process-file. Ensure cfengine-mode-syntax-functions-regex is
6271 always set. Ensure cache when cfengine-cf-promises fails.
6274 2014-10-07 Glenn Morris <rgm@gnu.org>
6276 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
6278 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
6280 Sync with upstream verilog-mode revision c075a492.
6281 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
6282 (verilog-menu): Add AUTOINSERTLAST.
6283 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
6284 is nil, fix indenting initial/final to match always statements, bug825.
6285 Reported by Tim Clapp.
6286 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
6287 bug557. Reported by ZeDong Mao and Jason Forkey.
6288 (verilog-read-decls): Fix parsing typed interfaces.
6289 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
6290 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
6291 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
6292 Reported by Pierre-David Pfister.
6293 (verilog-auto-insert-lisp): Doc fix.
6294 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
6295 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
6296 (verilog-sk-ovm-class, verilog-sk-uvm-object)
6297 (verilog-sk-uvm-component): Fix missing string keyword in class
6298 skeletons, bug824. Reported by eldad faruhi.
6300 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
6302 * term/w32-win.el: Move all code from 32-common-fns.el here.
6303 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
6304 console as well (bug#18629).
6305 * w32-common-fns.el: Remove.
6306 * loadup.el: Don't load w32-common-fns.el.
6307 * w32-fns.elc: Don't require w32-common-fns.
6309 * icomplete.el: Move Iswitchb autoload here. Much simpler.
6310 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
6311 Remove redundant obsolescence thingy.
6312 * loadup.el: Don't load obsolete/loaddefs.el.
6313 * Makefile.in (obsolete-autoloads): Remove.
6314 (AUTOGENEL): Remove obsolete/loaddefs.el.
6316 2014-10-06 Glenn Morris <rgm@gnu.org>
6318 * Makefile.in (obsolete-autoloads): Write to a separate file,
6319 to workaround autoloads bug. (Bug#17407)
6320 (AUTOGENEL): Add obsolete/loaddefs.el.
6321 * loadup.el: Load obsolete/loaddefs.el if present.
6322 * subr.el (do-after-load-evaluation):
6323 Don't warn about obsolete/loaddefs.el.
6325 * menu-bar.el (menu-bar-games-menu): Remove landmark.
6326 It has zero relationship to a game.
6328 2014-10-06 Leo Liu <sdl.web@gmail.com>
6330 * imenu.el (imenu): Re-write for clarity.
6332 2014-10-06 Glenn Morris <rgm@gnu.org>
6334 Remove calendar code obsolete since at least version 23.1.
6335 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
6336 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
6337 (calendar-goto-bahai-date, list-bahai-diary-entries)
6338 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
6339 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
6340 (insert-yearly-bahai-diary-entry):
6341 * calendar/cal-china.el (chinese-calendar-time-zone)
6342 (chinese-calendar-location-name)
6343 (chinese-calendar-daylight-time-offset)
6344 (chinese-calendar-standard-time-zone-name)
6345 (chinese-calendar-daylight-time-zone-name)
6346 (chinese-calendar-daylight-savings-starts)
6347 (chinese-calendar-daylight-savings-ends)
6348 (chinese-calendar-daylight-savings-starts-time)
6349 (chinese-calendar-daylight-savings-ends-time)
6350 (chinese-calendar-celestial-stem)
6351 (chinese-calendar-terrestrial-branch)
6352 (calendar-absolute-from-chinese, calendar-print-chinese-date)
6353 (calendar-goto-chinese-date):
6354 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
6355 (calendar-print-coptic-date, coptic-prompt-for-date)
6356 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
6357 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
6358 * calendar/cal-french.el (calendar-absolute-from-french)
6359 (calendar-print-french-date, calendar-goto-french-date):
6360 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
6361 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
6362 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
6363 (holiday-rosh-hashanah-etc, holiday-hanukkah)
6364 (holiday-passover-etc, holiday-tisha-b-av-etc)
6365 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
6366 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
6367 (insert-monthly-hebrew-diary-entry)
6368 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
6369 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
6370 (diary-sabbath-candles):
6371 * calendar/cal-islam.el (calendar-absolute-from-islamic)
6372 (calendar-print-islamic-date, calendar-goto-islamic-date)
6373 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
6374 (mark-islamic-diary-entries, insert-islamic-diary-entry)
6375 (insert-monthly-islamic-diary-entry)
6376 (insert-yearly-islamic-diary-entry):
6377 * calendar/cal-iso.el (calendar-absolute-from-iso)
6378 (calendar-print-iso-date, calendar-iso-read-args)
6379 (calendar-goto-iso-date, calendar-goto-iso-week):
6380 * calendar/cal-julian.el (calendar-absolute-from-julian)
6381 (calendar-print-julian-date, calendar-goto-julian-date)
6382 (calendar-absolute-from-astro, calendar-print-astro-day-number)
6383 (calendar-goto-astro-day-number):
6384 * calendar/cal-mayan.el (calendar-print-mayan-date)
6385 (calendar-next-haab-date, calendar-previous-haab-date)
6386 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
6387 (calendar-next-calendar-round-date)
6388 (calendar-previous-calendar-round-date)
6389 (calendar-absolute-from-mayan-long-count)
6390 (calendar-goto-mayan-long-count-date):
6391 * calendar/cal-move.el (scroll-calendar-left)
6392 (scroll-calendar-right, scroll-calendar-left-three-months)
6393 (scroll-calendar-right-three-months):
6394 * calendar/cal-persia.el (calendar-absolute-from-persian)
6395 (calendar-print-persian-date, persian-prompt-for-date)
6396 (calendar-goto-persian-date):
6397 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
6398 * calendar/calendar.el (view-diary-entries-initially)
6399 (mark-diary-entries-in-calendar, calendar-today-face)
6400 (diary-face, holiday-face, view-calendar-holidays-initially)
6401 (mark-holidays-in-calendar, initial-calendar-window-hook)
6402 (today-visible-calendar-hook, today-invisible-calendar-hook)
6403 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
6404 (bahai-diary-entry-symbol, american-date-diary-pattern)
6405 (european-date-diary-pattern, european-calendar-display-form)
6406 (american-calendar-display-form, holidays-in-diary-buffer)
6407 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
6408 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
6409 (fancy-diary-buffer, increment-calendar-month)
6410 (extract-calendar-month, extract-calendar-day)
6411 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
6412 (mark-visible-calendar-date, calendar-version):
6413 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
6414 (diary-display-hook, list-diary-entries-hook)
6415 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
6416 (nongregorian-diary-marking-hook, print-diary-entries-hook)
6417 (abbreviated-calendar-year, number-of-diary-entries)
6418 (view-other-diary-entries, add-to-diary-list)
6419 (include-other-diary-files, simple-diary-display)
6420 (fancy-diary-display, print-diary-entries, mark-diary-entries)
6421 (mark-sexp-diary-entries, mark-included-diary-files)
6422 (mark-calendar-days-named, mark-calendar-month)
6423 (mark-calendar-date-pattern, sort-diary-entries)
6424 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
6425 (insert-weekly-diary-entry, insert-monthly-diary-entry)
6426 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
6427 (insert-block-diary-entry, insert-cyclic-diary-entry)
6428 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
6429 * calendar/holidays.el (general-holidays, oriental-holidays)
6430 (local-holidays, other-holidays, hebrew-holidays)
6431 (christian-holidays, islamic-holidays, bahai-holidays)
6432 (solar-holidays, list-calendar-holidays)
6433 (check-calendar-holidays, mark-calendar-holidays)
6434 (filter-visible-calendar-holidays):
6435 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
6436 (diary-phases-of-moon): Remove obsolete aliases.
6437 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
6438 * calendar/cal-x.el (calendar-one-frame-setup)
6439 (calendar-only-one-frame-setup, calendar-two-frame-setup):
6440 Remove obsolete functions.
6441 (cal-x-load-hook): Remove obsolete hook.
6442 * calendar/calendar.el (european-calendar-style):
6443 Remove obsolete variable.
6444 (calendar-date-style): No longer consult european-calendar-style.
6445 * calendar/calendar.el (european-calendar, american-calendar):
6446 Remove obsolete commands.
6447 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
6448 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
6449 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
6450 Use the face `diary' instead of the variable `diary-face'.
6451 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
6452 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
6453 * calendar/icalendar.el (icalendar--date-style): Remove function.
6454 Replace all uses with calendar-date-style.
6455 * textmodes/remember.el (calendar-date-style): Declare.
6456 (remember-diary-convert-entry):
6457 No longer consult european-calendar-style.
6459 2014-10-05 Leo Liu <sdl.web@gmail.com>
6461 * imenu.el (imenu-default-goto-function): Fix typo.
6463 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
6465 * net/ntlm.el (ntlm-build-auth-request):
6466 Add NTLM2 Session support. (Bug#15603)
6468 2014-10-04 Glenn Morris <rgm@gnu.org>
6470 * apropos.el (apropos-symbols-internal):
6471 Avoid error with non-symbol properties. (Bug#18337#16)
6473 * startup.el (command-line):
6474 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
6476 2014-10-04 Martin Rudalics <rudalics@gmx.at>
6478 * window.el (window-full-height-p): Make it behave correctly for
6480 (window-current-scroll-bars): Fix code.
6481 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
6483 * frame.el (frame-current-scroll-bars): Fix doc-string.
6484 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
6486 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
6488 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
6490 2014-10-04 Glenn Morris <rgm@gnu.org>
6492 * frame.el (frame-monitor-attributes)
6493 (display-monitor-attributes-list): Doc fixes.
6495 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
6497 Merge trivially safe differences from standalone CC-mode.
6498 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
6499 just to then pass it to `symbol-value'.
6500 (prog-mode): Provide fallback definition, if needed.
6501 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
6502 Remove "cl-" prefix accordingly.
6503 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
6504 characterp depending on the type of characters.
6505 (c-font-lock-enum-tail): Remove unused var `start'.
6506 * progmodes/cc-engine.el: Load CL at compile-time.
6507 (c-declare-lang-variables): Use mapcan.
6508 (c-append-to-state-cache): Remove unused var `ce+1'.
6509 (c-parse-state-state): Make buffer-local.
6510 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
6511 (c-just-after-func-arglist-p): Remove unused var `end'.
6512 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
6513 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
6514 (c-make-keywords-re): Use delete-dups.
6515 (c-get-current-file): Avoid file-name-base.
6516 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
6517 `close-paren-inserted'.
6518 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
6520 * progmodes/python.el: Avoid building unneeded markers.
6521 (python-font-lock-keywords, python-indent-dedent-line)
6522 (python-fill-paren, python-shell-completion-complete-or-indent):
6523 Prefer point over point-marker.
6524 (inferior-python-mode): Remove redundant completion settings.
6526 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
6528 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
6529 (vc-svn-ignore): Use it. (Bug#18619)
6531 2014-10-03 Martin Rudalics <rudalics@gmx.at>
6533 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
6534 In doc-string mention need to set `frame-resize-pixelwise'.
6536 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
6538 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
6539 similarly to Rogers's 2010-06-16 change for the remote case
6542 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
6544 New gui-selection-value consolidating x-selection-value.
6545 * select.el (gui-selection-value-alist): New method.
6546 (gui-selection-value): New function.
6547 (x-selection-value): Make it an obsolete alias.
6548 * simple.el (interprogram-paste-function): Default to
6549 gui-selection-value.
6550 * w32-common-fns.el (w32-get-selection-value): Simplify.
6551 (x-selection-value): Remove alias.
6552 (interprogram-paste-function): Don't set.
6553 (gui-selection-value): Define for w32.
6554 * term/x-win.el (gui-selection-value): Define for x.
6555 (x--selection-value): Rename from x--selection-value.
6556 (interprogram-paste-function): Don't set.
6557 * term/pc-win.el (w16-get-selection-value): Simplify.
6558 (msdos-initialize-window-system): Don't set
6559 interprogram-paste-function.
6560 (gui-selection-value): Define for pc.
6561 * term/ns-win.el (x-selection-value): Remove.
6562 (gui-selection-value): Define for ns, instead.
6563 * term/common-win.el (x-setup-function-keys): Don't set
6564 interprogram-paste-function.
6565 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
6566 Use gui-selection-value.
6568 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
6570 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
6572 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
6574 * obsolete/lucid.el (read-number): Remove, redundant.
6575 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
6578 2014-10-02 Glenn Morris <rgm@gnu.org>
6580 * emacs-lisp/package.el (package-import-keyring):
6581 Create gnupg directory private. (Bug#17625#155)
6583 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
6585 * progmodes/python.el (python-shell-completion-get-completions):
6586 Use python-shell--prompt-calculated-input-regexp from the
6587 process buffer (bug#18582).
6588 Don't assume that `line' comes from the process buffer.
6590 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
6592 * frame.el: Use lexical-binding (bug#18598).
6593 (make-frame): Use t rather than nil for tty's window-system.
6594 * startup.el (command-line): Use gui-method.
6596 Consolidate management/ownership of selections.
6597 * select.el (gui-get-selection-alist): New method.
6598 (gui-get-selection): Use it. Rename from x-get-selection.
6599 (x-get-selection): Define as obsolete alias.
6600 (x-get-clipboard): Mark obsolete.
6601 (gui-get-primary-selection): New function.
6602 (x-get-selection-value): Mark obsolete.
6603 (gui-own-selection-alist, gui-disown-selection-alist)
6604 (gui-selection-owner-p-alist): New methods.
6605 (gui-set-selection): Use them. Rename from x-set-selection.
6606 (x-set-selection): Define as obsolete alias.
6607 (gui--valid-simple-selection-p): Rename from
6608 x-valid-simple-selection-p.
6609 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
6610 (gui-selection-owner-p, gui-get-selection): Define for w32.
6611 (w32-get-selection-value): Rename from x-get-selection-value.
6612 Use the new gui-last-selected-text.
6613 * term/x-win.el (x-get-selection-value): Remove.
6614 (x-clipboard-yank): Declare obsolete.
6615 (gui-own-selection, gui-disown-selection, gui-get-selection)
6616 (gui-selection-owner-p): Define for x.
6617 * term/w32-win.el (w32-win-suspend-error): Rename from
6618 x-win-suspend-error.
6619 * term/pc-win.el (w16-get-selection-value): Rename from
6620 x-get-selection-value.
6621 (w16-selection-owner-p): Rename from x-selection-owner-p.
6622 (gui-own-selection, gui-disown-selection, gui-get-selection)
6623 (gui-selection-owner-p): Define for pc.
6624 (w16--select-text): New function.
6625 * term/ns-win.el (gui-own-selection, gui-disown-selection)
6626 (gui-get-selection, gui-selection-owner-p): Define for ns.
6627 * term.el (term-mouse-paste):
6628 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
6630 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
6632 * calc/calc-help.el (calc-describe-thing): Quote strings
6633 which could look like regexps.
6635 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
6637 Consolidate x-select-text.
6638 * frame.el (gui-method, gui-method-define, gui-method-declare)
6639 (gui-call): New macros.
6640 (gui-method--name): New function.
6641 (frame-creation-function-alist): Use gui-method-declare.
6642 (make-frame): Use gui-method.
6643 * select.el (gui-select-enable-clipboard): Rename from
6644 x-select-enable-clipboard and move here.
6645 (x-select-enable-clipboard): Define as obsolete alias.
6646 (gui-last-selected-text): New var, to replace x-last-selected-text.
6647 (gui-select-text): New GUI method.
6648 (gui-select-text): New function.
6649 (x-select-text): Define as obsolete alias.
6650 * term/common-win.el (x-select-enable-clipboard, x-select-text):
6652 * simple.el (interprogram-cut-function): Change default to
6654 (interprogram-paste-function): Change default to `ignore'.
6655 * w32-common-fns.el (interprogram-cut-function): Don't modify.
6656 * term/x-win.el (interprogram-cut-function): Don't modify.
6657 (gui-select-text): Add method for x.
6658 * term/w32-win.el (gui-select-text): Add method for w32.
6659 * term/pc-win.el (x-last-selected-text): Remove, use
6660 gui-last-selected-text instead.
6661 (msdos-initialize-window-system): Don't set interprogram-cut-function.
6662 (gui-select-text): Add method for pc.
6663 * term/ns-win.el (ns-last-selected-text): Remove, use
6664 gui-last-selected-text instead.
6665 (gui-select-text): Add method for ns.
6666 (x-setup-function-keys): Don't change interprogram-cut-function.
6667 * loadup.el ("startup"): Load after "frame".
6668 * subr.el (package--builtin-versions, package--description-file):
6669 Move from startup.el.
6670 * startup.el (package--builtin-versions, package--description-file):
6672 (handle-args-function-alist, window-system-initialization-alist):
6673 Use gui-method-declare.
6674 (command-line): Use gui-method.
6676 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
6678 * subr.el (alist-get): New accessor.
6679 * emacs-lisp/gv.el (alist-get): Provide expander.
6680 * winner.el (winner-remember):
6681 * tempo.el (tempo-use-tag-list):
6682 * progmodes/gud.el (minor-mode-map-alist):
6683 * international/mule-cmds.el (define-char-code-property):
6684 * frameset.el (frameset-filter-params):
6685 * files.el (dir-locals-set-class-variables):
6686 * register.el (get-register, set-register):
6687 * calc/calc-yank.el (calc-set-register): Use it.
6688 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
6689 * tooltip.el (tooltip-set-param): Mark as obsolete.
6690 (tooltip-show): Use alist-get instead.
6691 * ses.el (ses--alist-get): Remove. Use alist-get instead.
6693 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
6695 * net/newst-backend.el: Remove Time-stamp. Rename variable
6696 `newsticker--download-logos' to `newsticker-download-logos' and
6697 make it customizable.
6698 (newsticker--sentinel-work): Move xml-workarounds to function
6699 `newsticker--do-xml-workarounds', call unless libxml-parser is
6700 used. Allow single quote in regexp for encoding.
6701 Use libxml-parser if available, else fall back to `xml-parse-region'.
6702 Take care of possibly missing namespace prefixes (like "RDF"
6703 instead of "rdf:RDF") when checking xml nodes and attributes (as
6704 libxml correctly removes the prefixes). Always use Atom 1.0 as
6705 fallback feed type. Rename `newsticker--download-logos' to
6706 `newsticker-download-logos'
6707 (newsticker--unxml, newsticker--unxml-node)
6708 (newsticker--unxml-attribute): New.
6709 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
6710 HTML code has become part of the xml parse tree.
6711 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
6712 of possibly missing namespace prefixes.
6713 (newsticker--parse-generic-items): Code formatting. Typo.
6714 (newsticker--images-dir): Add trailing slash.
6715 (newsticker--image-get): Fix error message.
6717 * net/newst-plainview.el: Remove Time-stamp.
6719 * net/newst-reader.el: Remove Time-stamp.
6720 (newsticker-download-logos): Rename variable
6721 `newsticker--download-logos' to `newsticker-download-logos' and
6722 make it customizable.
6723 (newsticker--print-extra-elements): Add optional parameter
6724 'htmlish for using html markup. Amend list of ignored elements.
6725 (newsticker--do-print-extra-element): Add parameter 'htmlish for
6728 * net/newst-ticker.el: Remove Time-stamp.
6730 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
6731 for formatting extra elements.
6733 * net/newsticker.el: Remove Time-stamp, Version.
6734 (newsticker-version): Make obsolete.
6736 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
6738 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
6741 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
6743 * emacs-lisp/package.el (package-check-signature): Default to nil if
6744 GPG is not available.
6745 (package-refresh-contents): Don't mess with the keyring if we won't
6746 check the signatures anyway.
6748 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
6750 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
6751 (ses-center, ses-center-span): Use them.
6752 (ses-print-cell): Bind them while calling the printer.
6753 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
6754 (ses-dorange): Revert last change.
6755 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
6757 (ses-set-cell): Avoid `eval'.
6758 (ses--time-check): Rename it from ses-time-check and turn it into
6761 * ses.el (ses-setup): Don't assume modifying the iteration var of
6762 dotimes affects the iteration (bug#18191).
6764 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
6766 * ses.el (ses-calculate-cell): Bind row and col dynamically to
6767 their values with 'cl-progv'.
6768 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
6769 their values with 'cl-progv', also use non-interned symbols for
6770 row, minrow, maxrow, mincol and maxcol.
6771 (maxrow maxcol): New defvar, to make the compiler happy.
6773 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
6775 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
6776 completion functions.
6778 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
6780 * ses.el (ses--letref): Quote value before it gets re-evaluated.
6782 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
6784 Font-lock `cl-flet*', too.
6785 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
6786 Add "flet*" to intermediate var `cl-lib-kw'.
6788 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
6790 * epg-config.el (epg-gpg-program): Use the plain program names rather
6791 than their absolute file name.
6793 * subr.el (track-mouse): New macro.
6794 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
6795 Remove track-mouse case.
6796 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
6798 2014-09-27 Leo Liu <sdl.web@gmail.com>
6800 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
6802 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
6804 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
6806 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
6807 Handle the case where `match' is :pcase--succeed or :pcase--fail
6810 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
6811 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
6812 (eldoc-schedule-timer): Obey it.
6813 (eldoc-documentation-function): Default to nil.
6814 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
6815 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
6816 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
6817 (eldoc-last-data-store, eldoc-docstring-first-line)
6818 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
6819 (eldoc-beginning-of-sexp, eldoc-current-symbol)
6820 (eldoc-function-argstring): Move to elisp-mode.el.
6821 (eldoc-symbol-function): Remove, unused.
6822 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
6823 (elisp-completion-at-point): Rename from lisp-completion-at-point.
6824 (elisp--preceding-sexp): Rename from preceding-sexp.
6825 * loadup.el: Load new file progmodes/elisp-mode.
6826 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
6827 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
6828 (lisp--local-variables-completion-table, lisp--expect-function-p)
6829 (lisp--form-quoted-p, lisp--company-doc-buffer)
6830 (lisp--company-doc-string, lisp--company-location)
6831 (lisp-completion-at-point): Move to elisp-mode.el.
6832 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
6833 extracted from emacs-lisp-mode-syntax-table.
6834 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
6836 (lisp-imenu-generic-expression): Add comments to document what comes
6837 from which Lisp dialect.
6838 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
6839 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
6840 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
6841 (emacs-lisp-byte-code-comment)
6842 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
6843 (lisp-interaction-mode-map, lisp-interaction-mode)
6844 (eval-print-last-sexp, last-sexp-setup-props)
6845 (last-sexp-toggle-display, prin1-char, preceding-sexp)
6846 (eval-last-sexp-1, eval-last-sexp-print-value)
6847 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
6848 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
6850 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
6852 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
6853 Do not match file names that end in '/', as they cannot be 'grep'
6854 hits nowadays. This prevents confusion when 'grep -r' reports a
6855 match in a file whose basename is ':12345:'. Conversely, do not
6856 require exactly the same sequence of spaces and tabs after both
6857 colons, and allow spaces or tabs before the second colon, as per
6858 the POSIX spec for 'grep' output.
6860 2014-09-26 Leo Liu <sdl.web@gmail.com>
6862 Add cl-parse-integer based on parse-integer (Bug#18557)
6863 * calendar/parse-time.el (parse-time-digits): Remove.
6864 (digit-char-p, parse-integer) Moved to cl-lib.el.
6865 (parse-time-tokenize, parse-time-rules, parse-time-string):
6866 Use cl-parse-integer.
6868 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
6870 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
6871 (cl-digit-char-p): New function.
6873 2014-09-25 Juri Linkov <juri@jurta.org>
6875 * vc/add-log.el (change-log-next-buffer): Don't create an empty
6876 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
6877 Return the current buffer if no files match the default pattern
6878 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
6880 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
6882 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
6883 the global vc-handled-backends (bug#18535).
6885 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
6887 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
6888 Suggested by <lompik@voila.fr>.
6890 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
6892 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
6893 Rename from `newsticker--treeview-do-get-node'.
6894 (newsticker--treeview-get-node-by-id):
6895 Rename from `newsticker--treeview-get-node'.
6896 (newsticker--treeview-buffer-init)
6897 (newsticker--treeview-buffer-init): Disable buffer undo.
6898 (newsticker--treeview-unfold-node): Adapt to modified
6899 `newsticker--group-find-parent-group'.
6900 (newsticker--group-do-find-group):
6901 Rename from `newsticker--group-do-find-group-for-feed'.
6902 Now works for both, groups and feeds.
6903 (newsticker--group-find-parent-group):
6904 Rename from `newsticker--group-find-group-for-feed'.
6905 Now works for both, groups and feeds.
6906 (newsticker--group-do-get-parent-group)
6907 (newsticker--group-get-parent-group): Remove.
6908 (newsticker-group-add-group): Change interactive prompts.
6909 (newsticker-group-add-group): Finally jump to added group.
6910 (newsticker-group-delete-group): Finally jump to current feed.
6911 (newsticker--group-do-rename-group, newsticker-group-rename-group)
6912 (newsticker--get-group-names, newsticker--group-names): New.
6913 (newsticker-group-move-feed): Finally jump to moved feed.
6914 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
6915 (newsticker-group-shift-group-down)
6916 (newsticker-group-shift-group-up, newsticker--group-shift): New.
6917 (newsticker-treeview-mode-map): New keybindings for new shift commands.
6919 * net/newst-backend.el (newsticker--item-list)
6920 (newsticker--item-position, newsticker--prev-message)
6921 (newsticker--scrollable-text): Move to newst-ticker.el.
6923 * net/newst-ticker.el (newsticker--item-list)
6924 (newsticker--item-position, newsticker--prev-message)
6925 (newsticker--scrollable-text): Move from newst-backend.el.
6927 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
6929 * window.el (fit-window-to-buffer): When counting buffer width,
6930 count the whole visible buffer. Correctly convert the body-height
6931 to pixel size for window-text-pixel-size (Bug#18498).
6933 2014-09-22 Sam Steingold <sds@gnu.org>
6935 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
6936 (sql-execute): Use `special-mode'.
6938 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6940 Add pcase-defmacro, as well as `quote' and `app' patterns.
6941 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
6942 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
6943 (pcase--funcall, pcase--eval): New functions.
6944 (pcase--u1): Use them for guard, pred, let, and app.
6945 (\`): Use the new feature to generate better code for vector patterns.
6946 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
6947 (pcase--upat): Remove.
6948 (pcase--macroexpand): Don't hardcode handling of `.
6949 (pcase--split-consp, pcase--split-vector): Remove.
6950 (pcase--split-equal): Disregard ` since it's expanded away.
6951 (pcase--split-member): Optimize for quote rather than for `.
6952 (pcase--split-pred): Optimize for quote rather than for `.
6953 (pcase--u1): Remove handling of ` (and of `or' and `and').
6954 Quote non-selfquoting values when passing them to `eq'.
6955 Drop `app's let-binding if the variable is not used.
6956 (pcase--q1): Remove.
6957 (`): Define as a pattern macro.
6958 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
6959 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
6960 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
6962 (pcase--split-match): Don't hoist or/and here any more.
6963 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
6964 (pcase--flip): New helper macro.
6965 (pcase--u1): Optimize the memq case directly.
6966 Don't handle neither self-quoting nor and/or patterns any more.
6967 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
6968 (pcase--macroexpand): New function.
6969 (pcase--expand): Use it.
6970 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
6971 New optimization functions.
6972 (pcase--u1): Add support for `quote' and `app'.
6973 (pcase): Document them in the docstring.
6975 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6977 Use lexical-bindin in Ibuffer.
6978 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
6979 (ibuffer-compile-format): Simplify.
6980 (ibuffer-clear-summary-columns): Simplify.
6981 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
6982 elem of dotimes when we don't refer to the iteration var from it.
6983 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
6984 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
6985 Silence byte-compiler.
6987 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6989 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
6990 expression for a list.
6992 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
6993 for functions with no arguments.
6995 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
6996 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
6998 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
7000 * simple.el (clone-indirect-buffer): Mention the return value
7003 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
7004 Text mode in docstring (bug#18464).
7006 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
7008 * progmodes/perl-mode.el (perl-syntax-propertize-function):
7009 Accept underscores in identifiers after "sub" (bug#18502).
7011 2014-09-21 Tassilo Horn <tsdh@gnu.org>
7013 * textmodes/reftex-sel.el (reftex-select-label-mode)
7014 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
7015 from special-mode (instead of fundamental-mode) and propertize
7016 with font-lock-face instead of just face. (Bug#18496)
7018 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
7020 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
7022 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
7023 `table-etc' when `end' is non-nil.
7024 (lisp-completion-at-point): Move `end' back if it's after quote.
7025 If in comment or string, only complete when after backquote.
7027 (lisp-completion-at-point): Don't use
7028 `lisp--local-variables-completion-table' in the
7029 `lisp--form-quoted-p' case.
7031 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
7033 * emacs-lisp/lisp.el (lisp--expect-function-p)
7034 (lisp--form-quoted-p): New functions.
7035 (lisp-completion-at-point): Use them to see if we're completing a
7036 variable reference, a function name, or just any symbol.
7037 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
7039 2014-09-18 Ivan Kanis <ivan@kanis.fr>
7041 * net/shr.el, net/eww.el: Don't override `shr-width', but
7042 introduce a new variable `shr-internal-width'. This allows users
7043 to specify a width themselves.
7045 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
7047 * image-mode.el (image-toggle-display-image): If we have a
7048 `fit-width' or a `fit-height', don't limit the size of the image
7049 to the window size, because that doesn't preserve the aspect ratio.
7050 * image-mode.el: Move defvars earlier to avoid a byte-compilation
7053 2014-09-17 Reuben Thomas <rrt@sc3d.org>
7055 * progmodes/js.el: Add interpreter-mode-alist support for various
7056 JavaScript interpreters.
7058 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
7060 Don't assume 'grep' supports GREP_OPTIONS.
7061 The GREP_OPTIONS environment variable is planned to be marked
7062 obsolescent in GNU grep, due to problems in its use, so stop
7064 * progmodes/grep.el (grep-highlight-matches): Document this.
7065 (grep-process-setup): Do not set GREP_OPTIONS.
7066 (grep-compute-defaults): Use an explicit --color option if supported.
7068 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
7070 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
7071 Don't add outdated key-shortcut cache (bug#18482).
7073 2014-09-15 Glenn Morris <rgm@gnu.org>
7075 * image.el (image-multi-frame-p): Fix thinko - do not force
7076 a delay if none was specified. (Bug#18334)
7078 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
7080 * window.el (fit-window-to-buffer): Doc fix.
7082 2014-09-15 Ivan Shmakov <ivan@siamics.net>
7084 * desktop.el (desktop-create-buffer): Check that buffers are still live
7085 before burying them (bug#18373).
7087 2014-09-15 Glenn Morris <rgm@gnu.org>
7089 * calendar/diary-lib.el (diary-list-entries):
7090 Restore 24.3 display behavior. (Bug#18381)
7092 2014-09-15 Eli Zaretskii <eliz@gnu.org>
7094 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
7095 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
7096 discrepancy between window-pixel-edges and mouse events, and
7097 avoids moving mode line up when the mouse click is on the modeline
7098 and no drag is attempted.
7100 2014-09-14 Daniel Colascione <dancol@dancol.org>
7102 * register.el (insert-register): Change default interactive
7105 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
7107 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
7108 Suppress debug messages.
7110 * net/tramp.el (tramp-file-name-handler):
7111 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
7114 2014-09-13 Christopher Schmidt <ch@ristopher.com>
7116 * calendar/calendar.el (calendar-update-mode-line):
7117 Do not overwrite mode-line-format if calendar-mode-line-format is
7120 2014-09-13 Leo Liu <sdl.web@gmail.com>
7122 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
7123 (pcase--expand): Use it.
7124 (pcase-exhaustive): New macro. (Bug#16567)
7126 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
7127 Add pcase-exhaustive.
7129 2014-09-13 Eli Zaretskii <eliz@gnu.org>
7131 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
7132 using the specified transfer-encoding, if any, or 'undecided'.
7133 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
7134 broken at the window margin.
7136 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
7138 Support rendering of HTML parts in Rmail (bug#4258).
7139 * mail/rmailmm.el (rmail-mime-process): Handle text/html
7140 separately from other text/ types. Suppress tagline for
7142 (rmail-mime-parse): Don't change visibility of tagline here.
7143 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
7144 Handle text/html specially.
7145 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
7146 (rmail-mime-insert-html, rmail-mime-render-html-shr)
7147 (rmail-mime-render-html-lynx): New functions.
7148 (rmail-mime-fix-inserted-faces): New function.
7149 (rmail-mime-process-multipart): Find the best part to show
7150 following rmail-mime-prefer-html if set.
7151 (rmail-mime-searching): New variable.
7152 (rmail-search-mime-message): Bind rmail-mime-searching to
7153 suppress rendering while searching.
7155 2014-09-12 Sam Steingold <sds@gnu.org>
7157 * progmodes/sql.el (sql-product-alist): Add vertica.
7158 (sql-vertica-program, sql-vertica-options)
7159 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
7160 New functions and variables to support Vertica.
7161 Inspired by code by Roman Scherer <roman@burningswell.com>.
7163 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
7165 * ses.el (ses-file-format-extend-parameter-list): Rename from
7166 ses-file-format-extend-paramter-list, to correct a misspelling.
7169 2014-09-10 Alan Mackenzie <acm@muc.de>
7171 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
7172 gets loaded at run-time).
7173 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
7174 c-lang-const to c-make-no-parens-syntax-table and correct the
7176 (c-no-parens-syntax-table): Correct the logic of the
7179 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
7181 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
7183 * progmodes/cc-mode.el (c-basic-common-init):
7184 Set open-paren-in-column-0-is-defun-start.
7185 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
7186 Remove declarations, unused.
7187 (run-mode-hooks): Remove declaration.
7188 (font-lock-defaults): Use plain `defvar' to declare.
7189 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
7190 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
7191 (c-make-mode-syntax-table): Don't micro-optimize.
7192 (c-keywords, c-keyword-member-alist): Simplify.
7193 (c-kwds-lang-consts): Don't eval at compile-time.
7194 (c-primary-expr-regexp): Comment out unused vars.
7195 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
7196 (c-font-byte-compile): New var.
7197 (c--compile): New function. Use it instead of `byte-compile'.
7198 (c-cpp-matchers): Quote the value returned by
7199 `c-make-syntactic-matcher' in case it's not self-evaluating.
7200 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
7201 parentheses instead (in case MATCHER happens to be a list).
7202 (c-font-lock-enum-tail): Remove unused var `start'.
7203 (c-font-lock-objc-methods): Silence byte-compiler warnings.
7204 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
7205 test into an argument.
7206 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
7207 (c-get-char-property): Don't use `eval' just to unquote a constant.
7208 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
7210 (c-put-char-property-fun): Don't call `byte-compile' by hand.
7211 (c-clear-char-property, c-clear-char-properties): Check that `property'
7212 is a quoted constant.
7213 (c-emacs-features): Remove `infodock', `syntax-properties', and
7214 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
7215 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
7216 changing buffer, so we don't have to setq them again afterwards.
7217 (c-lang-const): Remove redundant symbolp assertions.
7218 (c-find-assignment-for-mode): Use `or'.
7219 * Makefile.in (compile-one-process): Remove cc-mode dependency.
7221 2014-09-09 Sam Steingold <sds@gnu.org>
7223 * progmodes/sql.el (sql-default-directory): Fix type annotation.
7225 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
7227 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
7228 Change doc comments into docstrings.
7229 * Makefile.in: Remove cc-awk dependency.
7231 2014-09-08 Sam Steingold <sds@gnu.org>
7233 * progmodes/sql.el (sql-send-line-and-next): New command,
7235 (sql-show-sqli-buffer): Display the buffer instead of its name and
7236 bind the command to C-c C-z.
7237 (sql-default-directory): New user option.
7238 (sql-product-interactive): Bind `default-directory' to it to
7239 enable remote connections using Tramp.
7240 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
7241 suitable buffer is available.
7243 2014-09-08 Glenn Morris <rgm@gnu.org>
7245 * calendar/calendar.el (calendar-basic-setup):
7246 Fix calendar-view-holidays-initially-flag and fancy display.
7247 * calendar/diary-lib.el (diary-live-p): Doc fix.
7249 * calendar/calendar.el (calendar-basic-setup):
7250 Avoid clobbering calendar with diary. (Bug#18381)
7252 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
7254 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
7256 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
7258 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
7259 as well (bug#18400).
7261 2014-09-08 Eli Zaretskii <eliz@gnu.org>
7263 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
7265 2014-09-06 Leo Liu <sdl.web@gmail.com>
7267 * emacs-lisp/pcase.el (pcase): Doc fix.
7268 (pcase--split-vector): New function.
7269 (pcase--q1): Support vector qpattern. (Bug#18327)
7271 2014-09-05 Sam Steingold <sds@gnu.org>
7273 * textmodes/tex-mode.el (tex-print-file-extension): New user
7275 (tex-print): Use it instead of the hard-coded string.
7277 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
7279 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
7280 Expand `default-directory'.
7282 2014-09-05 Martin Rudalics <rudalics@gmx.at>
7284 * scroll-bar.el (horizontal-scroll-bars-available-p):
7286 (horizontal-scroll-bar-mode): Rewrite using
7287 horizontal-scroll-bars-available-p.
7288 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
7289 horizontal-scroll-bars-available-p.
7291 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
7293 * subr.el (call-process-shell-command, process-file-shell-command):
7294 Make the `args' obsolete (bug#18409).
7295 (start-process-shell-command, start-file-process-shell-command):
7298 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
7300 * calc/calc-forms.el (math-normalize-hms): Do a better check for
7301 "negative" hms forms.
7303 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
7305 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
7306 returns nil (bug#18391).
7308 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
7310 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
7311 terminating paren (bug#18352).
7312 (eldoc-last-data-store): Return cached data.
7313 (eldoc-get-var-docstring): Avoid setq.
7314 (eldoc-get-fnsym-args-string): Clarify data flow.
7316 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
7318 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
7319 case where we're currently providing part of the &rest arg after some
7320 &key args, as in define-ibuffer-op (bug#18048).
7322 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
7324 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
7325 buffer-local setting of which-func-mode.
7326 (which-func-mode): Use defvar-local.
7327 (which-function-mode): Don't reset which-func-mode in each buffer since
7328 it might have been set by someone else.
7329 (which-func-update-ediff-windows): Check which-function-mode.
7331 2014-09-03 Martin Rudalics <rudalics@gmx.at>
7333 * frame.el (frame-initialize): Remove horizontal-scroll-bars
7334 from frame-initial-frame-alist.
7335 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
7336 (horizontal-scroll-bar-mode-explicit)
7337 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
7338 (toggle-horizontal-scroll-bar): Remove.
7339 (horizontal-scroll-bar-mode): Remove defcustom.
7340 (horizontal-scroll-bar-mode): Fix doc-string.
7341 (scroll-bar-toolkit-scroll)
7342 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
7344 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
7346 * emacs-lisp/package.el (package-generate-description-file):
7347 Properly quote the arguments (bug#18332). Change second arg.
7348 (package--alist-to-plist-args): Rename from package--alist-to-plist and
7350 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
7351 the *-pkg.el file. Adjust to new calling convention of
7352 package-generate-description-file.
7354 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
7355 (gud-gdb-completions): Remove obsolete workaround.
7357 2014-09-03 Eli Zaretskii <eliz@gnu.org>
7359 * subr.el (posn-col-row): Revert the change from commit
7360 2010-11-13T21:07:58Z!eliz@gnu.org, which
7361 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
7362 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
7363 introduced an off-by-one error in the reported row when there is a
7364 header line. (Bug#18384)
7366 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
7368 * progmodes/python.el (python-indent-post-self-insert-function):
7369 Avoid electric colon at beginning-of-defun. (Bug#18228)
7371 2014-09-03 Glenn Morris <rgm@gnu.org>
7373 * tutorial.el (tutorial--display-changes):
7374 Fix 2014-08-01 change. (Bug#18382)
7376 2014-09-03 Ken Brown <kbrown@cornell.edu>
7378 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
7379 the Cygwin-w32 build. (Bug#18347)
7381 2014-09-03 Glenn Morris <rgm@gnu.org>
7383 * tar-mode.el (tar--extract, tar-extract):
7384 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
7386 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
7388 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
7389 handle multiline elements (bug#18380).
7391 2014-09-01 Eli Zaretskii <eliz@gnu.org>
7393 * ls-lisp.el (ls-lisp-use-string-collate)
7394 (ls-lisp-UCA-like-collation): New defcustoms.
7395 (ls-lisp-string-lessp): Use them to control sorting by file
7397 (ls-lisp-version-lessp): New function.
7398 (ls-lisp-handle-switches): Use it to implement the -v switch of
7400 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
7402 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
7404 * ibuffer.el: Replace mode-specific quit function with
7405 `quit-window' via `special-mode'.
7406 (ibuffer-mode-map): Use keybindings from special-mode-map instead
7408 (ibuffer): Don't store previous windows configuration.
7409 Let `quit-window' handle restoring.
7410 (ibuffer-quit): Remove function. Use `quit-window' instead.
7411 (ibuffer-restore-window-config-on-quit): Remove variable.
7412 (ibuffer-prev-window-config): Remove variable.
7414 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
7416 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
7417 name instead of variable name in hook docstring. (Bug#18349)
7419 2014-08-29 Martin Rudalics <rudalics@gmx.at>
7421 * window.el (display-buffer-at-bottom): Prefer bottom-left
7422 window to other bottom windows. Reuse a bottom window if it
7423 shows the buffer already. Suggested by Juri Linkov
7424 <juri@jurta.org> in discussion of (Bug#18181).
7426 2014-08-29 Leo Liu <sdl.web@gmail.com>
7428 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
7429 append to minibuffer-setup-hook. (Bug#18341)
7431 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
7433 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
7435 (lookup-syntax-properties): Silence byte-compiler.
7436 (c-lang-defconst): Quote the code with `lambda' rather than with
7438 (c-lang-const): Avoid unneeded setq.
7439 (c-lang-constants-under-evaluation): Add docstring.
7440 (c-lang--novalue): New constant.
7441 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
7442 (c-get-lang-constant): Same here.
7443 Get the mode's value using `funcall' now that the code is quoted
7446 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
7448 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
7451 2014-08-28 Martin Rudalics <rudalics@gmx.at>
7453 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
7454 interpretation of `portion-whole'.
7456 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
7458 * net/tramp-adb.el: Spell author name correctly.
7460 2014-08-28 João Távora <joaotavora@gmail.com>
7462 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
7463 use url-expand-file-name. (Bug#18310)
7465 2014-08-28 Glenn Morris <rgm@gnu.org>
7467 * emulation/cua-rect.el (cua--highlight-rectangle):
7468 Avoid error at point-min. (Bug#18309)
7470 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
7472 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
7473 executable-find (bug#18244).
7475 * simple.el (self-insert-uses-region-functions): Defvar.
7477 2014-08-28 Glenn Morris <rgm@gnu.org>
7479 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
7481 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
7483 * startup.el (normal-top-level): Now use internal--top-level-message.
7485 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
7487 * startup.el (normal-top-level): Use top-level-message.
7489 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
7491 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
7492 URLs containing spaces and the like.
7494 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
7496 * subr.el (remq): Fix docstring (Bug#18253).
7498 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
7500 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
7502 2014-08-24 Alan Mackenzie <acm@muc.de>
7504 Handle C++11's "auto" and "decltype" constructions.
7505 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
7506 and return 'decltype.
7507 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
7508 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
7510 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
7512 (c-font-lock-c++-new): Handle "decltype" constructions.
7513 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
7514 New c-lang-defconsts/defvars.
7515 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
7516 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
7517 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
7518 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
7520 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
7521 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
7522 off from c->-op-cont-re.
7523 (c->-op-cont-tokens): Change to use the above.
7524 (c->-op-without->-cont-regexp): New lang-const.
7525 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
7526 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
7529 2014-08-23 Alan Mackenzie <acm@muc.de>
7531 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
7532 loop, bug #18306. The bug was introduced on 2014-08-02.
7534 2014-08-21 Eli Zaretskii <eliz@gnu.org>
7536 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
7537 Don't recognize a Top node if there are other sectioning commands
7538 earlier in the Texinfo file. This fixes a bug in
7539 texinfo-make-menu and avoids inflooping in
7540 texinfo-all-menus-update when they are invoked on texinfo.texi.
7542 2014-08-21 Martin Rudalics <rudalics@gmx.at>
7544 * window.el (window--side-window-p): New function.
7545 (split-window, window-splittable-p): Use window--side-window-p to
7546 determine whether WINDOW can be split (Bug#18304).
7547 * calendar/calendar.el (calendar-basic-setup): Fix one call of
7548 `window-splittable-p' and add another (Bug#18304).
7550 2014-08-20 Sam Steingold <sds@gnu.org>
7552 * progmodes/python.el (python-new-pythonpath): Extract from
7553 `python-shell-calculate-process-environment'.
7555 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
7557 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
7558 for &key args (bug#18048).
7560 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
7562 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
7563 (eldoc-function-argstring-format): Remove.
7564 (eldoc-function-argstring): Always return upcase args.
7565 Use help-make-usage. Don't add parens.
7566 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
7567 it's too late to do it right (bug#18048).
7569 2014-08-18 Eli Zaretskii <eliz@gnu.org>
7571 * scroll-bar.el (scroll-bar-horizontal-drag-1)
7572 (scroll-bar-toolkit-horizontal-scroll): When determining the
7573 paragraph direction, use the buffer of the window designated in
7576 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
7578 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
7579 context of unified diff.
7581 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
7583 Add dependencies to fix loaddefs race during parallel builds.
7584 Without this, for example, 'make -j bootstrap' can fail and report
7585 "Opening input file: no such file or directory,
7586 .../lisp/calendar/diary-loaddefs.el ... recipe for target
7587 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
7588 got confused because diary-loaddefs.el was being built in parallel.
7589 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
7590 Depend on $(CAL_DIR)/cal-loaddefs.el.
7591 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
7593 2014-08-16 Martin Rudalics <rudalics@gmx.at>
7595 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
7596 portion-whole for scrolling right-to-left text.
7598 2014-08-15 Leo Liu <sdl.web@gmail.com>
7600 * speedbar.el (speedbar-generic-list-tag-p): Allow special
7601 elements from imenu.
7603 2014-08-15 Glenn Morris <rgm@gnu.org>
7605 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
7607 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
7609 * progmodes/compile.el (compilation-error-regexp-alist-alist):
7610 Add Guile regexpses.
7612 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
7614 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
7615 add Guile debugger support for GUD.
7617 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
7619 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
7620 (mouse-sel--ignore): New function.
7621 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
7622 (mouse-sel-original-interprogram-cut-function)
7623 (mouse-sel-original-interprogram-paste-function): Remove.
7625 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
7627 * vc/vc-git.el (vc-git-resolve-when-done): New function.
7628 Call "git add" when there are no longer conflict markers.
7630 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7632 * vc/vc-git.el (vc-git-find-file-hook): New function.
7633 Adds support for calling smerge (and resolve) on a conflicted file.
7634 (vc-git-conflicted-files): New function.
7635 Useful in itself and a step towards better smerge support.
7637 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
7639 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
7640 to the first part if they're the same as the selection.
7642 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
7644 * image-mode.el (image-transform-reset): New command and menu item.
7645 (image-mode-map): Rearrange the menu items to put presumably more
7646 obscure items at the end.
7648 2014-08-12 Juri Linkov <juri@jurta.org>
7650 * vc/vc-annotate.el (vc-annotate-background-mode):
7651 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
7653 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
7655 * files.el (out-of-memory-warning-percentage): Turn it off by default.
7657 2014-08-11 Sam Steingold <sds@gnu.org>
7659 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
7660 the presence of known validators (tidy, (o)nsgmls).
7662 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
7664 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
7665 * net/newst-treeview.el (newsticker-treeview-date-format): New.
7666 (newsticker--treeview-list-add-item):
7667 Use `newsticker-treeview-date-format'.
7669 2014-08-11 Glenn Morris <rgm@gnu.org>
7671 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
7672 chose coding system for writing before backing up, since it causes
7673 a more serious problem than the one it solves. (Closes Bug#18141,
7676 2014-08-11 Martin Rudalics <rudalics@gmx.at>
7678 * window.el (window-total-size): Make doc-string more self-contained.
7680 * window.el (display-buffer-below-selected): Restore original
7681 behavior if buffer is already displayed in the window below the
7682 selected one (Bug#18181).
7684 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
7686 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
7689 2014-08-11 Eli Zaretskii <eliz@gnu.org>
7691 * info.el (info): Doc fix.
7693 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
7695 * info.el (Info-mode-map): Override a global down-mouse-2 binding
7698 2014-08-11 Eli Zaretskii <eliz@gnu.org>
7700 * simple.el (default-line-height): A floating-point value of
7701 line-spacing means a fraction of the default frame font's height,
7702 not of the font currently used by the 'default' face.
7703 Truncate the pixel value, like the display engine does.
7704 (window-screen-lines): Use window-inside-pixel-edges for
7705 determining the window height in pixels. (Bug#18195)
7707 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
7709 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
7711 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
7713 Enumerate evaluated sexp diary entries (Bug#7911).
7714 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
7715 (icalendar-export-sexp-enumeration-days): New.
7716 (icalendar-export-region): Now `icalendar--convert-to-ical'
7717 returns a cons cell or a list of cons cells.
7718 (icalendar--convert-to-ical): Take care of
7719 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
7720 (icalendar--convert-ordinary-to-ical)
7721 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
7722 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
7723 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
7724 (icalendar--convert-anniversary-to-ical): Return cons cell.
7725 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
7726 entries. Return (list of) cons cells.
7728 2014-08-09 Juri Linkov <juri@jurta.org>
7730 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
7731 to reevaluate `vc-annotate-color-map'. (Bug#18189)
7733 2014-08-09 Alan Mackenzie <acm@muc.de>
7735 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
7736 for top-level that can cause unacceptable slow-down in scrolling.
7737 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
7738 Antipov from 2013-10-14 in emacs-devel.
7740 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7742 * ibuffer.el (ibuffer-mode-map): Use toggle button for
7743 `ibuffer-auto-mode' menu entry.
7744 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
7746 2014-08-08 Matthias Meulien <orontee@gmail.com>
7748 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
7751 2014-08-07 Martin Rudalics <rudalics@gmx.at>
7753 * window.el (window--min-size-1): Explicitly set WINDOW arg in
7754 calls of window-min-pixel-height and window-min-pixel-width.
7756 2014-08-07 Reuben Thomas <rrt@sc3d.org>
7758 * progmodes/ada-mode.el:
7759 * net/tramp.el (tramp-handle-file-symlink-p):
7760 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
7761 about VMS, which we no longer support.
7762 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
7763 and fix a FIXME, using convert-standard-filename in place of
7764 removed ada-convert-file-name.
7766 2014-08-07 Eli Zaretskii <eliz@gnu.org>
7768 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
7770 2014-08-07 Reuben Thomas <rrt@sc3d.org>
7772 Refer to MS-DOS using the same name everywhere.
7773 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
7774 ``msdog'' become ``MS-DOS''.
7776 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
7778 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
7779 Use cached "remote-copy-args" value, if available. (Bug#18199)
7781 2014-08-07 Leo Liu <sdl.web@gmail.com>
7783 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
7784 Revert change on 2014-03-22.
7786 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
7788 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
7789 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
7793 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
7795 * image-mode.el (image-toggle-display-image): Always rescale images
7796 to not be bigger than the current window.
7798 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
7800 * net/eww.el (eww-bookmarks-directory): New variable.
7801 (eww-write-bookmarks): Use it.
7802 (eww-read-bookmarks): Ditto.
7804 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
7806 * net/shr.el (shr-copy-url): Also copy the image URL.
7808 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
7810 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
7811 also for Tramp working buffers.
7813 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
7815 * progmodes/python.el: Fix completions inside (i)pdb.
7816 (python-shell-completion-pdb-string-code): Make obsolete.
7817 (python-shell-completion-get-completions):
7818 Use python-shell-completion-string-code resending setup code
7819 continuously for (i)pdb.
7821 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
7823 * rect.el (rectangle--default-line-number-format): Rename
7824 from misspelled rectange--default-line-number-format (Bug#18045).
7827 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
7829 Don't mishandle year-9999 dates (Bug#18176).
7830 * calendar/parse-time.el (parse-time-rules):
7831 Allow years up to most-positive-fixnum.
7832 * calendar/time-date.el (date-to-time):
7833 Pass "Specified time is not representable" errors through.
7835 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
7837 * progmodes/python.el: Completion code cleanups.
7838 (python-shell-completion-get-completions): Detect and send import
7839 statements directly to completion function.
7840 (python-shell-completion-at-point): Simplify prompt calculation
7841 and import vs input completion logic.
7843 2014-08-02 Alan Mackenzie <acm@muc.de>
7845 Fix confusion in C++ file caused by comma in "= {1,2},".
7847 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
7848 for a statement boundary marked by "}", check there's no "="
7850 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
7851 non-nil `comma-delim' argument.
7852 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
7853 initializer expression more accurately.
7855 Correct loop termination condition in c-syntactic-skip-backward.
7856 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
7857 the situation where, after moving back out of a literal,
7858 skip-chars-backward doesn't move further, yet checks have still to
7861 2014-08-01 Eli Zaretskii <eliz@gnu.org>
7863 * tutorial.el (tutorial--display-changes): Accept punctuation
7864 characters before the key binding. (Bug#18146)
7866 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
7868 * progmodes/python.el: Shell output capture enhancements.
7869 (python-shell-accept-process-output): New function.
7870 (inferior-python-mode)
7871 (python-shell-send-setup-code): Use it.
7873 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
7875 * calendar/icalendar.el (icalendar--decode-isodatetime):
7876 Use actual current-time-zone when converting to local time. (Bug#15408)
7878 2014-07-29 Martin Rudalics <rudalics@gmx.at>
7880 * window.el (window--state-put-2): Handle horizontal scroll
7883 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7885 * menu-bar.el (menu-bar-update-buffers): Update item list format
7886 in `buffers-menu' to confirm with changes to `get_keyelt'
7887 (r117463). (Bug#18016)
7889 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7891 * progmodes/python.el (inferior-python-mode): Make input prompts
7894 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
7896 * net/tramp-sh.el (tramp-get-remote-python): Also search for
7897 executables named "python2" or "python3".
7898 (tramp-get-remote-uid-with-python): Use parentheses around
7899 arguments to `print' to make it compatible with Python 3.
7900 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
7902 2014-07-28 Eli Zaretskii <eliz@gnu.org>
7904 * window.el (window--pixel-to-total): Use FRAME's root window, not
7905 that of the selected frame. (Bug#18112, Bug#16674)
7907 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
7909 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
7912 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7914 * progmodes/python.el (inferior-python-mode): Doc fix.
7916 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7918 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
7919 not a character, ignore it instead of raising an error.
7921 * calendar/todo-mode.el: Fix handling of marked items and make
7922 minor code improvements.
7923 (todo-edit-item): If there are marked items, ensure user can only
7924 invoke editing commands that work with marked items.
7925 (todo-edit-item--text): When there are marked items, make it a
7926 noop if invoked with point not on an item; otherwise, ensure it
7927 applies only to item at point.
7928 (todo-item-undone): If there are marked not-done items, return
7929 point to its original position before signaling user error.
7930 (todo--user-error-if-marked-done-item): New function.
7931 (todo-edit-item--header, todo-edit-item--diary-inclusion)
7932 (todo-item-done): Use it.
7934 2014-07-28 Glenn Morris <rgm@gnu.org>
7936 * files.el (toggle-read-only): Re-add basic doc-string.
7937 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
7939 * progmodes/prolog.el (prolog-mode-keybindings-edit):
7940 Replace missing `switch-to-prolog' with `run-prolog'.
7941 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
7943 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7945 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
7946 of file-wide setting when changing category-wide setting.
7948 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7950 * doc-view.el (doc-view-open-text): Don't require that the
7951 document is saved in a file (e.g., email attachment).
7953 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7955 Parse completion input in a iPython friendly way. (Bug#18084)
7956 * progmodes/python.el
7957 (python-shell-completion-at-point): Rename from
7958 python-shell-completion-complete-at-point.
7959 (inferior-python-mode): Use it.
7960 (python-completion-at-point): Rename from
7961 python-completion-complete-at-point. Parse input up to first
7962 backward occurrence of whitespace, open-paren, close-paren or
7964 (python-mode): Use it.
7966 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7968 * progmodes/python.el
7969 (python-shell-with-shell-buffer): New macro.
7970 (python-shell-font-lock-get-or-create-buffer)
7971 (python-shell-font-lock-kill-buffer)
7972 (python-shell-font-lock-with-font-lock-buffer)
7973 (python-shell-font-lock-cleanup-buffer)
7974 (python-shell-font-lock-toggle): Use it.
7975 (python-shell-font-lock-turn-on)
7976 (python-shell-font-lock-turn-off): Use it. Make command.
7978 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7980 Grab all Python process output before inferior-python-mode hooks.
7981 * progmodes/python.el (inferior-python-mode):
7982 Call accept-process-output and sit-for to ensure all output for process
7983 has been received before running hooks.
7984 (python-shell-internal-get-or-create-process):
7985 Cleanup accept-process-output and sit-for calls.
7987 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7989 More robust shell startup and code setup.
7990 * progmodes/python.el (python-shell-make-comint):
7991 Remove accept-process-output call.
7992 (python-shell-get-buffer): Return current buffer if major-mode is
7993 inferior-python-mode.
7994 (python-shell-get-or-create-process): Use it.
7995 (python-shell-send-setup-code): Send all setup code in one string,
7996 output success message and accept-process-output.
7998 2014-07-27 Eli Zaretskii <eliz@gnu.org>
8000 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
8001 Add rudimentary support for bidirectional text.
8003 2014-07-27 Martin Rudalics <rudalics@gmx.at>
8005 * frame.el (frame-notice-user-settings): Rewrite using
8006 frame-initial-frame-tool-bar-height.
8007 * menu-bar.el (menu-bar-horizontal-scroll-bar)
8008 (menu-bar-no-horizontal-scroll-bar): New functions.
8009 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
8011 * scroll-bar.el (scroll-bar-lines)
8012 (set-horizontal-scroll-bar-mode)
8013 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
8014 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
8015 (scroll-bar-toolkit-horizontal-scroll): New functions.
8016 (horizontal-scroll-bar-mode)
8017 (previous-horizontal-scroll-bar-mode)
8018 (horizontal-scroll-bar-mode-explicit): New variables.
8019 (horizontal-scroll-bar-mode): New option.
8020 (toggle-horizontal-scroll-bar): Do something.
8021 (top-level): Bind horizontal-scroll-bar mouse-1.
8022 * startup.el (tool-bar-originally-present): Remove variable.
8023 (command-line): Don't set tool-bar-originally-present.
8024 * window.el (window-min-height): Update doc-string.
8025 (window--dump-frame): Dump horizontal scroll bar values.
8026 (window--min-size-1): Handle minibuffer window separately.
8027 Count in margins and horizontal scroll bar. Return safe value
8028 iff IGNORE equals 'safe.
8029 (frame-windows-min-size): New function (used by frame resizing
8031 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
8033 (window--sanitize-window-sizes): New function.
8034 (window-split-min-size): Remove.
8035 (split-window): Count divider-width. Don't use
8036 `window-split-min-size' any more. Reword error messages.
8037 Sanitize windows sizes after splitting.
8039 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
8041 Use `defvar-local' more.
8042 * progmodes/hideshow.el
8043 (hs-c-start-regexp, hs-block-start-regexp)
8044 (hs-block-start-mdata-select, hs-block-end-regexp)
8045 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
8046 remove corresponding `make-variable-buffer-local' top-level calls.
8048 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
8050 Cleanup error signals. (Bug#18067)
8051 * progmodes/python.el
8052 (python-indent-shift-left): Use user-error instead.
8053 (python-shell-prompt-detect): Use lwarn with python group.
8054 (python-completion-complete-at-point)
8055 (python-eldoc--get-doc-at-point): Don't signal error.
8057 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
8059 Support for packages in Python shell. (Bug#13570)
8060 * progmodes/python.el (python-shell--package-depth): New var.
8061 (python-shell-package-enable): New command.
8062 (python-util-list-directories, python-util-list-files)
8063 (python-util-list-packages): New functions.
8065 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
8067 Faster comint output. (Bug#16875)
8068 * progmodes/python.el:
8069 (python-comint-output-filter-function): Make obsolete.
8070 (python-comint-postoutput-scroll-to-bottom): New function.
8071 (inferior-python-mode): Set comint-output-filter-functions to a
8074 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
8076 * progmodes/python.el (python-shell-font-lock-post-command-hook):
8077 Safeguard current point and undo history.
8079 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
8081 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
8082 * progmodes/python.el:
8083 (python-shell-prompt-input-regexps): Add iPython block prompt.
8084 (python-shell-output-syntax-table): Delete var.
8085 (python-shell-font-lock-with-font-lock-buffer): New macro.
8086 (python-shell-font-lock-get-or-create-buffer)
8087 (python-shell-font-lock-kill-buffer)
8088 (python-shell-font-lock-cleanup-buffer)
8089 (python-shell-font-lock-post-command-hook)
8090 (python-shell-font-lock-turn-off): New functions.
8091 (python-shell-font-lock-turn-on): New function.
8092 (inferior-python-mode): Use it.
8093 (python-shell-font-lock-toggle): New command.
8094 (python-shell-font-lock-enable): Rename from
8095 python-shell-enable-font-lock.
8096 (run-python-internal): Use it.
8097 (python-shell-font-lock-comint-output-filter-function): New function.
8098 (python-shell-comint-end-of-output-p): New function.
8099 (python-shell-output-filter): Use it.
8100 (python-util-comint-last-prompt): New function.
8101 (python-util-text-properties-replace-name): New function.
8103 2014-07-25 Glenn Morris <rgm@gnu.org>
8105 * vc/ediff-init.el (ediff-toggle-read-only-function):
8106 * vc/ediff-util.el (ediff-toggle-read-only):
8107 Replace obsolete toggle-read-only with read-only-mode.
8109 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
8111 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
8112 with `save-match-data'. (Bug#18095)
8114 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
8116 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
8117 order to ensure that row and col are lexically bound inside the
8120 2014-07-21 Glenn Morris <rgm@gnu.org>
8122 * progmodes/hideif.el (hide-ifdef-mode-submap):
8123 Also substitute read-only-mode.
8124 * bindings.el (mode-line-toggle-read-only):
8125 * bs.el (bs-toggle-readonly):
8126 * buff-menu.el (Buffer-menu-toggle-read-only):
8127 * dired.el (dired-toggle-read-only):
8128 * files.el (view-read-only, find-file-read-only)
8129 (find-file-read-only-other-window)
8130 (find-file-read-only-other-frame):
8131 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
8132 Doc fixes re toggle-read-only.
8134 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8136 * progmodes/python.el: Add comment about pipe buffering and
8137 solutions for missing/delayed output in inferior Python shells.
8140 * progmodes/python.el (python-mode): Don't set
8141 mode-require-final-newline. (Bug#17990)
8143 Make python.el work with IPython automatically. (Bug#15510)
8144 * progmodes/python.el:
8145 (python-shell-completion-setup-code): New value supporting iPython.
8146 (python-shell-completion-string-code): New value supporting iPython.
8147 (python-shell-completion-get-completions): Use them.
8148 (python-shell-completion-module-string-code): Make obsolete.
8149 (python-shell-prompt-input-regexps)
8150 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
8151 (python-shell-output-filter): Fix comment typo.
8153 Fix Python shell prompts detection for remote hosts.
8154 * progmodes/python.el (python-shell-prompt-detect):
8155 Replace call-process with process-file and make it more robust.
8157 Autodetect Python shell prompts. (Bug#17370)
8158 * progmodes/python.el:
8159 (python-shell-interpreter-interactive-arg)
8160 (python-shell-prompt-detect-enabled)
8161 (python-shell-prompt-detect-failure-warning)
8162 (python-shell-prompt-input-regexps)
8163 (python-shell-prompt-output-regexps): New vars.
8164 (python-shell-prompt-calculated-input-regexp)
8165 (python-shell-prompt-calculated-output-regexp): New vars.
8166 (python-shell-get-process-name)
8167 (python-shell-internal-get-process-name)
8168 (python-shell-output-filter)
8169 (python-shell-completion-get-completions): Use them.
8170 (python-shell-prompt-detect)
8171 (python-shell-prompt-validate-regexps): New functions.
8172 (python-shell-prompt-set-calculated-regexps): New function.
8173 (inferior-python-mode): Use it. Also honor overriden
8174 python-shell-interpreter and python-shell-interpreter-args.
8175 (python-shell-make-comint): Honor overriden
8176 python-shell-interpreter and python-shell-interpreter-args.
8177 (python-shell-get-or-create-process): Make it testable by allowing
8178 to call run-python non-interactively.
8179 (python-util-valid-regexp-p): New function.
8180 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
8181 (python-shell-prompt-output-regexp)
8182 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
8184 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
8186 * emacs-lisp/smie.el (smie-config--guess-1): Split from
8188 (smie-config--guess): Use it.
8190 * emacs-lisp/edebug.el: Use nadvice.
8191 (edebug-original-read): Remove.
8192 (edebug--read): Rename from edebug-read and add `orig' arg.
8193 (edebug-uninstall-read-eval-functions)
8194 (edebug-install-read-eval-functions): Use nadvice.
8195 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
8196 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
8197 (edebug-read-string, edebug-read-function): Use just `read'.
8198 (edebug-original-debug-on-entry): Remove.
8199 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
8201 (debug-on-entry): Override with nadvice.
8203 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
8204 it also makes sense to bind it to a non-mouse event.
8206 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
8208 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
8210 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
8213 * rect.el (rectangle--string-preview): Don't assume there
8214 a non-nil default (bug#17984).
8216 2014-07-16 Glenn Morris <rgm@gnu.org>
8218 * desktop.el (after-init-hook): Disable startup frame restoration
8219 in non-graphical situations. (Bug#17693)
8221 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
8222 if it was "empty", or used for a different set of files. (Bug#17884)
8224 2014-07-16 Eli Zaretskii <eliz@gnu.org>
8226 * bindings.el (mode-line-remote): If default-directory is not a
8227 string, don't call file-remote-p on it; instead state in the
8228 help-echo that it is nil. (Bug#17986)
8230 2014-07-14 Daniel Colascione <dancol@dancol.org>
8232 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
8233 to `macroexpand-all'
8235 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
8236 Use `macroexpand-all' instead of `cl-macroexpand-all'.
8238 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
8240 Fix bug: C-x v v discarded existing log message (Bug#17884).
8241 * vc/vc-dispatcher.el (vc-log-edit):
8242 Don't clobber an already-existing log message.
8244 2014-07-12 Glenn Morris <rgm@gnu.org>
8246 * vc/log-edit.el (log-edit-changelog-entries):
8247 Check for a visited-but-never-saved ChangeLog.
8249 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
8251 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
8252 a non-existing file (bug#17970).
8254 * faces.el (face-name): Undo last change.
8255 (x-resolve-font-name): Don't call face-name (bug#17956).
8257 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
8259 Fix dedenters and electric colon handling. (Bug#15163)
8260 * progmodes/python.el
8261 (python-rx-constituents): Add dedenter and block-ender.
8262 (python-indent-dedenters, python-indent-block-enders): Delete.
8263 (python-indent-context): Return new case for dedenter-statement.
8264 (python-indent-calculate-indentation): Handle new case.
8265 (python-indent-calculate-levels): Fix levels calculation for
8266 dedenter statements.
8267 (python-indent-post-self-insert-function): Fix colon handling.
8268 (python-info-dedenter-opening-block-message): New function.
8269 (python-indent-line): Use it.
8270 (python-info-closing-block)
8271 (python-info-closing-block-message): Remove.
8272 (python-info-dedenter-opening-block-position)
8273 (python-info-dedenter-opening-block-positions)
8274 (python-info-dedenter-statement-p): New functions.
8276 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
8278 * files.el (out-of-memory-warning-percentage): New defcustom.
8279 (warn-maybe-out-of-memory): Use it.
8281 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
8283 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
8284 when calling `read-string'. (Bug#17839)
8286 2014-07-10 Eli Zaretskii <eliz@gnu.org>
8288 * files.el (warn-maybe-out-of-memory): Fix the wording of the
8291 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
8293 * files.el (warn-maybe-out-of-memory): New function.
8294 (find-file-noselect): Use it.
8296 2014-07-09 Sam Steingold <sds@gnu.org>
8298 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
8299 `constant' like `bless', `return' &c
8301 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8303 * rect.el (apply-on-rectangle): Check forward-line really moved to the
8306 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8308 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
8309 the middle of a line (bug#17896).
8311 2014-07-09 Juri Linkov <juri@jurta.org>
8313 * startup.el (command-line): Append displaying the warning about
8314 the errors in the init file to the end of `after-init-hook'.
8317 * faces.el (face-name): Return input arg `face' as-is
8318 when it's not a symbol.
8319 (x-resolve-font-name): Don't check if the face is a symbol.
8322 * facemenu.el (list-colors-print): In help-echo format use %.2f
8323 instead of %d because now HSV values are floating-point components
8324 between 0.0 and 1.0.
8326 2014-07-09 Glenn Morris <rgm@gnu.org>
8328 * emulation/cua-rect.el (cua--activate-rectangle):
8329 Avoid setting cua--rectangle to nil. (Bug#17877)
8331 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
8333 * calendar/todo-mode.el: Fix wrong-type-argument error when
8334 marking multiple consecutive items.
8335 (todo-toggle-mark-item): Don't try to mark the empty lines at the
8336 end of the todo and done items sections. Note in doc string that
8337 items marked by passing a numeric prefix argument can include the
8338 last todo and first done items.
8339 (todo-mark-category): Don't try to mark the empty line between the
8340 todo and done items sections.
8342 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8344 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
8345 proper Lisp quoting (bug#17934).
8347 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
8348 require-final-newline since prog-mode already took care of it (bug#17947).
8350 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
8352 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
8353 refer to the Todo mode Info manual. Update the comment on
8355 (todo-find-filtered-items-file): Add todo-prefix overlays.
8356 (todo-filter-items): Reorder a let-bound variable to avoid a
8357 wrong-type-argument error on canceling the file choice dialog.
8359 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
8361 * progmodes/octave.el (inferior-octave-mode):
8362 Set comint-input-ring-size to a number (bug#17912).
8364 2014-07-09 Juri Linkov <juri@jurta.org>
8366 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
8367 and `isearch-mode' associated with nil. (Bug#17849)
8369 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
8371 * linum.el (linum--face-height): New function (bug#17813).
8372 (linum-update-window): Use it to adjust margin to linum's width.
8374 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
8375 * eshell/em-smart.el (eshell-smart-scroll-window):
8376 Use with-selected-window.
8378 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
8379 Remove also pointless window&mark manipulation.
8381 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
8382 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
8383 (perl-continuation-line-p): Don't skip over anything else than labels.
8384 Return the previous char.
8385 (perl-calculate-indent): Use syntax-ppss instead of parse-start
8386 and update callers accordingly. For continuation lines, check the
8387 the case of array hashes.
8388 (perl-backward-to-noncomment): Make it non-interactive.
8389 (perl-backward-to-start-of-continued-exp): Rewrite.
8391 2014-07-08 Sam Steingold <sds@gnu.org>
8393 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
8396 2014-07-08 Juri Linkov <juri@jurta.org>
8398 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
8399 (vc-annotate-color-map): Use less saturated colors (20%) for
8401 (vc-annotate-very-old-color): Add default value for background-mode.
8402 (vc-annotate-background): Set default value to nil since now text on
8403 the default backgrounds should be legible in light and dark modes.
8404 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
8407 2014-07-08 Juri Linkov <juri@jurta.org>
8409 * simple.el (transpose-chars): Don't move point into read-only area.
8412 2014-07-08 Juri Linkov <juri@jurta.org>
8414 * window.el (with-displayed-buffer-window): New macro.
8415 (with-temp-buffer-window, with-current-buffer-window):
8416 Use `macroexp-let2' to evaluate and bind variables
8417 in the same order as macro arguments.
8418 (display-buffer--action-function-custom-type):
8419 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
8421 * minibuffer.el (minibuffer-completion-help): Replace
8422 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
8423 with actions that display *Completions* at-bottom when called
8424 from the minibuffer, or below-selected in a normal buffer.
8425 Associate `window-height' with `fit-window-to-buffer'.
8426 Let-bind `pop-up-windows' to nil.
8428 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
8429 instead of `with-current-buffer-window'. (Bug#17809)
8431 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
8433 * progmodes/hideif.el (hide-ifdef-env): Change to global.
8434 (hide-ifdef-env-backup): New variable.
8435 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
8436 New customizable variables.
8437 (hif-clear-all-ifdef-defined): New defun.
8438 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
8439 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
8440 (hif-tokenize): Fix for MS-DOS/Win EOL style.
8441 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
8442 Fix bug to hide the correct #elif region(s).
8443 (hif-range-elif): New defun.
8444 (hif-recurse-level): New var.
8445 (hif-evaluate-region, hif-evaluate-macro): New defun.
8446 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
8448 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
8451 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
8453 * net/dbus.el (dbus-peer-handler): New defun.
8454 (dbus-register-service): Register it. (Bug#17858)
8455 (dbus-managed-objects-handler): Fix docstring.
8457 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
8459 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
8460 (narrow-to-defun): New arg include-comments, defaulting to it
8463 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8465 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
8466 different calling convention to rectangle--unhighlight-for-redisplay.
8468 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
8470 * net/tramp.el (tramp-call-process): Handle error strings.
8472 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
8474 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
8475 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
8477 * net/trampver.el: Update release number.
8479 2014-07-03 Juri Linkov <juri@jurta.org>
8481 * desktop.el (desktop-save): Rename arg `auto-save' to
8482 `only-if-changed'. Doc fix. (Bug#17873)
8484 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8486 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
8487 Use insert-for-yank (bug#17271).
8489 2014-07-03 Leo Liu <sdl.web@gmail.com>
8491 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
8492 Support lexical-binding.
8494 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8496 * vc/log-edit.el (log-edit-goto-eoh): New function.
8497 (log-edit--match-first-line): Use it (bug#17861).
8499 2014-07-03 Glenn Morris <rgm@gnu.org>
8501 * vc/log-edit.el (log-edit-hook): Add missing :version.
8503 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
8505 * progmodes/python.el (python-indent-post-self-insert-function):
8506 Enhancements to electric indentation behavior inside
8509 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
8511 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
8512 buffer-invisibility-spec (bug#17867).
8514 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
8516 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
8519 2014-07-03 Glenn Morris <rgm@gnu.org>
8521 * cus-edit.el (help):
8522 * finder.el (finder-known-keywords):
8523 * help.el (help-for-help-internal):
8524 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
8525 (ediff-redraw-registry-buffer):
8526 * vc/ediff-ptch.el (ediff-patch-file-internal):
8527 Doc fixes re "online" help. (Bug#17803)
8529 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
8530 (idlwave-mode): Doc URL update.
8532 2014-07-01 Juri Linkov <juri@jurta.org>
8534 * man.el: Display man pages immediately and use process-filter
8535 to format them asynchronously.
8536 (Man-width): Doc fix.
8538 (Man-start-calling): Use `with-selected-window' to get
8539 `frame-width' and `window-width'.
8540 (Man-getpage-in-background): Call `Man-notify-when-ready'
8541 immediately after creating a new buffer. Call `Man-mode' and set
8542 `mode-line-process' in the created buffer. Set process-filter to
8543 `Man-bgproc-filter' in start-process branch. In call-process branch
8544 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
8545 Use `Man-start-calling' inside `with-current-buffer'.
8546 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
8547 (Man-cleanup-manpage): Don't print messages.
8548 (Man-bgproc-filter): New function.
8549 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
8550 user moved it during asynchronous formatting. Move calls of
8551 `Man-fontify-manpage' and `Man-cleanup-manpage' to
8552 `Man-bgproc-filter'. Move the call of `Man-mode' to
8553 `Man-getpage-in-background'. Use `quit-restore-window'
8554 instead of `kill-buffer'. Use `message' instead of `error'
8555 because errors are caught by process sentinel.
8556 (Man-mode): Move calls of `Man-build-page-list',
8557 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
8558 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
8560 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
8561 for the message about the man page cleaned up.
8563 2014-07-01 Mario Lang <mlang@delysid.org>
8565 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
8566 cosutomization option `gnutls-verify-error'.
8568 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
8570 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
8571 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
8573 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
8574 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
8575 is suspended (bug#17857).
8577 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
8579 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
8580 Prefer utf-8 coding. (Bug#17859)
8582 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
8584 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
8587 2014-06-30 Glenn Morris <rgm@gnu.org>
8589 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
8590 (autoload-ensure-default-file): Maybe make existing output writable.
8591 * Makefile.in (AUTOGEN_VCS): Remove.
8592 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
8594 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
8596 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
8598 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
8600 New if-let, when-let, thread-first and thread-last macros.
8602 * emacs-lisp/subr-x.el
8603 (internal--listify, internal--check-binding)
8604 (internal--build-binding-value-form, internal--build-binding)
8605 (internal--build-bindings): New functions.
8606 (internal--thread-argument, thread-first, thread-last)
8607 (if-let, when-let): New macros.
8609 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
8611 * net/rcirc.el (rcirc-buffer-process): Restore previous
8612 behaviour. (Bug#17772)
8614 2014-06-29 Alan Mackenzie <acm@muc.de>
8616 Don't call c-parse-state when c++-template-syntax-table is active.
8617 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
8618 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
8619 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
8621 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
8623 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
8624 account for file-wide setting of todo-top-priorities-overrides.
8625 Make code a bit cleaner.
8627 2014-06-28 Glenn Morris <rgm@gnu.org>
8629 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
8631 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
8633 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
8634 category-wide setting of todo-top-priorities-overrides, check for
8635 a file-wide setting and fontify accordingly.
8637 2014-06-28 Glenn Morris <rgm@gnu.org>
8639 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
8641 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
8643 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
8645 2014-06-28 K. Handa <handa@gnu.org>
8649 * composite.el: Setup composition-function-table for dotted circle.
8650 (compose-gstring-for-dotted-circle): New function.
8652 * international/characters.el: Add category "^" to all
8653 non-spacing characters.
8655 2014-06-28 Glenn Morris <rgm@gnu.org>
8657 * Makefile.in (doit): Remove force rule.
8658 (custom-deps, finder-data, autoloads, update-subdirs)
8659 (compile-one-process): PHONY targets do not need force rules.
8661 * Makefile.in (compile-main, compile, compile-always):
8662 No need to explicitly pass variables to ourself in recursive calls.
8664 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
8666 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
8668 2014-06-26 Glenn Morris <rgm@gnu.org>
8670 * Makefile.in (update-authors): Update for moved authors.el.
8672 2014-06-26 Leo Liu <sdl.web@gmail.com>
8674 * skeleton.el (skeleton-end-hook): Default to nil and move the
8675 work to skeleton-insert. (Bug#17850)
8677 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
8679 * calc/calc-alg.el (math-beforep):
8680 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
8681 Simplify because string-lessp can accept symbols as args.
8683 2014-06-26 Daiki Ueno <ueno@gnu.org>
8685 * emacs-lisp/package.el (package--check-signature):
8686 If package-check-signature is allow-unsigned, don't signal error when
8687 we can't verify signature because of missing public key
8690 2014-06-26 Glenn Morris <rgm@gnu.org>
8692 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
8693 Remove outdated declaration.
8695 * emacs-lisp/authors.el (authors-valid-file-names)
8696 (authors-renamed-files-alist): Additions.
8698 2014-06-26 Leo Liu <sdl.web@gmail.com>
8700 * textmodes/picture.el (picture-set-tab-stops):
8701 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
8702 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
8704 * progmodes/asm-mode.el (asm-calculate-indentation):
8705 Use indent-next-tab-stop.
8707 * indent.el (indent-accumulate-tab-stops): New function.
8709 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
8711 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
8712 (package-desc-status): Obey it.
8714 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
8716 * calendar/todo-mode.el: Fix two bugs.
8717 (todo-insert-item--basic): If user cancels item insertion to
8718 another category before setting priority, show original category
8719 whether it is in the same or a different file.
8720 (todo-set-item-priority): After selecting category, instead of
8721 moving point to top, which extends an active region, restore it.
8723 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
8725 * help-fns.el (describe-function-1): Check file-name is a string before
8726 calling help-fns--autoloaded-p (bug#17564).
8728 2014-06-26 Juri Linkov <juri@jurta.org>
8730 * desktop.el (desktop-auto-save-enable)
8731 (desktop-auto-save-disable): New functions.
8732 (desktop-save-mode, desktop-auto-save-timeout): Use them.
8733 (desktop-read): Disable the autosave before loading the desktop,
8734 and enable afterwards. (Bug#17351)
8736 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
8738 Fix some indentation problem with \; and pipes (bug#17842).
8739 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
8740 (sh-smie--default-forward-token, sh-smie--default-backward-token):
8742 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
8743 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
8744 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
8746 2014-06-26 Glenn Morris <rgm@gnu.org>
8748 * emacs-lisp/find-func.el (find-function-C-source-directory):
8749 Use file-accessible-directory-p.
8751 * ps-samp.el: Make it slightly less awful.
8752 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
8753 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
8754 Only set local values.
8755 (ps-article-subject, ps-article-author): Use standard functions
8756 like mail-fetch-field.
8757 (ps-info-file, ps-info-node): Use match-string.
8758 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
8759 (ps-samp-ps-setup): ... new function.
8761 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
8762 Optimize away code unneeded on any modern Emacs.
8764 * emacs-lisp/authors.el: Move to ../admin.
8766 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
8768 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
8770 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
8771 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
8772 performance enhancements.
8773 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
8775 (hif-factor, hif-string-concatenation, intern-safe): Support string
8776 concatenation and argumented macro expansion.
8777 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
8778 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
8779 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
8780 (hif-canonicalize-tokens, hif-place-macro-invocation)
8781 (hif-parse-macro-arglist): Mostly new functions for supporting
8782 argumented macro expansion.
8783 (hif-string-concatenation, hif-stringify, hif-token-concat)
8784 (hif-token-stringification, hif-token-concatenation):
8785 Stringification and concatenation.
8786 (hif-find-next-relevant): Fix comments.
8787 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
8788 some cases involving #elif.
8789 (hif-find-define, hif-add-new-defines): New functions for automatically
8790 scanning of defined symbols.
8791 (hide-ifdef-guts): Fix for defined symbol auto scanning.
8792 (hide-ifdef-undef): Fix behavior to match CPP.
8794 2014-06-25 Glenn Morris <rgm@gnu.org>
8796 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
8797 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
8798 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
8799 files. They are not relevant to the original issue (bug#1004),
8800 and cause unnecessary recompilation (bug#2151).
8802 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
8804 * play/landmark.el: Use lexical-binding and avoid `intangible'.
8805 (landmark--last-pos): New var.
8806 (landmark--intangible-chars): New const.
8807 (landmark--intangible): New function.
8808 (landmark-mode, landmark-move): Use it.
8809 (landmark-mode): Remove properties.
8810 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
8811 (landmark-cross-qtuple):
8812 Don't worry about `intangible' any more.
8813 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
8814 (landmark-init-display): Don't set `intangible' and `point-entered'.
8815 (square): Remove. Inline it instead.
8816 (landmark--distance): Rename from `distance'.
8817 (landmark-calc-distance-of-robot-from): Rename from
8818 calc-distance-of-robot-from.
8819 (landmark-calc-smell-internal): Rename from calc-smell-internal.
8821 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
8823 * files.el (dir-locals-find-file, file-relative-name):
8824 * info.el (Info-complete-menu-item):
8825 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
8826 to compare-strings to avoid out-of-range errors.
8827 * subr.el (string-prefix-p): Adjust to match strict range
8828 checking in compare-strings.
8830 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
8832 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
8833 for comment lines non-greedy and stopping at newlines to fix stack
8834 overflows with large files.
8836 2014-06-24 Eli Barzilay <eli@barzilay.org>
8838 * calculator.el (calculator-last-input): Drop 'ascii-character property
8841 2014-06-24 Leo Liu <sdl.web@gmail.com>
8843 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
8844 tab-stop-list to nil. (Bug#16381)
8846 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
8847 (indent-rigidly-left-to-tab-stop)
8848 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
8849 (move-to-tab-stop): Change callers.
8851 2014-06-24 Eli Zaretskii <eliz@gnu.org>
8853 * skeleton.el (skeleton-insert): Yet another fix of the doc string
8854 wrt behavior of \n as the first/last element of a skeleton.
8856 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
8858 * net/tramp-adb.el (tramp-adb-handle-process-file):
8859 * net/tramp-sh.el (tramp-sh-handle-process-file):
8860 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
8861 the output buffer when DISPLAY is non-nil. (Bug#17815)
8863 2014-06-24 Glenn Morris <rgm@gnu.org>
8865 * play/landmark.el (landmark-move-down, landmark-move-up):
8866 Fix 2007-10-20 change - preserve horizontal position.
8868 2014-06-23 Sam Steingold <sds@gnu.org>
8870 * simple.el (kill-append): Remove undo boundary depending on ...
8871 (kill-append-merge-undo): New user option.
8873 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
8875 * simple.el (handle-shift-selection, exchange-point-and-mark)
8876 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
8877 (transient-mark-mode): Use&set the global value.
8878 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
8879 * emulation/edt.el (edt-emulation-off): Save&restore the global
8880 transient-mark-mode setting.
8881 * obsolete/pc-select.el (pc-selection-mode): Use the
8882 transient-mark-mode function.
8884 2014-06-23 Eli Zaretskii <eliz@gnu.org>
8886 * international/fontset.el (script-representative-chars):
8887 Add representative characters for scripts added in Unicode 7.0.
8888 (otf-script-alist): Synchronize with the latest registry of OTF
8891 * international/characters.el (char-script-table): Update for
8892 scripts added and codepoint ranges changed in Unicode 7.0.
8894 2014-06-23 Eli Barzilay <eli@barzilay.org>
8896 * calculator.el (calculator-standard-displayer): Fix bug in use of
8897 `calculator-groupize-number'.
8898 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
8899 `eval' code, so it works in v24.3.1 too.
8900 (calculator-last-input): Comment to clarify purpose.
8902 2014-06-22 Mario Lang <mlang@delysid.org>
8904 * textmodes/rst.el (rst-comment-region): From from -> from.
8906 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
8908 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
8910 * electric.el (electric-layout-post-self-insert-function):
8911 * emacs-lisp/ert.el (ert--insert-infos):
8912 * obsolete/vi.el (vi-set-mark):
8913 * term.el (term-handle-scroll):
8914 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
8915 * wid-edit.el (widget-editable-list-value-create):
8916 Prefer point-marker to copy-marker of point.
8918 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8920 Fix completion retrieval parsing (bug#17209).
8921 * progmodes/python.el (python-mode):
8922 (python-util-strip-string): New function.
8923 (python-shell-completion-get-completions): Use it.
8925 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8927 * skeleton.el (skeleton-insert): Fix last change.
8929 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8931 Enhancements for outline integration (bug#17796).
8932 * progmodes/python.el (python-mode): Properly set
8933 outline-heading-end-regexp so that comments after colons for
8934 defuns are supported.
8936 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8938 * skeleton.el (skeleton-insert): Doc fix.
8940 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8942 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
8943 (smie-config-guess): Use smie-config-local so the rules are obeyed
8946 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
8947 since it's already done inside the loop (bug#17819).
8949 2014-06-21 Martin Rudalics <rudalics@gmx.at>
8951 * mouse.el (mouse-drag-line): Re-remove code initially removed
8952 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
8955 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8957 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
8958 align with the surrounding parent (bug#17721).
8960 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8962 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
8964 (texinfo-insert-block, texinfo-insert-@end)
8965 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
8966 local setting of skeleton-end-newline by adding an explicit \n to
8967 the skeletons where appropriate. (Bug#17801)
8969 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8971 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
8972 (smie-indent--hanging-p): Use it.
8973 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
8975 2014-06-21 Leo Liu <sdl.web@gmail.com>
8977 * simple.el (read-quoted-char): Don't let help chars pop up help
8980 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8982 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
8985 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
8986 Drop unknown events instead of burping.
8988 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8990 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
8991 and later. (Bug#17790)
8993 2014-06-21 Juri Linkov <juri@jurta.org>
8995 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
8996 to `soft'. (Bug#17554)
8998 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
9000 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
9003 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
9005 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
9006 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
9008 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
9010 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
9011 `unread-command-events'.
9013 2014-06-19 William Xu <william.xwl@gmail.com>
9015 * progmodes/hideif.el (hif-string-to-number): Don't return float for
9016 hex integer constants (bug#17807).
9018 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
9020 * international/mule-util.el (truncate-string-ellipsis): New var.
9021 (truncate-string-to-width): Use it.
9023 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
9025 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
9026 (lisp-string-in-doc-position-p): New function, extracted from
9027 lisp-font-lock-syntactic-face-function.
9028 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
9030 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
9032 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
9034 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
9036 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
9037 (bubbles--game-over): Don't add `intangible' properties since they
9040 2014-06-18 Juri Linkov <juri@jurta.org>
9042 * vc/ediff-init.el (ediff-current-diff-Ancestor)
9043 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
9044 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
9045 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
9046 Add `min-colors 88' version with removed black/white foregrounds.
9049 2014-06-18 Juri Linkov <juri@jurta.org>
9051 * vc/diff-mode.el (diff-changed): Empty face definition to use
9052 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
9053 (diff-context): Use darker color on light background and
9054 lighter color on dark background.
9056 2014-06-18 Juri Linkov <juri@jurta.org>
9058 * vc/diff-mode.el (diff-refine-changed): Rename from
9059 `diff-refine-change' for consistency with `diff-changed'.
9060 (diff-refine-change): Add obsolete face alias. (Bug#10181)
9062 * vc/smerge-mode.el (smerge-refined-changed): Rename from
9063 `smerge-refined-change'.
9064 (smerge-refined-change): Add obsolete face alias.
9066 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
9068 * rect.el (rectangle-preview): New custom.
9069 (rectangle): New group.
9070 (rectangle--pos-cols): Add `window' argument.
9071 (rectangle--string-preview-state, rectangle--string-preview-window):
9073 (rectangle--string-flush-preview, rectangle--string-erase-preview)
9074 (rectangle--space-to, rectangle--string-preview): New functions.
9075 (string-rectangle): Use them.
9076 (rectangle--inhibit-region-highlight): New var.
9077 (rectangle--highlight-for-redisplay): Obey it. Make sure
9078 `apply-on-region' uses the point-crutches of the right window.
9079 Use :align-to rather than multiple spaces.
9081 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
9083 * ruler-mode.el (ruler-mode-window-col)
9084 (ruler-mode-mouse-set-left-margin)
9085 (ruler-mode-mouse-set-right-margin): Fix calculation of column
9086 from mouse position (Bug#17768).
9088 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
9090 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
9091 without varname or rhs causes crash.
9092 (dun-ftp): Fix bug where blank ftp password is allowed, making it
9093 impossible to win endgame.
9094 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
9096 (dun-help): Bump version number; update contact info.
9098 2014-06-15 Eli Barzilay <eli@barzilay.org>
9100 * calculator.el (calculator-prompt, calculator-remove-zeros)
9101 (calculator-mode-hook, calculator-operators, calculator-stack)
9102 (calculator-mode): Tweak docstring.
9103 (calculator-user-operators): Tweak docstring, fix a bug in the last
9105 (calculator-displayer): `std' case has an optional boolean.
9106 (calculator-displayers): Use the new boolean to group in decimal mode.
9107 (calculator-mode-map, calculator, calculator-message)
9108 (calculator-op-arity, calculator-add-operators)
9109 (calculator-string-to-number, calculator-displayer-prev)
9110 (calculator-displayer-next, calculator-remove-zeros)
9111 (calculator-eng-display, calculator-number-to-string)
9112 (calculator-update-display, calculator-last-input)
9113 (calculator-clear-fragile, calculator-digit, calculator-decimal)
9114 (calculator-exp, calculator-saved-move, calculator-clear)
9115 (calculator-copy, calculator-put-value, calculator-help)
9116 (calculator-expt, calculator-truncate): Minor code improvements.
9117 (calculator-need-3-lines): New function pulling out code from
9119 (calculator-get-display): Rename from `calculator-get-prompt', and
9121 (calculator-push-curnum): Rename from `calculator-curnum-value', and
9122 extended for all uses of it. All callers changed.
9123 (calculator-groupize-number): New utility for splitting a number into
9125 (calculator-standard-displayer): Improve code, new optional argument to
9126 use comma-split groups, make second argument optional too to use with
9127 'left/'right inputs. All callers changed.
9128 (calculator-reduce-stack-once): New utility, doing the meat of what
9129 `calculator-reduce-stack' used to do, much improved (mostly using
9130 `pcase' for conciseness and clarity).
9131 (calculator-reduce-stack): Now doing just the reduction loop using
9132 `calculator-reduce-stack-once'.
9133 (calculator-funcall): Improve code, make it work in v24.3.1 too.
9134 (calculator-last-input): Improve code, remove some old cruft.
9135 (calculator-quit): Kill `calculator-buffer' in electric mode too.
9136 (calculator-integer-p): Remove.
9137 (calculator-fact): Improve code, make it work on non-integer values
9138 too (using truncated numbers).
9140 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
9142 Sync with Tramp 2.2.10.
9144 * net/tramp.el (tramp-methods): Tweak docstring.
9145 (tramp-handle-file-accessible-directory-p): Check for
9146 `file-readable-p' instead of `file-executable-p'.
9147 (tramp-check-cached-permissions):
9148 Use `tramp-compat-file-attributes'.
9149 (tramp-call-process): Add new argument VEC. Adapt callees in all
9152 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
9153 (tramp-adb-maybe-open-connection): Don't set
9154 `tramp-current-*' variables.
9156 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
9157 file properties of temporary buffers.
9159 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
9161 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
9162 (tramp-gvfs-handle-delete-file): Flush file
9163 properties, not directory properties.
9164 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
9165 reading "unix::mode".
9166 (tramp-gvfs-handle-file-name-all-completions):
9167 Use "-h" option for "gvfs-ls".
9168 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
9169 (tramp-gvfs-send-command): Simplify traces.
9171 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
9172 (vc-git-program, vc-hg-program): Declare.
9173 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
9174 (tramp-methods) <nc>: Add new method.
9175 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
9176 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
9178 (tramp-default-user-alist): Add "nc".
9179 (top): Remove completion function for "sftp". Add completion
9180 functions for "nc" and "psftp".
9181 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
9182 Implement support for "nc" method.
9183 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
9184 (tramp-remote-coding-commands, tramp-call-local-coding-command):
9186 (tramp-sh-handle-write-region): Tweak error message.
9187 (tramp-sh-handle-vc-registered): Remove backends when the remote
9188 binary does not exist.
9189 (tramp-find-inline-encoding): Do not raise an error.
9190 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
9191 the "nc" case. Quote result also locally.
9193 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
9194 (tramp-smb-handle-set-file-acl): Use `start-process'.
9195 (tramp-smb-handle-insert-directory): Use progress reporter.
9196 (tramp-smb-handle-rename-file): Flush also file properties of
9199 * net/trampver.el: Update release number.
9201 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
9203 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
9205 (ses-localvars): Remove ses--local-printer-list, unused.
9206 (ses--metaprogramming): New macro. Use it to defvar variables.
9207 (ses-set-localvars): Simplify.
9208 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
9209 property-list into an alist.
9210 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
9211 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
9212 Remove; use defstruct accessors/setters instead.
9213 (ses-cell-formula-aset, ses-cell-printer-aset)
9214 (ses-cell-references-aset): Remove, use setf instead.
9215 (ses--alist-get): New function.
9216 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
9217 Use an alist instead of a plist and don't do move-to-front since the
9218 list is always short.
9219 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
9220 (ses-cell-property-set-fun, ses-cell-property-set)
9221 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
9222 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
9223 (ses--letref): New macro.
9224 (ses-cell-property-pop): Rewrite.
9225 (ses--cell): Rename from ses-cell and make it into a function.
9226 Make `formula' fallback on `value' if nil.
9227 (ses--local-printer): Rename from ses-local-printer and make it into
9229 (ses-set-cell): Turn it into a macro so finding the accessor from the
9230 field name is done at compile time.
9231 (ses-repair-cell-reference-all): Test presence of `sym' rather than
9232 `ref' before adding `sym' to :ses-repair-reference.
9233 (ses-calculate-cell): Use ses--letref rather than
9234 ses-cell-property-get-handle.
9235 (ses-write-cells): Use a single prin1-to-string.
9236 (ses-setter-with-undo): New function.
9237 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
9238 (ses-unset-with-undo): Remove.
9239 (ses-load): Prefer apply' over `eval'.
9240 (ses-read-printer, ses-set-column-width): Use standard "(default
9243 2014-06-15 Glenn Morris <rgm@gnu.org>
9245 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
9247 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
9248 Replace delete-duplicates and mapcan by cl- versions throughout.
9249 And cl-macroexpand-all by macroexpand-all.
9250 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
9252 2014-06-15 Eli Zaretskii <eliz@gnu.org>
9254 * subr.el (posn-col-row): Doc fix. (Bug#17768)
9256 2014-06-15 Juri Linkov <juri@jurta.org>
9258 * bindings.el: Put `ascii-character' property on keypad keys
9259 mapped to characters. (Bug#17759)
9261 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
9263 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
9264 bumping forward into a closing paren (bug#17761).
9266 * term/xterm.el (xterm--version-handler): Work around for OSX
9267 Terminal.app (bug#17607).
9269 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
9271 * play/dunnet.el (dun-describe-room, dun-mode):
9272 If a lamp is in the room, you won't be eaten by a grue.
9274 2014-06-13 Glenn Morris <rgm@gnu.org>
9276 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
9277 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
9278 (compile-always): GNU make automatically passes
9279 command-line arguments to sub-makes.
9281 * calendar/calendar.el (calendar-generate-window):
9282 Remove pointless call to font-lock-fontify-buffer.
9284 2014-06-13 Matthias Meulien <orontee@gmail.com>
9286 * simple.el (completion-list-mode-map): Navigate with tab and backtab
9289 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
9291 * simple.el (set-mark-command): Simplify a bit.
9293 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9295 * help.el (help--key-binding-keymap): New function.
9296 (help--binding-locus): New function.
9297 (describe-key): Mention the keymap in which the binding was
9300 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
9302 * hippie-exp.el (he--all-buffers): New function.
9303 (try-expand-line-all-buffers, try-expand-list-all-buffers)
9304 (try-expand-dabbrev-all-buffers): Use it.
9306 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
9308 * hippie-exp.el (try-expand-line-all-buffers)
9309 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
9310 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
9311 original buffer, in case they're buffer-local.
9313 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
9315 * ses.el (ses-initial-global-parameters-re): New defconst, a
9316 specific regexp is needed now that ses.el can handle both
9317 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
9319 (ses-localvars): Add local variables needed for local printer handling.
9320 (ses-set-localvars): Handle hashmap initialization.
9321 (ses-paramlines-plist): Add param-line for number of local printers.
9322 (ses-paramfmt-plist): New defconst, needed for code factorization
9323 between functions `ses-set-parameter' and
9324 `ses-file-format-extend-paramter-list'
9325 (ses-make-local-printer-info): New defsubst.
9326 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
9327 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
9328 (ses-cell-printer-aset): New defmacro.
9329 (ses-local-printer-compile): New defun.
9330 (ses-local-printer): New defmacro.
9331 (ses-printer-validate, ses-call-printer): Add support for local
9333 (ses-file-format-extend-paramter-list): New defun.
9334 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
9336 (ses-load): Add support for local printer functions.
9337 (ses-read-printer): Update docstring and add support for local printer
9339 (ses-refresh-local-printer, ses-define-local-printer): New defun.
9340 (ses-safe-printer): Add support for local printer functions.
9342 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
9344 * ffap.el (ffap-lax-url): New var (bug#17723).
9345 (ffap-url-at-point): Use it.
9346 (ffap-file-at-point): Avoid returning just "/".
9348 2014-06-12 Matthias Meulien <orontee@gmail.com>
9350 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
9351 (python-mode-map): Bind it.
9353 * progmodes/python.el (class skeleton): Don't erase last char of class
9356 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
9358 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
9360 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
9362 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
9365 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
9367 * international/mule-cmds.el: Use lexical-binding.
9368 (ucs-names): Simplify.
9370 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
9372 * progmodes/python.el (run-python): Use read-shell-command.
9374 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
9376 * rect.el: Make it possible to move bounds past EOL or into TABs.
9377 (operate-on-rectangle): Use apply-on-rectangle.
9378 (rectangle--mark-crutches): New var.
9379 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
9380 (rectangle--crutches, rectangle--reset-crutches): New functions.
9381 (apply-on-rectangle): Obey crutches. Avoid setq.
9382 Fix missing final iteration if end is at EOB&BOL.
9383 (rectangle-mark-mode-map): Add remap bindings for
9384 exchange-point-and-mark and char/line movements.
9385 (rectangle--*-char): New function.
9386 (rectangle-exchange-point-and-mark, rectangle-right-char)
9387 (rectangle-left-char, rectangle-forward-char)
9388 (rectangle-backward-char, rectangle-next-line)
9389 (rectangle-previous-line): New commands.
9390 (rectangle--place-cursor): New function.
9391 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
9393 2014-06-08 Glenn Morris <rgm@gnu.org>
9395 * startup.el (initial-buffer-choice): Doc fix.
9396 Reset :version (adding an option does not merit a :version bump).
9398 * bookmark.el (bookmark-load):
9399 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
9401 2014-06-08 Juri Linkov <juri@jurta.org>
9403 * desktop.el: Activate auto-saving on window configuration changes.
9404 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
9405 `desktop-auto-save-set-timer' to/from
9406 `window-configuration-change-hook'.
9407 (desktop-auto-save-set-timer): Change REPEAT arg of
9408 `run-with-idle-timer' from t to nil.
9409 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
9411 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
9413 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
9414 vc-hg-command (bug#17570).
9416 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
9418 * international/mule-cmds.el (ucs-names): Add special entry for BEL
9421 2014-06-08 Glenn Morris <rgm@gnu.org>
9423 * startup.el (window-setup-hook): Doc fix.
9425 * emacs-lisp/package.el (package-check-signature)
9426 (package-unsigned-archives): Doc fixes.
9428 2014-06-08 Martin Rudalics <rudalics@gmx.at>
9430 * window.el (display-buffer-use-some-window): Don't make window
9431 used smaller than it was before (Bug#17671).
9433 2014-06-08 Eli Zaretskii <eliz@gnu.org>
9435 * menu-bar.el (menu-bar-open): Fix last change: use the PC
9436 'redisplay' instead of '(sit-for 0)'.
9438 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
9440 * net/tramp.el (tramp-ssh-controlmaster-options):
9441 Improve search regexp. (Bug#17653)
9443 2014-06-08 Glenn Morris <rgm@gnu.org>
9445 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
9447 2014-06-08 Eli Zaretskii <eliz@gnu.org>
9449 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
9451 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
9453 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
9456 * vc/vc-hg.el (vc-hg-log-graph): New var.
9457 (vc-hg-print-log): Use it.
9458 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
9459 graph output (bug#17515).
9461 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
9463 * mouse.el (mouse-posn-property): Ignore buffer position info when the
9464 even happened elsewhere.
9466 2014-06-06 Mario Lang <mlang@delysid.org>
9468 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
9469 `recenter' if `current-buffer' is equal to `window-buffer'.
9471 2014-06-05 Leo Liu <sdl.web@gmail.com>
9473 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
9475 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
9477 * textmodes/tildify.el (tildify-foreach-region-outside-env):
9478 New function which calls a callback on portions of the buffer that are
9479 outside of ignored environments.
9480 (tildify-build-regexp): Remove function since it is now
9481 incorporated in `tildify-foreach-region-outside-env' where it is
9482 optimized and simplified by the use of `mapconcat'.
9483 (tildify-tildify): Return number of substitutions made so that…
9484 (tildify-count): …can be removed.
9485 (tildify-find-env): Accept a new PAIRS argument which was
9486 previously looked up in `tildify-ignored-environments-alist' each
9487 time the function was called. With this change, the lookup is
9488 performed only once in `tildify-foreach-region-outside-env'.
9489 (tildify-region): Greatly simplify the function since now most of
9490 the work is done by `tildify-foreach-region-outside-env'.
9491 (tildify-mode-alist): Simplify slightly by avoiding if and setq
9492 and instead using or.
9494 * textmodes/tildify.el (tildify-ignored-environments-alist):
9495 Optimize environments regexes
9497 Each time beginning of an environment to ignore is found,
9498 `tildify-find-env' needs to identify regexp for the ending
9499 of the environment. This is done by trying all the opening
9500 regexes on matched text in a loop, so to speed that up, this
9501 loop should have fewer things to match, which can be done by
9502 using alternatives in the opening regexes.
9504 Coincidentally, this should make matching of the opening
9505 regexp faster as well thanks to the use of `regexp-opt' and
9506 having common prefix pulled from many regexes.
9508 * textmodes/tildify.el (tildify-string-alist)
9509 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
9510 of supported modes since `xml-mode' is no longer a thing but just
9511 an alias to the former. Also include comments and insides of tags
9512 in `tildify-ignored-environments-alist' for XML modes. Finally,
9513 since XML does not define “ ”[1], use a numeric reference for
9514 a no-break space (namely “ ”)
9516 [1] XML specification defines only a handful of predefined entities.
9517 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
9518 and includes only <, >, &, ' and " (meaning <,
9519 >, &, ' and " respectively). This is in contrast to HTML and even
9520 XHTML which defined a whole bunch of entities including “ ”.
9522 * textmodes/tildify.el (tildify-pattern-alist)
9523 (tildify-string-alist, tildify-ignored-environments-alist):
9524 Improve defcustom's types by adding more tags explaining what each
9525 value means and replace “sexp” used in
9526 `tildify-ignored-environments-alist' with a full type declaration.
9528 * textmodes/tildify.el (tildify-find-env): Fix matched group
9529 indexes in end-regex building
9531 When looking for a start of an ignore-environment, the regex is built
9532 by concatenating regexes of all the environments configured in
9533 `tildify-ignored-environments-alist'. So for example, the following
9534 list could be used to match TeX's \verb and \verb* commands:
9536 (("\\\\verb\\(.\\)" . (1))
9537 ("\\\\verb\\*\\(.\\)" . (1)))
9539 This would result in the following regex being used to find the start
9540 of any of the variants of the \verb command:
9542 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
9544 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
9545 won't match anything, and thus (match-string 1) will be nil, which
9546 will cause building of the end-matching regex to fail.
9548 Fix this by using capture groups from the time when the opening
9549 regexes are matched individually.
9551 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
9552 in `tildify-find-env'
9554 The `tildify-ignored-environments-alist' allows the end-regex to
9555 be provided not as a static string but mix of strings and indexes
9556 of groups matched the begin-regex. For example, the “\verb!…!”
9557 TeX-command (where “!” is an arbitrary character) is handled
9560 ("\\\\verb\\*?\\(.\\)" . (1))
9562 In the same way, the following should be supported as well:
9564 ("open-\\(.\\)" . ("end-" 1))
9566 However the tildify-find-env function fails at
9569 (if (stringp (setq aux (car expression)))
9570 expression ; BUG: expression is a list
9571 (regexp-quote (match-string aux))))
9573 where the string part is handled incorrectly.
9575 The most trivial fix would be to replace `expression' in the
9576 true-part of the if-statement with `aux', but instead, this commit
9577 optimizes `tildify-find-env' by changing it to use `mapconcat'
9578 rather than open-coded while-loop.
9580 2014-06-05 Mario Lang <mlang@delysid.org>
9582 * woman.el (woman-mapcan): Remove.
9583 (woman-parse-colon-path): Use cl-mapcan instead.
9585 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
9587 * register.el: Add link to Emacs manual in Commentary.
9589 2014-06-02 Sam Steingold <sds@gnu.org>
9591 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
9592 (popup-menu): ...here.
9593 (menu-bar-open): Use it to avoid an error when `lookup-key'
9596 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
9598 * net/tramp.el (tramp-call-process): Add traces.
9599 (tramp-handle-unhandled-file-name-directory): Return "/".
9601 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
9603 Sync with upstream verilog-mode revision 3cd8144.
9604 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
9605 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
9606 (verilog-type-font-keywords): Add nor.
9607 (verilog-batch-execute-func): Force reading of Local Variables.
9608 Fix printing "no changes to be saved" with verilog-batch.
9609 (verilog-auto-arg-ports): Doc fix.
9610 Add verilog-auto-arg-format to support newlines in AUTOARG.
9611 (verilog-auto-arg): Doc fix.
9613 2014-06-02 Glenn Morris <rgm@gnu.org>
9615 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
9616 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
9617 * emulation/ws-mode.el: Move to obsolete/.
9618 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
9620 2014-06-02 Eli Zaretskii <eliz@gnu.org>
9622 * simple.el (keyboard-quit): Force update of mode lines, to remove
9623 the "Def" indicator, if we were defining a macro. (Bug#17615)
9625 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9627 * minibuffer.el (minibuffer-force-complete-and-exit):
9628 Obey minibuffer-default (bug#17545).
9630 * progmodes/js.el (js-indent-line): Don't mix columns and chars
9633 * subr.el (set-transient-map): Don't wait for some "nested"
9634 transient-map to finish if we're only supposed to be active for
9635 the next command (bug#17642).
9637 2014-06-02 Leo Liu <sdl.web@gmail.com>
9639 * emacs-lisp/gv.el (window-buffer, window-display-table)
9640 (window-dedicated-p, window-hscroll, window-point, window-start):
9641 Fix gv-expander. (Bug#17630)
9643 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9645 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
9648 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
9649 for the single comma, since ", " is *very* common in normal French text
9652 2014-06-02 Glenn Morris <rgm@gnu.org>
9654 * emacs-lisp/package.el (package-check-signature)
9655 (package-unsigned-archives): Fix :version.
9657 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9659 * subr.el (sit-for): Don't run input-methods (bug#15614).
9661 2014-06-02 Glenn Morris <rgm@gnu.org>
9663 * cus-start.el: Fix some :version numbers.
9665 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
9667 * simple.el (deactivate-mark): Set mark-active to nil even if
9668 deactivation is done via setting transient-mark-mode to nil,
9669 since one is buffer-local and the other is global.
9671 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
9672 there can't be more than 2 arguments (bug#17584).
9674 2014-06-02 Glenn Morris <rgm@gnu.org>
9676 * simple.el (filter-buffer-substring-functions)
9677 (filter-buffer-substring-function, buffer-substring-filters)
9678 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
9680 * minibuffer.el (completion-in-region-functions, completion-in-region)
9681 (completion--in-region): Doc fixes.
9683 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
9684 (expand-abbrev, abbrev--default-expand): Doc fixes.
9686 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
9688 Include sources used to create macuvs.h.
9689 * international/README: Refer to the Unicode Terms of Use rather
9690 than copying it bodily here, as that simplifies maintenance.
9692 2014-06-01 Glenn Morris <rgm@gnu.org>
9694 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
9696 2014-05-31 Glenn Morris <rgm@gnu.org>
9698 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
9700 2014-05-30 Glenn Morris <rgm@gnu.org>
9702 * loadup.el: Treat `command-line-args' more flexibly.
9704 2014-05-30 Alan Mackenzie <acm@muc.de>
9706 Guard (looking-at "\\s!") from XEmacs.
9707 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
9709 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
9711 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
9712 The argument COUNT is now optional, to be more backward-compatible.
9713 Doc fix. (Bug#17560)
9715 2014-05-29 Reuben Thomas <rrt@sc3d.org>
9717 * whitespace.el (whitespace-report-region):
9718 Simplify documentation.
9719 (whitespace-report-region): Allow report-if-bogus to take the
9720 value `never', for non-interactive use.
9721 (whitespace-report): Refer to whitespace-report-region's
9724 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
9726 * whitespace.el: Use font-lock-flush. Minimize refontifications.
9727 Side benefit: it works without jit-lock.
9728 (whitespace-point--used): New buffer-local var.
9729 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
9730 (whitespace-color-off): Use font-lock-flush.
9731 (whitespace-point--used, whitespace-point--flush-used): New functions.
9732 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
9733 (whitespace-empty-at-eob-regexp): Use them.
9734 (whitespace-post-command-hook): Rewrite.
9736 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
9737 (font-lock-fontify-buffer): Mark interactive-only.
9738 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
9740 (font-lock-specified-p): Remove redundant boundp check.
9741 (font-lock-flush-function, font-lock-ensure-function): New vars.
9742 (font-lock-turn-on-thing-lock): Set them.
9743 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
9744 (font-lock-after-change-function): Make `old-len' optional.
9745 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
9746 Call font-lock-flush, just in case.
9747 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
9749 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
9750 (vera-mode-map, vera-mode-menu): Remove bindings to it.
9751 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
9752 and with-syntax-table.
9753 * textmodes/conf-mode.el (conf-quote-normal):
9754 * progmodes/sh-script.el (sh-set-shell):
9755 * progmodes/prog-mode.el (prettify-symbols-mode):
9756 * progmodes/f90.el (f90-font-lock-n):
9757 * progmodes/cwarn.el (cwarn-mode):
9758 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
9759 * progmodes/compile.el (compilation-setup, compilation--unsetup):
9760 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
9761 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
9762 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
9763 font-lock-fontify-buffer-function and
9764 font-lock-unfontify-buffer-function.
9765 (rmail-unfontify-buffer-function, rmail-fontify-message):
9766 Use with-silent-modifications.
9767 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
9768 and font-lock-ensure.
9769 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
9771 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
9773 * emacs-lisp/package.el (package-generate-autoloads):
9774 Inhibit backup files.
9776 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
9778 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
9781 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
9783 * textmodes/tildify.el (tildify-buffer, tildify-region):
9784 Add dont-ask option.
9786 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
9788 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
9789 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
9791 * subr.el (internal--funcall-interactively): New.
9792 (internal--call-interactively): Remove.
9793 (called-interactively-p): Detect funcall-interactively instead of
9795 * simple.el (repeat-complex-command): Use funcall-interactively.
9796 (repeat-complex-command--called-interactively-skip): Remove.
9798 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
9800 * register.el (register-read-with-preview): Don't burp on
9801 frame switches (e.g. due to the frame we just popped).
9803 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
9804 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
9806 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
9808 * cus-face.el (custom-face-attributes): Add :distant-foreground.
9810 2014-05-26 Martin Rudalics <rudalics@gmx.at>
9812 * window.el (window--dump-frame): Remove interactive specification.
9814 2014-05-26 Glenn Morris <rgm@gnu.org>
9816 * hippie-exp.el (he-line-search-regexp):
9817 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
9819 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
9821 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
9822 to avoid errors when trying to create or visit a file foo.todo
9823 located outside to todo-directory, and to allow having such files
9824 without them being tied to Todo mode (bug#17482).
9825 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
9826 (todo-archive-done-item, todo-find-filtered-items-file)
9827 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
9828 (todo-category-completions, todo-read-category): When visiting a
9829 Todo file, make sure we're in the right mode and the buffer local
9831 (todo-make-categories-list, todo-reset-nondiary-marker)
9832 (todo-reset-done-string, todo-reset-comment-string):
9833 After processing all Todo files, kill the buffers of those files that
9834 weren't being visited before the processing.
9835 (todo-display-as-todo-file, todo-add-to-buffer-list)
9836 (todo-visit-files-commands): Comment out.
9837 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
9838 (auto-mode-alist): Remove add-to-list calls making Todo file
9839 extensions unrestrictedly tied to Todo modes.
9841 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
9843 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
9844 (advice-function-member-p): Tell it to check both names and functions
9846 (advice--add-function): Adjust call accordingly.
9848 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
9850 * calendar/todo-mode.el: Miscellaneous bug fixes.
9851 (todo-delete-file): When deleting an archive but not its todo
9852 file, make sure to update the todo file's category sexp.
9853 (todo-move-category): Keep the moved category's name unless the
9854 file moved to already has a category with that name. If the
9855 numerically last category of the source file was moved, make the
9856 first category current to avoid selecting a nonexisting category.
9857 (todo-merge-category): Fix implementation to make merging to a
9858 category in another file work as documented. Eliminate now
9859 insufficient and unnecessary renaming of archive category, correct
9860 document string accordingly, and clarify it. If the numerically
9861 last category of the source file was merged, make the first
9862 category current to avoid selecting a nonexisting category.
9863 (todo-archive-done-item): When there are marked items and point
9864 happens to be on an unmarked item, ignore the latter. Don't leave
9865 point below last item after archiving marked items.
9866 (todo-unarchive-items): Fix logic to ensure unarchiving an item
9867 from an archive with only one category deletes the archive only
9868 when the category is empty after unarchiving. Make sure the todo
9869 file's category sexp is updated.
9870 (todo-read-file-name): Allow an existing file name even when it is
9871 not required (todo-move-category needs this to work as documented).
9872 (todo-add-file): Call todo-validate-name to reject the name of an
9873 existing todo file (needed due to fix in todo-read-file-name).
9874 (todo-reset-nondiary-marker): Also reset in filtered items files.
9875 (todo-reset-done-string, todo-reset-comment-string): Also reset in
9876 regexp filtered items files.
9877 (todo-reset-highlight-item): Also reset in filtered items files.
9878 Fix incorrect variable reference in document string.
9880 2014-05-26 Glenn Morris <rgm@gnu.org>
9882 * window.el (window--dump-frame): Avoid error in --without-x builds.
9884 2014-05-26 Glenn Morris <rgm@gnu.org>
9886 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
9888 2014-05-26 Eli Zaretskii <eliz@gnu.org>
9890 * frame.el (set-frame-font): Doc fix.
9892 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
9894 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
9896 * emacs-lisp/package.el (package--download-one-archive):
9897 Use `write-region' instead of `save-buffer' to avoid running various
9899 (describe-package-1): Same. Insert newline at the end of the
9900 buffer if appropriate.
9902 2014-05-26 Juri Linkov <juri@jurta.org>
9904 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
9905 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
9906 Add more modifiers: meta, control, shift, hyper, super, alt.
9909 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
9910 to allow changing its value with `set-variable'.
9912 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
9914 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
9916 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
9918 (scheme-mode-variables): Set syntax-propertize-function instead of
9919 font-lock-syntactic-face-function.
9920 (scheme-font-lock-syntactic-face-function): Delete.
9922 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
9924 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
9927 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
9929 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
9930 for a temporary file name.
9932 2014-05-26 Eli Zaretskii <eliz@gnu.org>
9934 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
9936 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
9938 * net/dbus.el (dbus-init-bus, dbus-call-method)
9939 (dbus-call-method-asynchronously, dbus-send-signal)
9940 (dbus-method-return-internal, dbus-method-error-internal):
9941 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
9943 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9945 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
9946 methods which do not have a doc string. (Bug#17490)
9948 2014-05-25 Tassilo Horn <tsdh@gnu.org>
9950 * textmodes/reftex-ref.el (reftex-format-special): Make it work
9951 also for AMS Math's \eqref macro.
9953 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9955 Arrange to never byte-compile the generated -pkg.el file.
9957 * emacs-lisp/package.el (package-generate-description-file):
9958 Output first-line comment to set buffer-local var `no-byte-compile'.
9959 Suggested by Dmitry Gutov:
9960 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
9962 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9964 Fix bug: Properly quote args to generated -pkg.el `define-package'.
9966 * emacs-lisp/package.el (package-generate-description-file):
9967 Inline `package--alist-to-plist'; rewrite to selectively
9968 quote alist values that are not self-quoting.
9969 (package--alist-to-plist): Delete func.
9971 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
9973 * term/xterm.el (xterm-function-map): Add mapping for shifted
9976 2014-05-24 Daniel Colascione <dancol@dancol.org>
9978 * progmodes/subword.el (subword-find-word-boundary): Move point to
9979 correct spot before search. (Bug#17580)
9981 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
9984 2014-05-24 Leo Liu <sdl.web@gmail.com>
9986 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
9988 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
9990 * minibuffer.el (completion--sreverse): Remove.
9991 (completion--common-suffix): Use `reverse' instead.
9992 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
9994 2014-05-22 Glenn Morris <rgm@gnu.org>
9996 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
9998 2014-05-21 Daniel Colascione <dancol@dancol.org>
10000 * files.el (interpreter-mode-alist): Add mksh.
10002 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
10004 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
10005 mksh. Improve custom spec; allow regular expressions.
10006 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
10007 (sh-after-hack-local-variables): New function.
10008 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
10009 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
10011 (sh-canonicalize-shell): Rewrite to support regexes.
10013 2014-05-21 Leo Liu <sdl.web@gmail.com>
10015 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
10017 2014-05-19 Leo Liu <sdl.web@gmail.com>
10019 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
10021 2014-05-18 Glenn Morris <rgm@gnu.org>
10024 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
10026 2014-05-14 Sam Steingold <sds@gnu.org>
10028 * progmodes/python.el (python-shell-get-or-create-process):
10029 Do not bind `current-prefix-arg' so that C-c C-z does not talk
10030 back unless requested.
10032 2014-05-14 Glenn Morris <rgm@gnu.org>
10034 * subr.el (with-file-modes): New macro.
10035 * printing.el (pr-save-file-modes): Make obsolete.
10036 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
10037 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
10038 Add with-file-modes.
10039 * doc-view.el (doc-view-make-safe-dir):
10040 * epg.el (epg--start):
10041 * files.el (locate-user-emacs-file, make-temp-file)
10042 (backup-buffer-copy, move-file-to-trash):
10043 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
10044 * eshell/esh-util.el (eshell-with-private-file-modes)
10045 (eshell-make-private-directory):
10046 * net/browse-url.el (browse-url-mosaic):
10047 * obsolete/mailpost.el (post-mail-send-it):
10048 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
10049 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
10050 Use with-file-modes.
10052 * vc/emerge.el (emerge-make-temp-file): Simplify.
10054 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
10055 Stefan Monnier <monnier@iro.umontreal.ca>
10057 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
10058 suffix (bug#15419).
10060 2014-05-14 Glenn Morris <rgm@gnu.org>
10062 * vc/emerge.el (emerge-temp-file-prefix):
10063 Make pointless option obsolete.
10064 (emerge-temp-file-mode): Make non-functional option obsolete.
10066 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
10068 * net/browse-url.el (browse-url):
10069 Use `unhandled-file-name-directory' when setting `default-directory',
10070 in order to circumvent stalled remote connections. (Bug#17425)
10072 2014-05-14 Glenn Morris <rgm@gnu.org>
10074 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
10075 Optimize on Emacs, which has the relevant functions for ages.
10077 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
10079 * simple.el (undo-make-selective-list): Obey undo-no-redo.
10081 2014-05-12 Sam Steingold <sds@gnu.org>
10083 * calendar/time-date.el (seconds-to-string): New function to
10084 pretty print time delay in seconds.
10086 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
10088 * mpc.el (mpc-format): Trim Date to the year.
10089 (mpc-songs-hashcons): Shorten the Date field.
10091 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
10092 into autoloading just because of a silly indirection.
10094 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
10096 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
10098 2014-05-12 Glenn Morris <rgm@gnu.org>
10100 * emacs-lisp/find-gc.el: Move to ../admin.
10102 * printing.el (pr-version):
10103 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
10105 * net/browse-url.el (browse-url-mosaic):
10106 Create /tmp/Mosaic.PID as a private file.
10108 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
10110 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
10111 (advice--member-p): If name is given, only compare the name.
10112 (advice--remove-function): Don't stop at the first match.
10113 (advice--normalize-place): New function.
10114 (add-function, remove-function): Use it.
10115 (advice--add-function): Pass the name, if any, to
10116 advice--remove-function.
10118 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
10120 * electric.el (electric-indent-post-self-insert-function): Don't use
10121 `pos' after modifying the buffer (bug#17449).
10123 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
10125 * calendar/todo-mode.el (todo-insert-item-from-calendar):
10126 Correct argument list to conform to todo-insert-item--basic.
10128 2014-05-12 Glenn Morris <rgm@gnu.org>
10130 * files.el (cd-absolute): Test if directory is accessible
10131 rather than executable. (Bug#17330)
10133 * progmodes/compile.el (recompile):
10134 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
10136 * net/browse-url.el (browse-url-mosaic):
10137 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
10138 This is CVE-2014-3423.
10140 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
10142 * mouse.el: Use the normal toplevel loop while dragging.
10143 (mouse-set-point): Handle multi-clicks.
10144 (mouse-set-region): Handle multi-clicks for drags.
10145 (mouse-drag-region): Update call accordingly.
10146 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
10147 Use the normal event loop instead of a local while/read-event loop.
10148 (global-map): Remove redundant bindings for double/triple-mouse-1.
10149 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
10150 Generate synthetic down events when the protocol only sends up events.
10151 (xterm-mouse-last): Remove.
10152 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
10153 terminal parameter instead.
10154 (xterm-mouse--set-click-count): New function.
10155 (xterm-mouse-event): Detect/generate double/triple clicks.
10156 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
10158 * info.el (Info-quoted): New face.
10159 (Info-mode-font-lock-keywords): New var.
10160 (Info-mode): Use it.
10162 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
10163 are a hindrance for C-x C-e.
10165 2014-05-11 Leo Liu <sdl.web@gmail.com>
10167 * net/rcirc.el (rcirc-sentinel): Fix last change.
10169 2014-05-08 Sam Steingold <sds@gnu.org>
10171 * net/rcirc.el (rcirc-reconnect-delay): New user option.
10172 (rcirc-sentinel): Auto-reconnect to the server if
10173 `rcirc-reconnect-delay' is non-0 (but not more often than its
10174 value in case the host is off-line).
10176 2014-05-09 Eli Zaretskii <eliz@gnu.org>
10178 * progmodes/grep.el (lgrep): Fix a typo in last commit.
10180 2014-05-09 Glenn Morris <rgm@gnu.org>
10182 * files.el (file-expand-wildcards):
10183 * man.el (Man-support-local-filenames):
10184 * printing.el (pr-i-directory, pr-interface-directory):
10185 * progmodes/grep.el (lgrep, rgrep):
10186 * textmodes/ispell.el (ispell-call-process)
10187 (ispell-call-process-region, ispell-start-process)
10188 (ispell-init-process): Use file-accessible-directory-p.
10190 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10192 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
10193 (xterm-mouse--read-event-sequence-1000): Return nil if something
10195 (xterm-mouse-event): Propagate it.
10196 (xterm-mouse-translate-1): Handle it.
10198 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
10200 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
10201 four slots of the parameter list are filled, make sure to pass it
10202 to the argument list of todo-insert-item--basic.
10204 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10206 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
10207 Add optional `seen' argument to detect and break infinite loops.
10209 2014-05-08 Eli Zaretskii <eliz@gnu.org>
10211 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
10212 (trace-unsafe, trace-use-tree): Make parentheses style be
10213 according to Emacs style.
10215 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
10217 * net/tramp-sh.el (tramp-remote-process-environment):
10218 Remove HISTFILE and HISTSIZE; it's too late to set them here.
10219 Add :version entry.
10220 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
10221 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
10222 extra "PSx=..." commands.
10223 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
10226 (tramp-uudecode): Replace the hard-coded temporary file name by a
10228 (tramp-remote-coding-commands): Enhance docstring.
10229 (tramp-find-inline-encoding): Replace "%t" by a temporary file
10231 This is CVE-2014-3424.
10233 2014-05-08 Glenn Morris <rgm@gnu.org>
10235 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
10236 (find-gc-source-files): Update some names.
10237 (trace-call-tree): Simplify and update.
10238 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
10239 This is CVE-2014-3422.
10241 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10243 * minibuffer.el (completion--try-word-completion): Revert fix for
10244 Bug#15980 (bug#17375).
10246 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
10247 Always store button numbers in the same way in xterm-mouse-last;
10248 Don't burp is xterm-mouse-last is not set as expected.
10249 Never return negative indices.
10251 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
10253 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
10254 Backtrack one char if the global/char-literal var matcher hits
10255 inside a string. The next char could be the beginning of an
10256 expression expansion.
10258 2014-05-08 Glenn Morris <rgm@gnu.org>
10260 * help-fns.el (describe-function-1): Test for an autoload before a
10261 macro, since `macrop' works on autoloads. (Bug#17410)
10263 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
10265 * electric.el (electric-indent-functions-without-reindent): Add yaml.
10267 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
10268 Make sure the new point we return is within the new string (bug#17239).
10270 2014-05-05 Daniel Colascione <dancol@dancol.org>
10272 * progmodes/compile.el (compilation-error-regexp-alist-alist):
10273 Port `gnu' pattern to rx.
10275 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
10277 Remove unneeded prompt when closing a buffer with active
10278 emacsclient ("Buffer ... still has clients"), #16548.
10279 * server.el (server-start): Remove the only call to:
10280 (server-kill-buffer-query-function): Remove.
10282 2014-05-04 Leo Liu <sdl.web@gmail.com>
10284 * calendar/diary-lib.el (calendar-chinese-month-name-array):
10285 Defvar to pacify compiler.
10287 2014-05-04 Eli Zaretskii <eliz@gnu.org>
10289 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
10291 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
10293 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
10294 Use nil rather than `default' for the "default" appearance (bug#17388).
10295 * vc/ediff-util.el (ediff-inferior-compare-regions)
10296 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
10297 a misleading `default' value when it's really a boolean.
10298 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
10299 overlay is not visible.
10301 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
10303 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
10304 (todo-menu): Uncomment and update.
10306 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
10308 * calendar/todo-mode.el: Reimplement item editing to have the same
10309 basic user interface as item insertion, and make small UI and
10310 larger internal improvements to the latter.
10311 (todo-insert-item): Add reference to the Todo mode user manual to
10312 the documentation string.
10313 (todo-insert-item--basic): Rename from todo-basic-insert-item and
10314 adjust all callers. Change signature to combine diary and
10315 nonmarking arguments. Incorporate functionality of deleted item
10316 copying command and add error checking. Remove detailed
10317 descriptions of the arguments from the documentation string, since
10318 this is treated in the Todo mode user manual.
10319 (todo-copy-item, todo-edit-multiline-item)
10320 (todo-edit-done-item-comment, todo-edit-item-header)
10321 (todo-edit-item-time, todo-edit-item-date-from-calendar)
10322 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
10323 (todo-edit-item-date-year, todo-edit-item-date-month)
10324 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
10326 (todo-edit-item): Reimplement as wrapper command for
10327 todo-edit-item--next-key and make it distinguish done and not done
10329 (todo-edit-item--text): New function, replacing old command
10330 todo-edit-item and incorporating deleted commands
10331 todo-edit-multiline-item and todo-edit-done-item-comment.
10332 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
10333 Use only numeric value of prefix argument. Remove detailed
10334 descriptions of the arguments from the documentation string, since
10335 this is treated in the Todo mode user manual.
10336 (todo-edit-item--diary-inclusion): New function, replacing old
10337 command todo-edit-item-diary-inclusion and incorporating and fixing
10338 functionality of deleted command todo-edit-item-diary-nonmarking,
10339 making sure to remove todo-nondiary-marker when adding
10340 diary-nonmarking-symbol.
10341 (todo-edit-category-diary-inclusion): Make sure to delete
10342 diary-nonmarking-symbol when adding todo-nondiary-marker.
10343 (todo-edit-category-diary-nonmarking): Fix indentation.
10344 (todo-insert-item--parameters): Group diary and nonmarking
10345 parameters together.
10346 (todo-insert-item--apply-args): Adjust to signature of
10347 todo-insert-item--basic and incorporate copy parameter.
10348 Make small code improvements.
10349 (todo-insert-item--next-param): Improve prompt and adjust it to
10350 new parameter grouping. Remove obsolete code.
10351 (todo-edit-item--param-key-alist)
10352 (todo-edit-item--date-param-key-alist)
10353 (todo-edit-done-item--param-key-alist): New defconsts.
10354 (todo-edit-item--prompt): New variable.
10355 (todo-edit-item--next-key): New function.
10356 (todo-key-bindings-t): Bind "e" to todo-edit-item.
10357 Remove bindings of deleted commands.
10359 2014-05-04 Leo Liu <sdl.web@gmail.com>
10361 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
10363 2014-05-04 Glenn Morris <rgm@gnu.org>
10365 * allout-widgets.el (allout-widgets-tally)
10366 (allout-decorate-item-guides):
10367 * menu-bar.el (menu-bar-positive-p):
10368 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
10369 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
10370 * progmodes/js.el (js--inside-param-list-p)
10371 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
10372 * progmodes/prolog.el (region-exists-p):
10373 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
10374 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
10375 Doc fixes (replace `iff').
10377 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
10379 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
10381 2014-05-04 Leo Liu <sdl.web@gmail.com>
10383 Support Chinese diary entries in calendar and diary. (Bug#17393)
10384 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
10385 (calendar-chinese-from-absolute-for-diary)
10386 (calendar-chinese-to-absolute-for-diary)
10387 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
10388 (diary-chinese-list-entries): New functions to list and mark
10389 Chinese diary entries in the calendar window.
10390 (diary-chinese-anniversary)
10391 (diary-chinese-insert-anniversary-entry)
10392 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
10393 (diary-chinese-insert-yearly-entry): New commands to insert
10394 Chinese diary entries.
10396 * calendar/diary-lib.el (diary-font-lock-keywords):
10397 Support font-locking Chinese dates.
10399 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
10400 inserting Chinese diary entries.
10402 * calendar/calendar.el (diary-chinese-entry-symbol):
10403 New customizable variable.
10404 (calendar-mode-map): Add bindings for inserting Chinese diary
10407 2014-05-03 Juri Linkov <juri@jurta.org>
10409 * dired.el (dired-check-switches, dired-switches-recursive-p):
10410 New functions. (Bug#17218)
10411 (dired-switches-escape-p, dired-move-to-end-of-filename):
10412 Use `dired-check-switches'.
10413 (dired-insert-old-subdirs, dired-build-subdir-alist)
10414 (dired-sort-R-check): Use `dired-switches-recursive-p'.
10416 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
10418 * simple.el (undo-make-selective-list): New algorithm fixes
10419 incorrectness of position adjustments when undoing in region.
10421 (undo-elt-crosses-region): Make obsolete.
10422 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
10423 New functions to adjust positions using undo-deltas.
10425 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
10427 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
10428 the last consecutive closing paren (bug#17345).
10430 2014-04-30 Reuben Thomas <rrt@sc3d.org>
10432 * dired.el (dired-mode): make terminology for eXpunge command
10433 consistent. (Bug#17276)
10435 2014-04-30 Eli Zaretskii <eliz@gnu.org>
10437 * dired.el (dired-initial-position-hook, dired-initial-position):
10440 2014-04-30 Glenn Morris <rgm@gnu.org>
10442 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
10444 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
10446 * faces.el (face-spec-recalc): Apply X resources only after the
10447 defface spec has been applied. Thus, X resources are no longer
10448 overriden by the defface spec which also fixes issues on win32 where
10449 the toolbar coloring was wrong because it is set through X resources
10450 and was (wrongfully) overriden. (Bug#16694)
10452 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
10454 * textmodes/rst.el (electric-pair-pairs): Declare.
10455 (rst-mode): Set it (bug#17131).
10457 2014-04-30 Juri Linkov <juri@jurta.org>
10459 * desktop.el (desktop-value-to-string): Let-bind `print-length'
10460 and `print-level' to nil. (Bug#17351)
10462 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10464 * battery.el (battery-update): Handle the case where battery
10465 status is "N/A" (bug#17319).
10467 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
10469 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
10470 to syntax-propertize.
10471 (ps-mode-auto-indent): Mark as obsolete.
10472 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
10473 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
10474 word regexp operators.
10475 (ps-mode-map): Move initialization into declaration. Remove binding
10476 for TAB, RET, >, ], and }.
10477 (ps-mode-syntax-table): Move initialization into declaration.
10478 Don't give word syntax to non-word chars.
10479 (ps-run-mode-map): Move initialization into declaration.
10480 (ps-mode-menu-main): Remove auto-indent entry.
10481 (ps-mode-smie-rules): New function.
10482 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
10483 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
10484 (ps-mode--string-syntax-table): New const.
10485 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
10487 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
10488 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
10490 2014-04-27 Daniel Colascione <dancol@dancol.org>
10492 * term/xterm.el (xterm-paste): Use large finite timeout when
10493 reading event to avoid putting keys in this-command-keys.
10495 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
10497 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
10498 (perl-syntax-propertize-function): Use it. Extend handling of
10499 here-docs to the unquoted case.
10501 2014-04-25 Eli Zaretskii <eliz@gnu.org>
10503 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
10504 Use equal-including-properties to compare help-echo strings (bug#17331).
10506 2014-04-25 Leo Liu <sdl.web@gmail.com>
10508 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
10509 Fix syntax for @. (Bug#17325)
10511 2014-04-25 Daniel Colascione <dancol@dancol.org>
10513 * emacs-lisp/cl.el (gv): Require gv early to break eager
10514 macro-expansion cycles.
10516 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
10518 * simple.el (region-active-p): Check there's a mark (bug#17324).
10520 * simple.el (completion-list-mode-map): Use choose-completion for the
10521 mouse binding as well (bug#17302).
10522 (completion-list-mode, completion-setup-function): Adjust docstring and
10523 echo area message accordingly.
10524 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
10525 calling convention of choose-completion.
10526 * comint.el (comint-dynamic-list-completions):
10527 * term.el (term-dynamic-list-completions): Accept choose-completion.
10529 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
10530 &, |, +, - and * can't be a division (bug#17317).
10532 * term/xterm.el (xterm--version-handler): Don't use modern xterm
10533 features on gnome-terminal (bug#16988).
10535 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
10537 Improve Scheme font-locking for (define ((foo ...) ...) ...).
10539 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
10540 the declared object, ignore zero or more parens, not zero or one.
10542 2014-04-24 Leo Liu <sdl.web@gmail.com>
10544 * progmodes/xscheme.el (xscheme-expressions-ring)
10545 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
10546 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
10547 (xscheme-allow-output-p, xscheme-prompt)
10548 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
10550 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
10551 Comment out unused functions.
10553 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
10555 * info.el: Use lexical-binding and cl-lib.
10556 Use defvar-local and setq-local instead of make-local-variable.
10557 (Info-apropos-matches): Avoid add-to-list.
10558 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
10560 2014-04-24 Daniel Colascione <dancol@dancol.org>
10562 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
10564 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
10566 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
10568 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
10570 * dired.el (dired-insert-set-properties): Do not consider
10571 subdirectory headings and empty lines to be information that
10572 `dired-hide-details-mode' should hide. (Bug#17228)
10574 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
10576 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
10577 Remove test messages.
10578 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
10579 and `target' twice.
10581 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10583 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
10584 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
10586 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
10588 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
10590 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
10591 Set "IFS=" when using read builtin, in order to preserve spaces in
10592 the file name. Add test messages for hunting a bug on hydra.
10593 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
10595 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10597 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
10598 Don't prettify a word within a symbol.
10600 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
10602 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
10605 2014-04-22 Daniel Colascione <dancol@dancol.org>
10607 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
10608 use defun to define `function-put'.
10610 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10612 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
10613 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
10614 (lisp-mode-variables): Set font-lock-extra-managed-props.
10616 * emacs-lisp/byte-run.el (function-put): New function.
10617 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
10618 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
10619 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
10622 2014-04-22 Daniel Colascione <dancol@dancol.org>
10624 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
10625 Add `full-p' parameter; when nil, call `macroexpand' instead of
10628 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
10629 Improve docstrings.
10631 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
10632 Use lambda function values, not quoted lambdas.
10633 (byte-compile-recurse-toplevel): Remove extraneous &optional.
10635 * emacs-lisp/cl-macs.el
10636 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
10637 (cl-struct-slot-value): Conditionally use aref or nth so that the
10638 compiler produces optimal code.
10640 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
10642 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
10643 (inline): Don't inline cl--set-elt.
10644 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
10645 Define as inlinable instead.
10646 (cl-struct-set-slot-value): Remove.
10648 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
10649 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
10652 2014-04-21 Daniel Colascione <dancol@dancol.org>
10654 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
10655 last two parameters after all.
10656 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
10657 (cl--compiler-macro-assoc,cl-struct-slot-value)
10658 (cl-struct-set-slot-value): Stop using them.
10660 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
10662 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
10663 entry in image-mode-winprops-alist.
10665 2014-04-21 Daniel Colascione <dancol@dancol.org>
10667 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
10668 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
10669 (byte-compile-toplevel-file-form): Use it.
10671 * emacs-lisp/cl-macs.el:
10672 (cl--loop-let): Properly destructure `while' clauses.
10674 2014-04-20 Daniel Colascione <dancol@dancol.org>
10676 * vc/vc.el (vc-root-dir): New public autoloaded function for
10677 generically finding the current VC root.
10678 * vc/vc-hooks.el (vc-not-supported): New error.
10679 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
10681 2014-04-20 Daniel Colascione <dancol@dancol.org>
10683 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
10685 (cl--const-expr-val): cl--const-expr-val should macroexpand its
10686 argument in case we're inside a symbol-macrolet.
10687 (cl--do-arglist, cl--compiler-macro-typep)
10688 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
10689 environment to `cl--const-expr-val'.
10690 (cl-struct-sequence-type,cl-struct-slot-info)
10691 (cl-struct-slot-offset, cl-struct-slot-value)
10692 (cl-struct-set-slot-value): New functions.
10694 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
10696 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
10697 assignments such as "case=hello" (bug#17297).
10699 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
10701 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
10703 (tramp-file-name-handler, tramp-completion-file-name-handler):
10704 Revert patch from 2014-04-10, it isn't necessary anymore.
10705 (tramp-autoload-file-name-handler)
10706 (tramp-register-autoload-file-name-handlers): New defuns.
10707 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
10708 (tramp-register-file-name-handlers): Remove also
10709 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
10710 Do not autoload its invocation, but eval it after loading of 'tramp.
10712 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
10714 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
10716 2014-04-17 Daniel Colascione <dancol@dancol.org>
10718 Add support for bracketed paste mode; add infrastructure for
10719 managing terminal mode enabling and disabling automatically.
10722 (xterm-mouse-mode): Simplify.
10723 (xterm-mouse-tracking-enable-sequence)
10724 (xterm-mouse-tracking-disable-sequence): New constants.
10725 (turn-on-xterm-mouse-tracking-on-terminal)
10726 (turn-off-xterm-mouse-tracking-on-terminal):
10727 Use tty-mode-set-strings and tty-mode-reset-strings terminal
10728 parameters instead of random hooks.
10729 (turn-on-xterm-mouse-tracking)
10730 (turn-off-xterm-mouse-tracking): Delete.
10732 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
10733 (xterm-paste-ending-sequence): New constant.
10734 (xterm-paste): New command used for bracketed paste support.
10736 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
10737 (terminal-init-xterm-bracketed-paste-mode): New function.
10738 (terminal-init-xterm): Call it.
10739 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
10740 and tty-mode-reset-strings instead of random hooks.
10741 (xterm-turn-on-modify-other-keys)
10742 (xterm-turn-off-modify-other-keys)
10743 (xterm-remove-modify-other-keys): Delete obsolete functions.
10745 * term/screen.el: Rewrite to just use the xterm code.
10746 Add copyright notice. Mention tmux.
10748 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
10750 * image-mode.el (image-mode-window-put): Also update the property of
10751 the "default window".
10752 * doc-view.el (doc-view-new-window-function): If no window
10753 exists, move to the last known page.
10755 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
10757 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
10758 here-documents (bug#17262).
10760 2014-04-16 Eli Zaretskii <eliz@gnu.org>
10762 * term/pc-win.el (x-list-fonts, x-get-selection-value):
10763 Provide doc strings, as required by snarf-documentation.
10765 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
10767 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
10768 arg of overlays-at. Use `invisible-p'.
10770 * obsolete/lucid.el (extent-at):
10771 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
10773 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
10775 2014-04-16 João Távora <joaotavora@gmail.com>
10777 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
10778 links. (Bug#17217).
10780 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
10782 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
10783 Use mapc to loop over a vector. (Bug#17257).
10785 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
10787 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
10788 patch, there are new problems with file names containing spaces.
10789 Get rid of backticks. (Bug#17238)
10791 2014-04-16 João Távora <joaotavora@gmail.com>
10793 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
10796 2014-04-16 Eli Zaretskii <eliz@gnu.org>
10798 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
10799 (blink-cursor-mode): Mention customization variables and the
10800 effect of 'blink-cursor-blinks'.
10802 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
10804 * simple.el (undo): Prevent insertion of identity mapping into
10805 undo-equiv-table so as undo-only does not inf loop in the presence
10806 of consecutive nils in undo list.
10808 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
10810 * faces.el (make-face): Deprecate optional argument as it is no
10811 longer needed/used since the conditional X resources handling
10812 has been pushed down to make-face-x-resource-internal itself.
10813 (make-empty-face): Don't pass optional argument to make-face.
10815 2014-04-16 Karl Fogel <kfogel@red-bean.com>
10817 * savehist.el (savehist-save): Remove workaround for a read-passwd
10818 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
10819 noticing that the shim was still present.
10821 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
10823 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
10825 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
10827 * faces.el (face-set-after-frame-default): Remove unused local variable.
10829 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
10831 * progmodes/grep.el: Use lexical-binding.
10832 (grep-expand-template): Pass explicit lexical env to `eval'.
10833 (zrgrep): Let-bind grep-find-template explicitly.
10835 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
10836 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
10838 2014-04-12 Eli Zaretskii <eliz@gnu.org>
10840 * international/characters.el <standard-case-table>: Add entries
10841 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
10842 Set category of Coptic characters be 'g' (Greek).
10844 2014-04-12 Leo Liu <sdl.web@gmail.com>
10846 * progmodes/octave.el (completion-table-with-cache):
10847 Define if not available.
10848 (octave-goto-function-definition, octave-sync-function-file-names)
10849 (octave-find-definition-default-filename):
10850 Backquote upattern for compatibility.
10852 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
10854 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
10855 name twice due to backticks. (Bug#17238)
10857 2014-04-12 Glenn Morris <rgm@gnu.org>
10859 * term/w32-win.el (x-win-suspend-error):
10860 * term/x-win.el (x-win-suspend-error): Sync docs.
10862 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
10864 * faces.el (make-face): Remove deprecated optional argument.
10865 The conditional application of X resources is handled directly by
10866 make-face-x-resource-internal since Emacs 24.4.
10867 (make-empty-face): Don't pass optional argument to make-face.
10869 2014-04-11 Glenn Morris <rgm@gnu.org>
10871 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
10873 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
10875 Ediff's overlay priorities cause more trouble than they solve.
10876 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
10877 (ediff-highest-priority): Remove function (bug#17234).
10878 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
10879 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
10880 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
10881 overlay priorities.
10883 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
10885 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
10886 entry; use symbol boundaries to avoid mis-matches.
10888 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
10890 * net/tramp.el (tramp-file-name-handler)
10891 (tramp-completion-file-name-handler): Avoid recursive loading.
10893 * net/tramp-sh.el (tramp-make-copy-program-file-name):
10894 Quote result also locally.
10896 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
10898 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
10899 Remove left-over code.
10901 * newcomment.el (comment-indent-new-line): Sink code where it's used.
10902 Reuse the previous comment's indentation unconditionally if it's on its
10905 2014-04-09 Daniel Colascione <dancol@dancol.org>
10907 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
10908 `no-syntax-crossing' arguments. Forward to `up-list'.
10909 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
10910 Implement logic for escaping from strings. Use narrowing to deal
10913 2014-04-09 Leo Liu <sdl.web@gmail.com>
10915 * net/rcirc.el (rcirc-connection-info): New variable.
10916 (rcirc-connect): Use it to store connection info.
10917 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
10918 for killed process.
10919 (rcirc-cmd-reconnect): New command. (Bug#17045)
10920 (rcirc-mode, set-rcirc-encode-coding-system)
10921 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
10923 2014-04-09 Daniel Colascione <dancol@dancol.org>
10925 * emacs-lisp/cl-indent.el: Add comment claiming
10926 facility is also good for elisp.
10927 (lisp-indent-find-method): New function.
10928 (common-lisp-indent-function): Recognize cl-loop.
10929 (common-lisp-indent-function-1): Recognize cl constructs; use
10930 `lisp-indent-find-method' instead of `get' directly.
10931 (if): Use else-body style for elisp.
10933 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
10935 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
10936 Module methods. (Bug#17216)
10938 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10940 * help.el (describe-bindings): Fix buffer handling (bug#17210).
10941 (describe-bindings-internal): Mark obsolete.
10943 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10945 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
10946 buffer-file-name, and buffer-file-truename any more.
10948 2014-04-08 Leo Liu <sdl.web@gmail.com>
10950 Use lexical-binding and require cl-lib.
10951 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
10952 (rcirc-handler-generic, rcirc-fill-paragraph)
10953 (rcirc-format-response-string, rcirc-target-buffer)
10954 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
10955 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
10956 (rcirc-ctcp-sender-PING, rcirc-browse-url)
10957 (rcirc-markup-timestamp, rcirc-markup-attributes)
10958 (rcirc-markup-my-nick, rcirc-markup-urls)
10959 (rcirc-markup-bright-nicks, rcirc-markup-fill)
10960 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
10961 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
10962 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
10963 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
10964 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
10965 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
10966 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
10967 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
10968 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
10969 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
10972 2014-04-07 João Távora <joaotavora@gmail.com>
10974 * elec-pair.el (electric-pair--syntax-ppss):
10975 When inside comments parse from comment beginning.
10976 (electric-pair--balance-info): Fix typo in comment.
10977 (electric-pair--in-unterminated-string-p): Delete.
10978 (electric-pair--unbalanced-strings-p): New function.
10979 (electric-pair-string-bound-function): New var.
10980 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10981 according to `electric-pair--in-unterminated-string-p'
10983 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10984 Inhibit quote pairing if point-max is inside an unterminated string.
10985 (electric-pair--looking-at-unterminated-string-p): Delete.
10986 (electric-pair--in-unterminated-string-p): New function.
10988 2014-04-07 Glenn Morris <rgm@gnu.org>
10990 * shell.el (shell-directory-tracker):
10991 Go back to just ignoring failures. (Bug#17159)
10993 2014-04-07 João Távora <joaotavora@gmail.com>
10995 Fix `electric-pair-delete-adjacent-pairs' in modes binding
10996 backspace. (Bug#16981)
10997 * elec-pair.el (electric-pair-backward-delete-char): Delete.
10998 (electric-pair-backward-delete-char-untabify): Delete.
10999 (electric-pair-mode-map): Bind backspace to a menu item filtering
11000 a new `electric-pair-delete-pair' command.
11001 (electric-pair-delete-pair): New command.
11003 * progmodes/python.el (python-electric-pair-string-delimiter):
11004 Fix triple-quoting electricity. (Bug#17192)
11006 * elec-pair.el (electric-pair-post-self-insert-function):
11007 Don't skip whitespace when `electric-pair-text-pairs' and
11008 `electric-pair-pairs' were used. syntax to
11009 electric-pair--skip-whitespace. (Bug#17183)
11011 2014-04-07 Eli Zaretskii <eliz@gnu.org>
11013 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
11016 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
11018 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
11019 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
11021 2014-04-07 Glenn Morris <rgm@gnu.org>
11023 * help.el (view-lossage): Doc tweak.
11025 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
11027 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
11028 only when inhibit-x-resources is nil, and do that earlier in the
11029 function. Doc fix. (Bug#16694)
11030 (face-spec-choose): Accept additional optional argument, whose
11031 value is returned if no matching attributes are found.
11032 (face-spec-recalc): Use the new optional argument when calling
11033 face-spec-choose. (Bug#16378)
11034 (make-face-x-resource-internal): Do nothing when
11035 inhibit-x-resources is non-nil. Don't touch the default face if
11036 reversed video is given--as was done in previous versions of Emacs.
11037 (face-set-after-frame-default): Don't call
11038 make-face-x-resource-internal here. (Bug#16434)
11040 2014-04-07 Tassilo Horn <tsdh@gnu.org>
11042 * doc-view.el (doc-view-bookmark-jump):
11043 Use `bookmark-after-jump-hook' to jump to the right page after the
11044 buffer is shown in a window. (bug#16090)
11046 2014-04-07 Eli Zaretskii <eliz@gnu.org>
11048 * international/characters.el (mirroring): Fix last change:
11049 instead of loading uni-mirrored.el explicitly, do that implicitly
11050 by creating the 'mirroring' uniprop table. This avoids announcing
11051 the loading of uni-mirrored.el.
11053 2014-04-07 Glenn Morris <rgm@gnu.org>
11055 * files.el (buffer-stale--default-function)
11056 (buffer-stale-function, revert-buffer--default):
11057 * autorevert.el (auto-revert-buffers): Doc tweaks.
11059 2014-04-07 Eli Zaretskii <eliz@gnu.org>
11061 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
11063 2014-04-07 Glenn Morris <rgm@gnu.org>
11065 * files.el (make-backup-file-name-function)
11066 (make-backup-file-name, make-backup-file-name--default-function)
11067 (make-backup-file-name-1, find-backup-file-name)
11068 (revert-buffer-function, revert-buffer-insert-file-contents-function)
11069 (buffer-stale--default-function, buffer-stale-function)
11070 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
11071 (revert-buffer, revert-buffer--default)
11072 (revert-buffer-insert-file-contents--default-function):
11073 Doc fixes related to defaults no longer being nil.
11074 (make-backup-file-name-function): Bump :version.
11075 Restore nil as a valid but deprecated custom type.
11077 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
11079 * progmodes/perl-mode.el (perl-syntax-propertize-function):
11080 Handle $' used as a variable (bug#17174).
11082 * progmodes/perl-mode.el (perl-indent-new-calculate):
11083 Handle forward-sexp failure (bug#16985).
11084 (perl-syntax-propertize-function): Add "foreach" and "for" statement
11085 modifiers introducing expressions (bug#17116).
11087 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
11089 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
11091 2014-04-05 Leo Liu <sdl.web@gmail.com>
11093 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
11094 Add define-compilation-mode.
11096 2014-04-04 João Távora <joaotavora@gmail.com>
11098 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
11099 parse from comment beginning.
11100 (electric-pair--balance-info): Fix typo in comment.
11101 (electric-pair--in-unterminated-string-p): Delete.
11102 (electric-pair--unbalanced-strings-p): New function.
11103 (electric-pair-string-bound-function): New var.
11104 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
11105 according to `electric-pair--in-unterminated-string-p'.
11107 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
11109 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
11110 Move declaration before first use.
11111 (reftex-move-to-next-arg): Silence compiler warning.
11113 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
11115 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
11116 Use `window-total-width' instead of `window-width'.
11118 2014-04-03 Daniel Colascione <dancol@dancol.org>
11120 * subr.el (set-transient-map): Remove rms's workaround entirely;
11121 use new `suspicious-object' subr to mark our lambda for closer
11122 scrutiny during gc.
11124 2014-04-02 Richard Stallman <rms@gnu.org>
11126 * subr.el (set-transient-map): Comment out previous change.
11128 2014-04-02 Glenn Morris <rgm@gnu.org>
11130 * menu-bar.el (menu-bar-file-menu):
11131 * vc/ediff.el (ediff-current-file):
11132 Update for revert-buffer-function no longer being nil by default.
11134 * simple.el (command-execute): Respect nil disabled-command-function.
11136 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
11138 * simple.el (command-execute): Do not execute the command when it
11139 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
11141 2014-04-02 Juri Linkov <juri@jurta.org>
11143 * dired-aux.el (dired-compress-file): Don't use string-match-p
11144 because its match data is used afterwards.
11146 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
11148 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
11151 2014-04-02 João Távora <joaotavora@gmail.com>
11153 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
11154 Inhibit quote pairing if point-max is inside an unterminated string.
11155 (electric-pair--looking-at-unterminated-string-p):
11157 (electric-pair--in-unterminated-string-p): New function.
11159 2014-04-01 Daniel Colascione <dancol@dancol.org>
11161 * minibuffer.el (minibuffer-complete): Prevent assertion failure
11162 when trying to complete the prompt.
11164 2014-03-31 Leo Liu <sdl.web@gmail.com>
11166 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
11167 Refactor out eldoc-documentation-function-default.
11168 (eldoc-documentation-function-default): New function.
11169 (eldoc-documentation-function): Change value.
11171 2014-03-31 Glenn Morris <rgm@gnu.org>
11173 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
11175 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
11176 (vhdl-compose-components-package, vhdl-compose-configuration):
11177 Abbreviate default-directory (missing from some previous upstream sync).
11179 2014-03-31 Reto Zimmermann <reto@gnu.org>
11181 Sync with upstream vhdl mode v3.35.2.
11182 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
11183 (top-level): No longer require assoc.
11184 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
11185 New functions. Use throughout to replace aget etc.
11186 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
11187 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
11188 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
11189 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
11190 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
11191 except `vhdl-compiler'.
11192 (vhdl-error-regexp-add-emacs): Remove all other compilers,
11195 2014-03-31 Glenn Morris <rgm@gnu.org>
11197 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
11198 Revert 2014-03-26 merge goof; go back to using defalias.
11200 2014-03-30 Daniel Colascione <dancol@dancol.org>
11202 * comint.el (comint-send-input):
11203 Deactivate completion-in-region-mode before we send comint input.
11206 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
11209 2014-03-29 Glenn Morris <rgm@gnu.org>
11211 * textmodes/reftex.el: Manage most autoloads automatically.
11212 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
11213 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
11214 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
11215 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
11216 * textmodes/reftex-toc.el: Set generated-autoload-file,
11217 and add autoload cookies for reftex.el.
11218 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
11220 2014-03-28 Glenn Morris <rgm@gnu.org>
11222 * cus-start.el (report-emacs-bug-address): Set custom properties.
11223 * mail/emacsbug.el (report-emacs-bug-address):
11224 Variable is now defined in emacs.c.
11226 * mail/emacsbug.el (report-emacs-bug):
11227 Include system-configuration-features.
11229 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
11231 * simple.el (cycle-spacing): Never delete spaces on first run by
11232 default, but do so in a new 'fast mode and if there are already
11233 N spaces (the previous behavior).
11234 Compare N with its value in previous invocation so that changing
11235 prefix argument restarts `cycle-spacing' sequence.
11236 The idea is that with this change, binding M-SPC to
11237 `cycle-spacing' should not introduce any changes in behavior of
11238 the binding so long as users do not type M-SPC twice in a raw with
11239 the same prefix argument or lack thereof.
11241 2014-03-28 Glenn Morris <rgm@gnu.org>
11243 * faces.el (term-file-aliases): New variable.
11244 (tty-run-terminal-initialization): Respect term-file-aliases.
11245 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
11246 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
11247 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
11249 2014-03-27 Glenn Morris <rgm@gnu.org>
11251 * startup.el (inhibit-startup-hooks): Doc tweak.
11252 (normal-top-level): Simplify running of hooks.
11253 For window-setup-hook, respect inhibit-startup-hooks.
11254 (command-line-1): Don't set window-setup-hook to nil.
11256 Allow selective autoloading from obsolete/ directory.
11257 * Makefile.in (obsolete-autoloads): New rule.
11258 (autoloads): Run obsolete-autoloads.
11259 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
11260 * simple.el (iswitchb-mode): Remove hand-written autoloads.
11262 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
11264 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11265 Highlight special globals with font-lock-builtin-face. (Bug#17057)
11267 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
11268 Don't propertize `?' or `!' as symbol constituent when after
11271 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
11273 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
11274 which is no longer needed and causes trouble in GTK builds (bug#17046).
11276 * emacs-lisp/package-x.el (package--archive-contents-from-url):
11277 Use url-insert-file-contents; package-handle-response no longer exists.
11279 2014-03-26 Daniel Colascione <dancol@dancol.org>
11281 * simple.el (process-menu-mode-map): New variable.
11282 (process-menu-delete-process): New command.
11284 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
11286 * emacs-lisp/package.el: Fix bug#16733 (again).
11287 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
11288 (url-http-target-url): Remove unused declarations.
11289 (package-handle-response): Remove.
11290 (package--with-work-buffer): Use url-insert-file-contents and simplify.
11291 (package--download-one-archive): Use current-buffer instead of
11292 dynamic binding of `buffer'.
11293 (describe-package-1): Do not decode readme-string.
11295 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
11297 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
11299 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
11300 from 2014-03-07, it decreases performance unnecessarily. Let-bind
11301 `remote-file-name-inhibit-cache' to nil in the second pass.
11302 (tramp-find-executable): Do not call "which" on SunOS.
11303 (tramp-send-command-and-check): Fix docstring.
11304 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
11305 check whether source directory has set the sticky bit.
11307 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
11309 * simple.el (primitive-undo): Only process marker adjustments
11310 validated against their corresponding (TEXT . POS). Issue warning
11311 for lone marker adjustments in undo history. (Bug#16818)
11312 (undo-make-selective-list): Add marker adjustments to selective
11313 undo list based on whether their corresponding (TEXT . POS) is in
11314 the region. Remove variable adjusted-markers, which was unused
11315 and only non nil during undo-make-selective-list.
11316 (undo-elt-in-region): Return nil when passed a marker adjustment
11317 and explain in function doc.
11319 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
11321 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
11323 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
11325 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
11326 Match special global variables without curlies, too.
11327 (ruby-font-lock-keywords): Simplify the matcher for special global
11328 variables. Don't require a non-word character after the variable.
11331 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
11333 * simple.el (redisplay-highlight-region-function): Increase priority of
11334 overlay to make sure boundaries are visible (bug#15899).
11336 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
11338 * frameset.el (frameset--initial-params): Fix typo in parameter name.
11339 (frameset-restore): Compare display strings with equal.
11341 * frame.el (make-frame): Don't quote display name in error message,
11342 it is already a string.
11344 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
11346 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
11349 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
11351 * emacs-lisp/package.el (package--add-to-archive-contents):
11352 Include already installed and built-in packages in
11353 `package-archive-contents'.
11354 (package-install): Don't include already installed packages in the
11355 options during interactive invocation. (Bug#16762)
11356 (package-show-package-list): If the buffer is already displayed in
11357 another window, switch to that window.
11359 2014-03-26 Reto Zimmermann <reto@gnu.org>
11361 Sync with upstream vhdl mode v3.35.1.
11362 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
11363 (vhdl-compiler-alist): Doc fix.
11364 (vhdl-goto-line): Remove.
11365 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
11366 (vhdl-mode) <paragraph-start>: Fix value.
11367 (vhdl-fix-statement-region): Not `for' in wait-statement.
11368 (vhdl-beautify-region): Also (un)tabify.
11369 (vhdl-get-visible-signals):
11370 Scan declarative part of generate statements.
11371 (vhdl-template-record): Fix indentation for record type declaration.
11372 (vhdl-expand-abbrev, vhdl-expand-paren):
11373 Revert to using fset again rather than defalias.
11374 (vhdl-scan-directory-contents): Tweak.
11375 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
11376 (vhdl-compose-components-package):
11377 Replace vhdl-goto-line with forward-line.
11378 (top-level): Tweak speedbar frame selection.
11379 (vhdl-generate-makefile-1): Support for compilers with no
11380 unit-to-file name mapping (create directory with dummy files).
11382 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
11384 Sync with upstream verilog-mode revision 702457d.
11385 * progmodes/verilog-mode.el (verilog-mode-version): Update.
11386 (create-lockfiles): Declare.
11387 (verilog-read-decls): Fix module header imports, bug709.
11388 Reported by Victor Lau.
11389 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
11390 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
11391 interface-only modules, bug721. Reported by Dean Hoyt.
11393 2014-03-26 Glenn Morris <rgm@gnu.org>
11395 * obsolete/gulp.el: Move here from emacs-lisp/.
11397 * files.el (lock-buffer, unlock-buffer, file-locked-p):
11398 Remove fallback aliases, since they are always defined now.
11400 2014-03-24 Daniel Colascione <dancol@dancol.org>
11402 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
11403 instead of cl-loop search function.
11405 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
11407 * calendar/parse-time.el (parse-time-iso8601-regexp)
11408 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
11411 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
11413 * net/dns.el (network-interface-list): Define for XEmacs.
11415 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
11417 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
11418 the network interfaces changed.
11419 (dns-query): Use it to flush the data.
11421 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
11423 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
11425 2014-03-23 Daniel Colascione <dancol@dancol.org>
11427 Change subword-mode to use `find-word-boundary-function-table' and
11428 replace `capitalized-words-mode'. Also, convert to lexical binding.
11429 * progmodes/cap-words.el: Delete now-obsolete file.
11430 * progmodes/subword.el: Reimplement using
11431 `find-word-boundary-function-table'.
11432 (subword-mode-map): Hollow out.
11433 (capitalized-words-mode): Define as obsolete alias for
11435 (subword-mode, superword-mode): Tweak documentation to reflect new
11436 implementation; call `subword-setup-buffer'.
11437 (subword-forward, subword-capitalize): Add underscore to indicate
11439 (subword-find-word-boundary-function-table): New constant.
11440 (subword-empty-char-table): New constant.
11441 (subword-setup-buffer): New function.
11442 (subword-find-word-boundary): New function.
11444 2014-03-23 Daniel Colascione <dancol@dancol.org>
11446 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
11447 list to look for keyword arguments instead of `memq', fixing
11448 (Bug#3647) --- unfortunately, only for freshly-compiled code.
11449 Please make bootstrap.
11451 2014-03-22 Glenn Morris <rgm@gnu.org>
11453 * dired.el (dired-read-regexp): Make obsolete.
11454 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
11455 (dired-flag-files-regexp):
11456 * dired-aux.el (dired-mark-read-regexp):
11457 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
11459 * startup.el (fancy-startup-text):
11460 * help.el (describe-gnu-project): Visit online info about GNU project.
11462 * help-fns.el (help-fns--interactive-only): New function.
11463 (help-fns-describe-function-functions): Add the above function.
11464 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
11465 (next-line, previous-line): Remove hand-written interactive-only
11466 information from doc strings, it is auto-generated now.
11467 * bookmark.el (bookmark-write):
11468 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
11469 (epa-mail-import-keys): Mark interactive-only,
11470 and remove hand-written interactive-only information from doc strings.
11471 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
11472 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
11473 * files.el (not-modified):
11474 * simple.el (mark-whole-buffer): Mark interactive-only.
11476 * emacs-lisp/byte-run.el (defun-declarations-alist):
11477 Add interactive-only. Doc tweak.
11478 (macro-declarations-alist): Doc tweak.
11479 * subr.el (declare): Doc tweak (add xref to manual).
11480 * comint.el (comint-run):
11481 * files.el (insert-file-literally, insert-file):
11482 * replace.el (replace-string, replace-regexp):
11483 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
11484 (delete-forward-char, goto-line, insert-buffer, next-line)
11485 (previous-line): Set interactive-only via declare.
11487 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
11489 * emacs-lisp/package.el (package-desc): Use the contents of the
11490 quoted form, not its cdr. (Bug#16873)
11492 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
11494 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
11495 benefit of doc.c; change parameter profile to match the X function.
11497 2014-03-22 Leo Liu <sdl.web@gmail.com>
11499 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
11500 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
11502 2014-03-21 Richard Stallman <rms@gnu.org>
11504 * battery.el (battery-linux-sysfs): Search for each field
11505 from the beginning of the buffer.
11507 * subr.el (set-transient-map): Clear out function and value
11508 of the temporary symbol when we're done with it.
11510 * mail/rmailsum.el (rmail-summary-delete-forward):
11511 Optimize case of reaching end and handling count.
11512 (rmail-summary-mark-deleted): Optimize when N is current msg.
11513 Don't create new summary line.
11514 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
11515 (rmail-summary-undelete-many): Rewrite for speed.
11516 (rmail-summary-msg-number): New function.
11518 * mail/rmail.el (rmail-delete-message): Update summary.
11519 (rmail-undelete-previous-message): Handle repeat count arg.
11520 (rmail-delete-backward, rmail-delete-forward): Likewise.
11522 2014-03-21 Daniel Colascione <dancol@dancol.org>
11524 * mail/emacsbug.el (report-emacs-bug): Include memory usage
11525 information in bug reports.
11527 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
11529 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
11530 and `tramp-copy-env'.
11532 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
11533 (tramp-maybe-open-connection): Handle `tramp-login-env'.
11535 2014-03-21 Glenn Morris <rgm@gnu.org>
11537 * electric.el (electric-indent-post-self-insert-function): Add doc.
11539 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
11541 * emacs-lisp/package.el (package-compute-transaction):
11542 Use `version-list-<=' to compare the requirement version against
11543 the version of package already to be installed. Update the error
11544 message. (Bug#16826)
11546 * progmodes/ruby-mode.el (ruby-smie-rules):
11547 Add indentation rule for ` @ '. (Bug#17050)
11549 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
11551 * align.el (align-regexp): Remove superfluous backslash.
11553 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
11554 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
11555 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
11556 Fix docstring typos.
11557 (ffap-next): Use C-u in docstring.
11558 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
11559 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
11560 Remove superfluous backslashes.
11561 (ffap-string-at-point): Reflow docstring.
11563 * server.el (server-host): Reflow docstring.
11564 (server-unload-function): Fix docstring typo.
11565 (server-eval-at): Remove superfluous backslash.
11567 * skeleton.el (skeleton-insert): Remove superfluous backslash.
11568 (skeleton-insert): Doc fix.
11569 (skeleton-insert): Reflow docstring.
11571 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
11572 (tty-color-approximate, tty-color-by-index, tty-color-values)
11573 (tty-color-desc): Remove superfluous backslashes.
11575 2014-03-21 Glenn Morris <rgm@gnu.org>
11577 * cus-start.el (history-length): Bump :version.
11579 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
11580 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
11581 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
11582 Don't set `make-backup-files'.
11584 * info.el (info--prettify-description): New function,
11585 to give info-finder descriptions consistent case, punctuation.
11586 (Info-finder-find-node): Use it. Sort packages.
11587 Refer to "description" rather than "commentary".
11589 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
11591 * frameset.el (frameset--print-register): New function.
11592 (frameset-to-register): Use it.
11594 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
11596 * progmodes/hideif.el (hif-string-to-number): New function.
11597 (hif-tokenize): Use it to understand non-decimal floats.
11599 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
11601 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
11603 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
11605 * electric.el (electric-newline-and-maybe-indent): New command.
11606 Bind it globally to C-j.
11607 (electric-indent-mode): Don't mess with the global map any more.
11608 Don't drop the post-self-insert-hook is some buffer is still using it
11611 * bindings.el (global-map): Remove C-j binding.
11613 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
11614 the docstring of functions advised before dumping (bug#16993).
11616 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
11618 * ps-print.el (ps-generate-postscript-with-faces):
11619 Explicitly deactivate the mark (bug#16866).
11620 * simple.el (deactivate-mark): Update region highlight.
11622 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
11624 * emacs-lisp/package.el (describe-package-1):
11625 Decode commentary (bug#16733).
11627 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
11629 * custom.el (defcustom): Doc fix: recommend avoiding destructive
11630 modification of the value argument of :set (bug#16755).
11632 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
11634 * simple.el (newline-and-indent): Do autofill (bug#17031).
11636 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
11638 * newcomment.el (comment-normalize-vars): Only add escaping check
11639 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
11640 (comment-beginning): Use `narrow-to-region' instead of moving back
11642 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
11643 (comment-start-skip): Update the docstring.
11645 2014-03-18 Richard Stallman <rms@gnu.org>
11647 * dired.el (dired-display-file): Force use of other window.
11649 2014-03-18 Daniel Colascione <dancol@dancol.org>
11651 * startup.el (tty-handle-args): Remove debug message from 2007.
11653 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
11655 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
11656 (advice--make-interactive-form): Use it to avoid (auto)loading function.
11657 (advice--make-1, advice-add, advice-remove):
11658 Remove braindead :advice-pending hack.
11660 2014-03-17 Glenn Morris <rgm@gnu.org>
11662 * calendar/calendar.el (calendar-generate-month): Apply weekend
11663 face to the right days; fixes 2013-08-06 change. (Bug#17028)
11665 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
11667 * net/tramp.el (tramp-action-out-of-band): Read pending output.
11668 (tramp-call-process): Trace also DESTINATION.
11670 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
11671 Quote file names when they are local. Remove superfluous trace.
11673 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
11675 * newcomment.el (comment-beginning): If `comment-start-skip'
11676 doesn't match, move back one char and try again. (Bug#16971)
11678 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
11679 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
11680 Set `comment-start-skip' to a simpler value that doesn't try to
11681 check if the semicolon is escaped (this is handled by
11682 `syntax-ppss' now). (Bug#16971)
11684 * progmodes/scheme.el (scheme-mode-variables): Same.
11686 2014-03-16 Martin Rudalics <rudalics@gmx.at>
11688 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
11689 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
11690 current (Bug#16816, Bug#17007).
11691 (with-current-buffer-window): New macro doing the same as
11692 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
11693 * help.el (help-print-return-message): Warn in doc-string to not
11694 use this in `with-help-window'.
11695 (describe-bindings-internal): Call `describe-buffer-bindings'
11696 from within help buffer. See Juanma's scenario in (Bug#16816).
11697 (with-help-window): Update doc-string.
11698 * dired.el (dired-mark-pop-up):
11699 * files.el (save-buffers-kill-emacs):
11700 * register.el (register-preview): Use `with-current-buffer-window'
11701 instead of `with-temp-buffer-window'.
11703 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
11705 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
11706 Implement inserting into current buffer, documented in their docstrings.
11707 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
11708 (rst-section-tree-point, rst-forward-section, rst-indent)
11709 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
11710 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
11711 (rst-font-lock-handle-adornment-pre-match-form)
11712 (rst-repeat-last-character): Reflow docstrings.
11713 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
11714 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
11715 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
11716 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
11717 Fix docstring typos.
11718 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
11719 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
11720 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
11722 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
11724 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
11725 for compatibility with other ports.
11726 (ns-initialize-window-system): Use it. It is set in term/common-win.el
11727 from the -xrm command line argument, but in the Nextstep port its value
11728 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
11730 * progmodes/python.el (defconst, python-syntax-count-quotes)
11731 (python-indent-region, python-indent-shift-right)
11732 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
11733 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
11734 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
11735 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
11736 (inferior-python-mode, python-shell-make-comint, run-python-internal)
11737 (python-shell-buffer-substring, python-shell-send-buffer)
11738 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
11739 (python-completion-complete-at-point, python-fill-docstring-style)
11740 (python-eldoc-function, python-imenu-format-item-label)
11741 (python-imenu-format-parent-item-label)
11742 (python-imenu-format-parent-item-jump-label)
11743 (python-imenu--build-tree, python-imenu-create-index)
11744 (python-imenu-create-flat-index): Fix docstring typos.
11745 (python-indent-context, python-shell-prompt-regexp, run-python):
11746 Remove superfluous backslashes.
11747 (python-indent-line, python-nav-beginning-of-defun)
11748 (python-shell-get-buffer, python-shell-get-process)
11749 (python-info-current-defun, python-info-current-line-comment-p)
11750 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
11751 (python-indent-post-self-insert-function, python-shell-send-file)
11752 (python-shell-completion-get-completions)
11753 (python-shell-completion-complete-or-indent)
11754 (python-eldoc--get-doc-at-point): Reflow docstrings.
11756 2014-03-14 Glenn Morris <rgm@gnu.org>
11758 * emacs-lisp/package.el (package-menu-mode-map):
11759 Replace use of obsolete function alias. Tweak menu item text.
11761 * info.el (Info-finder-find-node):
11762 Ignore the `emacs' metapackage. (Bug#10813)
11764 * finder.el (finder-list-matches): Include unversioned packages
11765 in the result of a keyword search.
11767 * finder.el (finder--builtins-descriptions): New constant.
11768 (finder-compile-keywords): Use finder--builtins-descriptions.
11770 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
11772 * simple.el (blink-matching-paren): Describe the new value,
11773 `jump', enabling the old behavior.
11774 (blink-matching-open): Use that value. (Bug#17008)
11776 2014-03-14 Glenn Morris <rgm@gnu.org>
11778 * finder.el (finder-no-scan-regexp): Add leim-list.
11779 (finder-compile-keywords):
11780 Don't skip files with same basename. (Bug#14010)
11781 * Makefile.in (setwins_finder): New, excluding leim.
11782 (finder-data): Use setwins_finder.
11784 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
11785 (help-function-arglist, help-make-usage): Move from here...
11786 * help.el (help-split-fundoc, help-add-fundoc-usage)
11787 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
11788 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
11790 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
11792 * net/socks.el (socks, socks-override-functions)
11793 (socks-find-services-entry):
11794 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
11795 (hif-find-ifdef-block):
11796 * progmodes/modula2.el (m2-indent): Fix docstring typos.
11798 * net/tls.el (tls-program): Reflow docstring.
11800 * progmodes/pascal.el (pascal-mode-abbrev-table)
11801 (pascal-imenu-generic-expression, pascal-auto-endcomments)
11802 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
11803 (pascal-outline-mode): Fix docstring typos.
11804 (pascal-mode): Let define-derived-mode document mode hook.
11805 (pascal-uncomment-area): Reflow.
11806 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
11808 * progmodes/opascal.el (opascal-compound-block-indent)
11809 (opascal-case-label-indent): Fix docstring typos.
11810 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
11812 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
11814 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11815 Fontify multiple adjacent negation chars. (Bug#17004)
11817 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
11819 * emacs-lisp/package.el (package--prepare-dependencies):
11820 Accept requirements without explicit version (bug#14941).
11822 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
11824 * register.el (register-separator, copy-to-register): Doc fixes.
11825 (register-preview-default): Remove unnecessary call to concat.
11827 * frameset.el (frameset-restore): When checking for a visible frame,
11828 use the action map instead of calling visible-frame-list.
11830 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
11832 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
11834 2014-03-12 Martin Rudalics <rudalics@gmx.at>
11836 * window.el (fit-frame-to-buffer): Get maximum width from
11837 display's width instead of height.
11839 2014-03-12 Glenn Morris <rgm@gnu.org>
11841 * desktop.el (desktop-restore-frames)
11842 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
11843 (desktop-restore-reuses-frames): Doc tweaks.
11845 * electric.el (electric-indent-mode): Doc fix.
11847 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
11849 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
11850 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
11851 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
11852 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
11853 (cvs-dired-use-hook): Fix docstring typos.
11854 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
11857 * vc/pcvs-defs.el (cvs-auto-remove-handled)
11858 (cvs-auto-remove-directories, cvs-default-ignore-marks)
11859 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
11860 (cvs-execute-single-dir): Fix docstring typos.
11862 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
11863 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
11865 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
11867 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
11868 Fix docstring typos.
11870 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
11872 * frameset.el (frameset--jump-to-register): Add autoload; it could be
11873 called from jump-to-register after unloading the frameset package.
11875 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
11877 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
11878 (bug#16975). Deactivate the mark before setting it to nil.
11879 (activate-mark): Do nothing if region is already active.
11881 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
11883 * frameset.el (frameset--target-display): Remove definition; declare.
11884 (frameset-save, frameset-restore): Let-bind frameset--target-display.
11886 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
11888 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
11889 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
11890 when the advice is pending.
11891 (advice-remove): Remove this marker when not needed any more.
11893 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
11895 * frameset.el: Separate options for reusing frames and cleaning up.
11896 (frameset--reuse-list): Remove definition; declare.
11897 (frameset--action-map): Declare.
11898 (frameset--find-frame-if): Doc fix.
11899 (frameset--restore-frame): Cache frame action.
11900 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
11901 how to clean up the frame list after restoring. Remove cleaning
11902 options from REUSE-FRAMES. Change all keyword values to symbols.
11903 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
11905 * desktop.el (desktop-restore-forces-onscreen)
11906 (desktop-restore-reuses-frames): Use non-keyword values.
11907 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
11909 2014-03-10 Glenn Morris <rgm@gnu.org>
11911 * files.el (find-file): Doc fix: update info node name.
11913 * emacs-lisp/advice.el (ad-add-advice, defadvice):
11914 Doc fix: remove references to deleted info nodes.
11916 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
11918 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
11919 Do not add nil to the environment, when there's no remote `locale'.
11920 (tramp-find-inline-encoding): Check, that the remote host has
11921 installed perl, before sending scripts.
11923 2014-03-10 Leo Liu <sdl.web@gmail.com>
11925 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
11926 Clear eldoc-last-message. (Bug#16920)
11928 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
11930 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
11933 2014-03-09 Juri Linkov <juri@jurta.org>
11935 * ansi-color.el (ansi-color-names-vector): Copy default colors
11936 from `xterm-standard-colors' that look well on the default white
11937 background (and also on the black background) to avoid illegible
11938 color combinations like yellow-on-white and white-on-white.
11939 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
11941 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
11943 * frameset.el (frameset-restore): When no frame is visible, do not
11944 generate a list of frames, just make visible the selected one.
11946 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
11948 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
11949 it only contains the repository root. (Bug#16897)
11951 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
11953 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
11954 only when `remote-file-name-inhibit-cache' is nil.
11955 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
11957 2014-03-06 Martin Rudalics <rudalics@gmx.at>
11959 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
11961 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
11962 fit-frame-to-buffer variable. Fix doc-string.
11963 (fit-window-to-buffer): Set ONLY argument in call of
11964 fit-frame-to-buffer. Fix doc-string.
11966 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
11968 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
11969 (tramp-action-password): Clear password cache if needed.
11970 (tramp-read-passwd): Do not clear password cache.
11972 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
11973 cache unless it is the first password request.
11975 2014-03-06 Glenn Morris <rgm@gnu.org>
11977 * simple.el (newline): Doc tweak.
11979 * emacs-lisp/shadow.el (load-path-shadows-find):
11980 Ignore dir-locals. (Bug#12357)
11982 2014-03-05 Glenn Morris <rgm@gnu.org>
11984 * files.el (interpreter-mode-alist):
11985 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
11987 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11989 * frameset.el (frameset--initial-params): Filter out null entries.
11991 2014-03-05 Martin Rudalics <rudalics@gmx.at>
11993 * window.el (window-min-height, window-min-width):
11994 Rewrite doc-strings.
11995 (window-body-size): Add PIXELWISE argument to make it consistent
11998 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
12000 * finder.el (finder-mode-map, finder-mode-syntax-table):
12001 Revert part of 2014-02-28 change.
12003 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
12005 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
12006 (eww-setup-buffer): Clear next/prev/etc more reliably.
12007 (eww-textarea-map): [tab] doesn't work on tty.
12008 Reported by Mario Lang.
12010 * net/shr.el (shr-map): Ditto.
12012 2014-03-04 Glenn Morris <rgm@gnu.org>
12014 * minibuffer.el (completion-hilit-commonality):
12015 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
12017 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
12019 * hilit-chg.el (hilit-chg-unload-function): New function.
12020 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
12021 (hilit-chg-map-changes): Prefer cardinal number to digit.
12022 (hilit-chg-display-changes): Reflow docstring.
12023 (highlight-changes-rotate-faces): Remove superfluous backslash.
12025 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
12027 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
12028 `tramp-send-command-and-check'.
12030 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
12032 * hexl.el (hexl-address-region, hexl-ascii-region)
12033 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
12034 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
12035 (hexl-forward-word, hexl-previous-line, hexl-next-line):
12036 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
12037 (hexl-mode): Doc fix.
12038 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
12039 (hexl-mode-ruler): Fix typos in docstrings.
12041 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
12042 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
12043 Remove superfluous backslashes.
12044 (strokes-last-stroke, strokes-global-map, strokes-mode):
12046 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
12047 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
12048 (strokes-distance-squared, strokes-global-set-stroke)
12049 (strokes-global-set-stroke-string): Doc fixes.
12050 (strokes-help): Fix typos; reflow docstring.
12052 2014-03-04 Martin Rudalics <rudalics@gmx.at>
12054 * window.el (window-in-direction): Fix doc-string.
12056 2014-03-04 Glenn Morris <rgm@gnu.org>
12058 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
12059 Explicit error if no grammar.
12060 (smie-config-save): Doc fix. Fix quote typo.
12062 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
12064 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
12065 electric-indent-mode-hook if we obey electric-indent-mode.
12066 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
12067 decide whether we obey electric-indent-mode.
12068 (c-change-set-fl-decl-start, c-extend-after-change-region):
12070 (c-electric-indent-mode-hook): Assume we do want to obey
12071 electric-indent-mode.
12073 * electric.el (electric-indent-mode-has-been-called): Remove.
12074 (electric-indent-mode): Fix accordingly.
12076 * files.el (hack-local-variables): Mention file name in warning.
12078 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
12080 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
12082 * bindings.el: Add comment describing why C-d binds to `delete-char'.
12083 * simple.el (delete-forward-char): Mark as interactive-only.
12085 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
12087 * icomplete.el (icomplete-completions):
12088 Follow-up to 2014-03-01 change.
12090 * icomplete.el: Miscellaneous doc fixes.
12091 Use Icomplete everywhere instead of icomplete for consistency.
12092 (icomplete-max-delay-chars): Fix typo.
12093 (icomplete-mode): Use \[].
12094 (icomplete-tidy, icomplete-exhibit): Reflow.
12095 (icomplete-minibuffer-setup-hook, icomplete-completions):
12096 Remove superfluous backlashes.
12098 * ido.el: Miscellaneous doc fixes.
12099 Use Ido everywhere instead of ido or `ido' for consistency.
12100 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
12101 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
12102 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
12103 (ido-separator): Extract obsolescence info from docstring and declare
12104 with make-obsolete-variable.
12105 (ido-minibuffer-setup-hook): Simplify example.
12106 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
12107 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
12108 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
12109 (ido-everywhere): Reflow docstring.
12110 (ido-toggle-vc): Doc fix.
12111 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
12112 of long list of keybindings.
12114 2014-03-03 Glenn Morris <rgm@gnu.org>
12116 * frame.el (display-pixel-height, display-pixel-width)
12117 (display-mm-dimensions-alist, display-mm-height)
12118 (display-mm-width): Doc tweaks.
12120 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
12122 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
12123 case of undo in region.
12125 2014-03-02 Martin Rudalics <rudalics@gmx.at>
12127 * window.el (fit-window-to-buffer): Fix argument in window-size
12128 call when window is horizontally combined.
12130 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
12132 * icomplete.el (icomplete-completions): Use string-width.
12133 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
12135 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
12137 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
12138 Highlight regexp options. (Bug#16914)
12140 2014-03-01 Martin Rudalics <rudalics@gmx.at>
12142 * window.el (window--max-delta-1): Round down when calculating
12143 how many lines/columns we can get from a window.
12145 2014-03-01 Glenn Morris <rgm@gnu.org>
12147 * isearch.el (search-invisible): Doc fix.
12149 * minibuffer.el (completion-hilit-commonality):
12150 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
12151 * comint.el (comint-dynamic-list-completions): Doc fix.
12152 * comint.el (comint-dynamic-list-completions):
12153 * filecache.el (file-cache-minibuffer-complete):
12154 * tempo.el (tempo-display-completions):
12155 * eshell/em-hist.el (eshell-list-history):
12156 Replace use of obsolete argument of display-completion-list.
12158 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
12160 * icomplete.el (icomplete-completions):
12161 Revert back to using "..." when ?… cannot be displayed.
12163 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
12165 * finder.el (finder-unload-function): New function.
12167 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
12169 * dframe.el (dframe-detach):
12170 * find-dired.el (find-dired, find-name-dired):
12171 * finder.el (finder-mode-map, finder-mode-syntax-table)
12172 (finder-headmark, finder-select, finder-mouse-select):
12173 Fix docstring typos.
12175 2014-02-28 Martin Rudalics <rudalics@gmx.at>
12177 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
12178 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
12179 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
12180 Fix doc-string based on a suggestion by Nicolas Richard
12181 <theonewiththeevillook@yahoo.fr>.
12182 * help.el (with-help-window): Fix doc-string.
12184 2014-02-28 Ivan Kanis <ivan@kanis.fr>
12186 * net/shr.el (shr-image-animate): New option.
12187 (shr-put-image): Respect shr-image-animate.
12189 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
12191 * net/tramp-adb.el (tramp-adb-parse-device-names):
12192 Use `accept-process-output'.
12193 (tramp-adb-handle-file-truename): Cache the localname only.
12194 (tramp-adb-handle-make-directory)
12195 (tramp-adb-handle-delete-directory): Flush file properties correctly.
12196 (tramp-adb-handle-set-file-modes): Do not raise an error when file
12197 modes cannot be changed.
12199 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
12200 file properties of symlinks.
12202 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
12204 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
12205 required/optional fields to match development biblatex. (Bug#16781)
12207 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
12209 * saveplace.el (toggle-save-place):
12210 Fix argument handling. (Bug#16673)
12212 2014-02-28 Glenn Morris <rgm@gnu.org>
12214 * minibuffer.el (completions-first-difference)
12215 (completions-common-part, completion-hilit-commonality): Doc fixes.
12217 2014-02-28 Karl Berry <karl@gnu.org>
12219 * info.el (Info-mode-map): Add H for describe-mode,
12220 to synchronize with standalone Info.
12222 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
12224 * progmodes/sql.el (sql-interactive-mode):
12225 Avoid setting global comint-input-ring-separator. (Bug#16814)
12227 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
12229 * net/dbus.el (dbus--init-bus): Declare function.
12230 (dbus-path-local, dbus-interface-local): New defconst.
12231 (dbus-init-bus): Use them.
12232 (dbus-return-values-table): Extend doc.
12233 (dbus-handle-bus-disconnect): Extend error message.
12235 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
12237 * subr.el (y-or-n-p): Fix double space issue in message.
12239 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
12241 * net/tramp.el (tramp-call-process): Improve trace message.
12242 (tramp-handle-insert-file-contents): Trace error case.
12244 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
12245 <insert-directory>: Use `tramp-handle-insert-directory'.
12246 (tramp-adb-handle-insert-directory): Remove function.
12247 (tramp-adb-send-command-and-check): New defun, replacing
12248 `tramp-adb-command-exit-status'. Change all callees.
12249 (tramp-adb-handle-file-attributes)
12250 (tramp-adb-handle-directory-files-and-attributes): Use it.
12251 (tramp-adb-ls-output-name-less-p):
12252 Use `directory-listing-before-filename-regexp'.
12253 (tramp-adb-handle-delete-directory): Flush also file properties of
12254 the truename of directory.
12255 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
12256 (tramp-adb-handle-file-local-copy): Make the local copy readable.
12257 (tramp-adb-handle-write-region): Implement APPEND.
12258 (tramp-adb-handle-rename-file): Make it more robust. Flush file
12259 properties correctly.
12260 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
12261 variables. Check for connected devices only when needed.
12263 2014-02-27 Glenn Morris <rgm@gnu.org>
12265 * minibuffer.el (completion-table-dynamic)
12266 (completion-table-with-cache): Doc fixes.
12268 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
12269 (completing-read-multiple): Doc fixes.
12271 2014-02-27 Daniel Colascione <dancol@dancol.org>
12273 * minibuffer.el (completion--nth-completion): Fix indentation.
12275 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
12276 explicit tramp path is empty.
12278 2014-02-27 Glenn Morris <rgm@gnu.org>
12280 * emacs-lisp/crm.el (completing-read-multiple):
12281 Empower help-enable-auto-load.
12283 2014-02-26 Glenn Morris <rgm@gnu.org>
12285 * startup.el (command-line): Don't init the tty in daemon mode.
12287 Avoid calling tty-setup-hook twice, eg if a term file
12288 explicitly calls tty-run-terminal-initialization. (Bug#16859)
12289 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
12290 (tty-create-frame-with-faces): Use it.
12291 * startup.el (command-line): Pass run-hook argument
12292 to tty-run-terminal-initialization.
12294 * dired.el (dired-restore-desktop-buffer): Demote errors;
12295 eg in case a glob match fails. (Bug#16884)
12297 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
12299 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
12300 error from `read-from-string'. (Bug#16850)
12302 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
12303 result of `completing-read' in the interactive form. (Bug#16854)
12305 2014-02-25 Glenn Morris <rgm@gnu.org>
12307 * image.el (image-animate, image-animate-timeout):
12308 Stop animating images in dead buffers. (Bug#16878)
12310 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
12312 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
12314 * startup.el (term-setup-hook): Doc fix. Make obsolete.
12315 * term/sun.el (sun-raw-prefix-hooks):
12316 Use tty-setup-hook instead of term-setup-hook.
12317 (terminal-init-sun): Construct message from bytecomp plist.
12318 * term/wyse50.el (enable-arrow-keys): Doc fix.
12320 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
12322 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
12323 Fix docstring typos.
12325 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
12327 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
12329 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
12331 * minibuffer.el (completion--try-word-completion):
12332 Fix error when completing M-x commands (bug#16808).
12334 2014-02-24 Leo Liu <sdl.web@gmail.com>
12336 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
12338 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
12340 * apropos.el (apropos-print): Avoid formatting error when
12341 apropos-do-all and apropos-compact-layout are both t.
12343 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
12345 * apropos.el (apropos-property, apropos-all-words-regexp)
12346 (apropos-true-hit, apropos-variable, apropos-print):
12347 Fix docstring typos, and remove obsolete comment.
12349 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
12351 * net/tramp-sh.el (tramp-sh-handle-file-truename):
12352 Preserve trailing "/". (Bug#16851)
12354 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
12356 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
12357 after `=>' (bug#16811).
12358 (ruby-smie-rules): Handle the inconsistent second element of the
12359 list returned by `smie-indent--parent'.
12360 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
12363 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
12365 * elec-pair.el (electric-pair-text-syntax-table)
12366 (electric-pair-syntax-info, electric-pair--syntax-ppss)
12367 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
12368 (electric-pair--looking-at-unterminated-string-p): Doc fix.
12369 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
12371 2014-02-22 Glenn Morris <rgm@gnu.org>
12373 * imenu.el (imenu--generic-function): Doc fix.
12375 * register.el (frame-configuration-to-register): Make obsolete.
12377 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
12379 * desktop.el (desktop-save-buffer-p): Do not fail when
12380 desktop-files-not-to-save is nil. Return t for true result
12383 2014-02-22 Daniel Colascione <dancol@dancol.org>
12385 * net/secrets.el (secrets-create-item, secrets-search-items):
12386 Check that attribute values are strings, avoiding the construction
12387 of invalid dbus messages.
12389 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12391 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
12392 defun-declarations-alist.
12394 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
12396 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
12399 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12401 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
12402 (whitespace-newline, whitespace-trailing, whitespace-line)
12403 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
12404 (whitespace-space-after-tab): Fix typo in docstrings.
12406 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
12408 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
12410 * electric.el (electric-indent-functions-without-reindent):
12411 Add `yaml-indent-line'.
12413 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12415 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
12416 It has done nothing for years; should be removed after the release.
12418 * simple.el (choose-completion): Fix docstring typo.
12419 (read-quoted-char-radix): Remove unneeded * in docstring.
12420 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
12421 Don't escape parentheses unnecessarily in docstrings.
12423 2014-02-21 Martin Rudalics <rudalics@gmx.at>
12425 Fix handling of window-min-height/-width (Bug#16738).
12426 * window.el (window--dump-window, window--dump-frame):
12428 (window--min-size-1): Account for window dividers.
12429 When window-resize-pixelwise is nil, delay rounding till after the
12430 sum of the window components has been calculated.
12431 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
12432 nil make sure at least one text line and two text columns remain
12434 (window-resize): Signal an error when window-resize-apply fails.
12435 (window--resize-child-windows): Fix calculation of by how many
12436 pixels a window can still be shrunk via window-new-normal.
12437 (adjust-window-trailing-edge): Call window--resizable with
12438 correct TRAIL argument.
12440 (with-temp-buffer-window): Don't evaluate BODY within
12441 with-current-buffer (Bug#16816).
12443 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
12445 * net/tramp.el (tramp-check-cached-permissions):
12446 Call `file-attributes' with `suffix' being a symbol but a string.
12448 2014-02-21 Daniel Colascione <dancol@dancol.org>
12450 * net/dbus.el (dbus-init-bus-1): Declare new subr.
12451 (dbus-init-bus): New function: call into dbus-init-bus-1
12452 and installs a handler for the disconnect signal.
12453 (dbus-call-method): Rewrite to look for result in cons.
12454 (dbus-call-method-handler): Store result in cons.
12455 (dbus-check-event): Recognize events with nil sender as valid.
12456 (dbus-handle-bus-disconnect): New function. React to bus
12457 disconnection signal by synthesizing dbus error for each
12458 pending synchronous or asynchronous call.
12459 (dbus-notice-synchronous-call-errors): New function.
12460 (dbus-handle-event): Raise errors directly only when `dbus-debug'
12461 is true, not all the time.
12463 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
12465 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
12466 Remove obsolescence declarations, these variables do not exist anymore.
12468 * savehist.el (savehist-save-minibuffer-history)
12469 (savehist-additional-variables, savehist-file, savehist-mode-hook)
12470 (savehist-save-hook, savehist-coding-system, savehist-loaded)
12471 (savehist-load, savehist-install, savehist-autosave): Fix typos;
12472 mostly, refer to "Savehist mode" when talking about the mode,
12473 and not the function.
12475 * saveplace.el (save-place): Remove redundant info in docstring.
12476 (save-place-forget-unreadable-files, toggle-save-place)
12477 (save-place-forget-unreadable-files, save-place-dired-hook):
12478 Fix typos and remove unneeded backslashes.
12480 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
12482 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
12483 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
12485 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
12486 <insert-directory>: Use `tramp-handle-insert-directory'.
12487 (tramp-gvfs-handle-insert-directory): Remove function.
12489 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
12490 Call `tramp-handle-insert-directory'.
12492 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
12494 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
12495 before the start of buffer/region (bug#16799).
12497 2014-02-20 Glenn Morris <rgm@gnu.org>
12499 * isearch.el (search-invisible): Doc fix.
12501 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
12503 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
12506 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
12508 * frameset.el (frameset-restore): Delay removing an old frame's
12509 duplicate id until the new frame has been correctly created.
12511 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
12513 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
12514 (tramp-check-cached-permissions): Call `file-attributes' if the
12517 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
12518 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
12520 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
12521 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
12522 (tramp-gvfs-maybe-open-connection): Set always connection
12523 properties, even if target is mounted already.
12525 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
12526 Set tramp-autoload cookie.
12527 (tramp-get-remote-touch): New defun.
12528 (tramp-sh-handle-set-file-times): Use it.
12529 (tramp-sh-handle-directory-files-and-attributes):
12530 Use `tramp-handle-directory-files-and-attributes' if neither stat
12531 nor perl are available on the remote host.
12533 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
12534 "/". Write long listing only when "l" belongs to the switches.
12536 * net/trampver.el: Update release number.
12538 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
12540 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
12542 2014-02-19 Martin Rudalics <rudalics@gmx.at>
12544 * window.el (window-state-put): Allow WINDOW to refer to an
12545 internal window (Bug#16793).
12547 2014-02-19 Glenn Morris <rgm@gnu.org>
12549 * textmodes/remember.el: Move provide statement to end.
12550 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
12551 (remember-notes): Doc fixes.
12553 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
12555 * delsel.el (delete-char): Restore incorrectly erased property
12558 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
12560 * frameset.el (frameset--restore-frame): When a frame is being reused
12561 and its root window is not alive, delete all the frame's windows before
12562 restoring the window state. This works around the issue in bug#16793.
12564 2014-02-18 Glenn Morris <rgm@gnu.org>
12566 * textmodes/remember.el (remember-data-directory)
12567 (remember-directory-file-name-format, remember-store-in-files)
12568 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
12569 (remember-notes-save-and-bury-buffer)
12570 (remember-notes--kill-buffer-query): Doc fixes.
12572 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
12574 2014-02-17 Alan Mackenzie <acm@muc.de>
12576 Connect electric-indent-mode up with CC Mode. Bug #15478.
12577 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
12578 to electric-indent-{,local-}-mode.
12579 (c-basic-common-init): Set electric-indent-inhibit.
12580 Initialize c-electric-flag from electric-indent-mode.
12581 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
12582 New hook functions which propagate electric-indent-mode to CC mode.
12584 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
12585 hit, toggle electric-indent-local-mode.
12587 * electric.el (electric-indent-mode-has-been-called):
12590 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
12592 * frameset.el (frameset-cfg-id): New function.
12593 (frameset--reuse-frame, frameset-restore): Use it.
12594 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
12596 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
12598 * ido.el (ido-file-internal): Remove unused var `d'.
12599 Use \` for to match BoS. Fit within 80n columns.
12601 2014-02-17 Daniel Colascione <dancol@dancol.org>
12603 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
12604 dbus-call-method check for completion using a busy-wait loop with
12607 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
12609 Sync with Tramp 2.2.9.
12611 * net/trampver.el: Update release number.
12613 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
12615 * ido.el (ido-file-internal): Don't add the name of an existing
12616 directory twice. (Bug#16747)
12618 2014-02-16 Glenn Morris <rgm@gnu.org>
12620 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
12621 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
12623 2014-02-15 Michael R. Mauger <michael@mauger.com>
12625 * progmodes/sql.el: Version 3.4
12626 (sql-oracle-options): New default value ("-L").
12627 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
12628 (sql-placeholders-filter): Correct placeholder pattern.
12629 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
12630 (sql-login-delay): New variable.
12631 (sql-product-interactive): Use it.
12633 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
12635 * frameset.el (frameset--jump-to-register): Check that buffer is live
12638 2014-02-15 Glenn Morris <rgm@gnu.org>
12640 * info.el (info-initialize): Revert 2014-01-10 change.
12642 2014-02-14 Glenn Morris <rgm@gnu.org>
12644 * replace.el (map-query-replace-regexp)
12645 (read-regexp-defaults-function, read-regexp): Doc fixes.
12647 * dired.el (dired-read-regexp):
12648 * faces.el (list-faces-display):
12649 * misearch.el (multi-isearch-read-matching-buffers)
12650 (multi-isearch-read-matching-files):
12651 * play/cookie1.el (cookie-apropos):
12652 * progmodes/grep.el (grep-read-regexp): Doc fixes.
12654 * textmodes/remember.el (remember): Use frameset-to-register
12655 rather than frame-configuration-to-register.
12657 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
12659 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
12660 incorrect keybinding.
12662 2014-02-13 Daniel Colascione <dancol@dancol.org>
12664 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
12665 when adding overlays so that line numbers from compiler match line
12668 2014-02-13 Glenn Morris <rgm@gnu.org>
12670 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
12672 * jit-lock.el (jit-lock-mode): Doc fix.
12674 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
12676 * apropos.el (apropos-read-pattern): When the user passes an empty
12677 string, give a more helpful error message than "Wrong type
12678 argument: stringp, nil".
12680 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
12682 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
12684 2014-02-13 Glenn Morris <rgm@gnu.org>
12686 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
12688 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
12690 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
12691 shift-select commands.
12693 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
12695 * progmodes/js.el (js-indent-line): Don't widen.
12696 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
12698 2014-02-12 Glenn Morris <rgm@gnu.org>
12700 * icomplete.el (icomplete): Add info-link to defgroup.
12701 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
12702 (icomplete-minibuffer-map, icomplete-mode)
12703 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
12705 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
12706 (package-menu-filter): Rename from package-menu-filter-interactive.
12709 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
12711 * frameset.el (frameset--jump-to-register): Select the required
12712 window and buffer before restoring position (bug#16696).
12714 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
12716 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
12718 2014-02-10 Glenn Morris <rgm@gnu.org>
12720 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
12722 2014-02-10 Eli Zaretskii <eliz@gnu.org>
12724 * w32-common-fns.el (x-get-selection): Doc fix.
12725 * select.el (x-get-selection): Doc fix. (Bug#15109)
12727 * face-remap.el (face-remap-add-relative)
12728 (face-remap-remove-relative, face-remap-reset-base)
12729 (face-remap-set-base): Call force-mode-line-update to redisplay
12730 the current buffer due to potential change in faces. (Bug#16709)
12732 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
12734 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
12735 script more robustly.
12737 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
12739 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
12741 * simple.el (choose-completion): Doc fix (bug#14160).
12743 * subr.el (event-start): Say what a nil EVENT value means.
12745 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
12748 * progmodes/grep.el (find-program): Doc fix (bug#14289).
12750 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
12752 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
12754 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
12755 predicate function (bug#15455).
12757 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
12759 * ielm.el (inferior-emacs-lisp-mode): Instead of
12760 `comment-use-global-state', set `comment-use-syntax'.
12762 2014-02-10 Glenn Morris <rgm@gnu.org>
12764 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
12766 2014-02-09 Alan Mackenzie <acm@muc.de>
12768 Fix c-invalidate-state-cache on narrowed buffers.
12769 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
12770 Widen when setting and clearing the CPP delimiter properties.
12772 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
12774 * help.el (describe-bindings): Doc fix (bug#9888).
12776 * files.el (save-buffer): Use ARG as the parameter name for
12777 consistency (bug#10346).
12778 (save-buffer): Clarify the 0 argument (bug#10346).
12780 * cus-edit.el (customize-apropos): Fix error string.
12781 (custom-buffer-create): Doc fix (bug#11122).
12782 (custom-sort-items): Doc fix (bug#11121).
12784 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
12786 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
12787 (icomplete-simple-completing-p): Mention the previous variable.
12789 * font-lock.el (font-lock-value-in-major-mode): Clarify the
12790 meaning of the parameter (bug#12282).
12792 * files.el (find-file-noselect): Clarify prompt when changing
12793 readedness (bug#13261).
12794 (locate-file): Suffixes aren't returned, so don't say that they
12796 (backup-inhibited): Doc clarification (bug#12525).
12798 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
12799 before we actually start to delete things (bug#16331).
12801 * subr.el (event-start): Doc fix (bug#14228).
12802 (event-end): Ditto.
12804 2014-02-09 Glenn Morris <rgm@gnu.org>
12806 * emacs-lisp/warnings.el (lwarn):
12807 Empower help-enable-auto-load. (Bug#15940)
12809 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
12811 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
12814 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
12816 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
12817 Insert output at end of buffer. (Bug#16120)
12819 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
12821 * simple.el (choose-completion-string-functions): Document new
12822 calling convention (bug#14153).
12823 (execute-extended-command): Clarify doc string (bug#13373).
12825 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
12827 * find-dired.el (find-name-dired): Doc fix (bug#14290).
12828 (find-grep-dired): Doc fix (bug#14288).
12830 2014-02-08 Juri Linkov <juri@jurta.org>
12832 * isearch.el (isearch-quote-char): Check character validity
12833 like in `quoted-insert' (bug#16677).
12835 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
12837 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
12839 * isearch.el (isearch-hide-immediately): Doc clarification
12842 * simple.el (line-move): Document utility function used many
12843 places in the Emacs sources (bug#14843).
12845 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
12846 (dired-prev-marked-file): Doc fix (bug#14855).
12847 (dired-up-directory): Doc fix (bug#14848).
12849 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
12851 * files.el (file-relative-name): Doc fix (bug#15159).
12853 * fringe.el (fringe-styles): Doc fix (bug#15239).
12855 * isearch.el (isearch-filter-predicate): Documentation typo fix
12858 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
12860 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
12862 * replace.el (replace-match-maybe-edit): Doc clarification
12865 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
12867 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
12869 2014-02-08 Glenn Morris <rgm@gnu.org>
12871 * help-fns.el (describe-variable):
12872 Check {file,dir}-local-variables-alist, and buffer-file-name,
12873 in the correct buffer.
12875 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
12877 * help-fns.el (describe-variable): Fix the case where
12878 a value is directory-local with no dir-locals file. (Bug#16635)
12880 2014-02-08 Glenn Morris <rgm@gnu.org>
12882 * abbrev.el (edit-abbrevs-mode):
12883 Derive from fundamental-mode. (Bug#16682)
12885 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
12887 * simple.el (quoted-insert): Check character validity (bug#16677).
12889 2014-02-07 Juri Linkov <juri@jurta.org>
12891 * desktop.el (desktop-read): Claim the lock when the owner is not
12892 the current process. (Bug#16157)
12894 2014-02-07 Juri Linkov <juri@jurta.org>
12896 * desktop.el (desktop-buffers-not-to-save): Change default from nil
12897 to "\\` ". (Bug#16651)
12899 2014-02-07 Juri Linkov <juri@jurta.org>
12901 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
12902 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
12903 (desktop-auto-save-cancel-timer): New function with some code from
12904 `desktop-auto-save-set-timer'.
12905 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
12906 Instead of setting `desktop-save-mode' to nil, call
12907 `desktop-save-mode' with arg 0. (Bug#16630)
12909 2014-02-07 Glenn Morris <rgm@gnu.org>
12911 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
12912 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
12913 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
12915 * obsolete/iswitchb.el: Move to obsolete/.
12916 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
12917 since obsolete/ is not scanned for autoloads.
12918 * emacs-lisp/authors.el (authors-valid-file-names):
12921 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
12922 Disable now non-functional find-file-hook.
12924 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
12926 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
12927 instead of ";" in order to avoid additional prompts. Let heredoc
12928 scripts read from tty. (Bug#16582)
12929 (tramp-send-command): No special handling of heredocs, it isn't
12932 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
12934 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
12935 with a space (bug#16664). Limit the symbols considered to the ones
12936 that are bound or fbound (bug#16646).
12938 2014-02-06 Glenn Morris <rgm@gnu.org>
12940 * epa.el (epa-mail-aliases): Doc fix.
12942 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
12944 * emacs-lisp/lisp.el (lisp-completion-at-point):
12945 Use `completion-table-merge' instead of `completion-table-in-turn'
12948 * minibuffer.el (completion-table-merge): New function.
12950 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
12952 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
12953 (tramp-sh-handle-set-file-acl)
12954 (tramp-sh-handle-start-file-process)
12955 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
12956 (tramp-find-executable, tramp-send-command): Use it.
12958 2014-02-05 Glenn Morris <rgm@gnu.org>
12960 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
12962 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
12964 * progmodes/python.el (python-shell-send-string)
12965 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
12967 2014-02-04 Anders Lindgren <andlind@gmail.com>
12969 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
12970 the names (bug#16620).
12972 2014-02-03 Martin Rudalics <rudalics@gmx.at>
12974 * faces.el (window-divider): New default value. Rewrite doc-string.
12975 (window-divider-first-pixel, window-divider-last-pixel): New faces.
12977 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
12979 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
12980 `protected' and `public' can also be called without arguments.
12982 2014-02-03 Glenn Morris <rgm@gnu.org>
12984 * register.el (window-configuration-to-register)
12985 (frame-configuration-to-register): Unadvertise unused argument.
12986 * frameset.el (frameset-to-register): Remove unused argument.
12988 * frameset.el (frameset-to-register):
12989 * kmacro.el (kmacro-to-register):
12990 * register.el (increment-register):
12991 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
12992 (calc-append-to-register, calc-prepend-to-register):
12993 * play/gametree.el (gametree-layout-to-register)
12994 (gametree-apply-register-layout):
12995 * textmodes/picture.el (picture-clear-rectangle-to-register)
12996 (picture-yank-rectangle-from-register):
12997 * vc/emerge.el (emerge-combine-versions-register):
12998 Use register-read-with-preview to read registers.
13000 2014-02-03 João Távora <joaotavora@gmail.com>
13002 * elec-pair.el (electric-pair-backward-delete-char): Don't error
13003 when at beginning of (possibly narrowed) buffer.
13005 2014-02-02 Daniel Colascione <dancol@dancol.org>
13007 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
13008 Also try to display local help from just before point.
13010 2014-02-02 Alan Mackenzie <acm@muc.de>
13012 c-parse-state. Don't "append-lower-brace-pair" in certain
13013 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
13014 recognised as a comment.
13016 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
13017 as well as normal comment starter.
13018 (c-parse-state-get-strategy): Extra return possibility
13020 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
13021 return value list to indicate replacement of a brace-pair cons
13023 (c-parse-state-1): With 'back-and-forward, only call
13024 c-append-lower-brace-pair-to state-cache when cons-separated.
13026 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
13028 * term/ns-win.el (ns-suspend-error): New function.
13029 (ns-initialize-window-system): Add ns-suspend-error to
13030 suspend-hook (Bug#16612).
13032 2014-02-02 Daniel Colascione <dancol@dancol.org>
13034 * progmodes/cc-defs.el (c-find-assignment-for-mode):
13035 Make loading cc-mode silent.
13037 2014-02-02 Daniel Colascione <dancol@dancol.org>
13039 * comint.el (comint-prompt-read-only): Change doc to suggest
13042 2014-02-02 Glenn Morris <rgm@gnu.org>
13044 * register.el (register-read-with-preview, point-to-register)
13045 (window-configuration-to-register, frame-configuration-to-register)
13046 (jump-to-register, number-to-register, view-register, insert-register)
13047 (copy-to-register, append-to-register, prepend-to-register)
13048 (copy-rectangle-to-register): Doc fixes.
13050 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
13052 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
13053 * emacs-lisp/find-func.el (find-function-C-source): Idem.
13054 * emacs-lisp/nadvice.el (advice--cd*r): New function.
13055 * help-fns.el (describe-function-1): Use it.
13057 2014-02-02 Glenn Morris <rgm@gnu.org>
13059 * register.el (register-preview-default): New function,
13060 split from register-preview.
13061 (register-preview-function): Rename from register-preview-functions,
13062 make it not a hook.
13063 (register-preview): Use register-preview-function.
13064 (register-read-with-preview): Error on non-character event. (Bug#16595)
13066 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
13068 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
13069 `:' before binary operators (bug#16609). Don't check for `:'
13070 before `[' and `(', or their syntax status. A percent literal
13071 can't end with either.
13072 (ruby-font-lock-keywords): For built-ins that require arguments,
13073 check that they're followed by something that looks like argument
13076 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
13078 * subr.el (butlast): Document what an omitted N means (bug#13437).
13081 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
13083 * net/shr.el (shr-generic): Make into a defsubst to make the stack
13084 depth shallower (bug#16587).
13085 (shr-tag-svg): Respect `shr-inhibit-images'.
13086 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
13088 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
13090 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
13091 (ruby-smie-grammar): Make "." right-associative. Make its priority
13092 lower than the ternary and all binary operators.
13093 (ruby-smie-rules): Indent "(" relative to the first non-"."
13094 parent, or the first "." parent at indentation.
13095 Use `ruby-align-chained-calls' for indentation of "." tokens.
13098 2014-01-31 Juri Linkov <juri@jurta.org>
13100 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
13101 from `make-hash-table'.
13103 * textmodes/ispell.el (ispell-init-process): Change message format
13104 to be consistent with other messages.
13106 2014-01-31 Glenn Morris <rgm@gnu.org>
13108 * delsel.el (delete-selection-mode): Doc fix.
13110 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
13111 (trace-function-background): Doc fixes.
13113 * ido.el (ido-use-virtual-buffers): Doc fix.
13114 Reset :version, since the default value has not changed.
13116 * register.el (register-preview-delay, register-read-with-preview):
13119 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
13120 do not mess with mail-buffer position (fixes 2009-11-03 change).
13121 * progmodes/cc-mode.el (c-submit-bug-report):
13122 Check auto-fill-mode is bound. (Bug#16592)
13124 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
13126 * startup.el (fancy-splash-image-file): New function,
13127 split from fancy-splash-head.
13128 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
13129 so that we are both using the same image. (Bug#16574)
13131 2014-01-30 Glenn Morris <rgm@gnu.org>
13133 * simple.el (eval-expression): Doc fix.
13135 * hexl.el (hexl-mode-hook):
13136 * ielm.el (ielm-mode-hook):
13137 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
13138 (lisp-interaction-mode-hook):
13139 * progmodes/cfengine.el (cfengine3-documentation-function):
13140 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
13142 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
13144 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
13145 is a symbol (bug#16584).
13147 2014-01-30 Glenn Morris <rgm@gnu.org>
13149 * help.el (help-for-help-internal): Add "P" to text.
13151 2014-01-29 Glenn Morris <rgm@gnu.org>
13153 * simple.el (just-one-space, cycle-spacing): Doc fixes.
13155 2014-01-28 Martin Rudalics <rudalics@gmx.at>
13157 * window.el (fit-frame-to-buffer): Fix calculations for margins and
13158 height constraints.
13160 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
13162 * progmodes/hideif.el: Extend to full CPP expression syntax.
13163 (hif-token-alist): Add missing tokens.
13164 (hif-token-regexp): Add support for float/octal/hex immediates.
13165 (hif-string-literal-regexp): New const.
13166 (hif-tokenize): Recognize strings and float/octal/hex immediates.
13167 (hif-exprlist): New function.
13168 (hif-parse-if-exp): Use it.
13169 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
13170 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
13171 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
13172 (hif-logxor, hif-comma): New functions.
13174 2014-01-28 Glenn Morris <rgm@gnu.org>
13176 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
13178 * indent.el (tab-stop-list): Doc fix. Add :version.
13180 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
13181 (cvs-append-to-ignore): Add compatibility alias.
13183 2014-01-27 Glenn Morris <rgm@gnu.org>
13185 * dired.el (dired-hide-details-mode): Don't autoload it,
13186 since it cannot be used outside Dired buffers anyway.
13188 * emulation/cua-base.el (cua-mode): Doc fix.
13190 * dired.el (dired-hide-details-hide-symlink-targets)
13191 (dired-hide-details-hide-information-lines)
13192 (dired-hide-details-mode): Doc fixes.
13194 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
13195 * strokes.el (strokes-file): Doc fix. Bump :version.
13196 (strokes-help): Doc fix.
13197 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
13198 * emulation/viper.el (viper): Doc fix for custom group.
13199 (top-level): Remove oh-so-no-longer-relevant text about vip.
13200 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
13202 * ido.el (ido-save-directory-list-file):
13203 * saveplace.el (save-place-file):
13204 * calendar/timeclock.el (timeclock-file):
13205 * net/quickurl.el (quickurl-url-file):
13206 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
13207 * progmodes/idlwave.el (idlwave-config-directory):
13208 * textmodes/remember.el (remember-data-file):
13211 2014-01-26 Glenn Morris <rgm@gnu.org>
13213 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
13214 Doc fix. Make obsolete.
13215 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
13217 * sort.el (delete-duplicate-lines): Doc fix.
13219 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13221 * progmodes/ada-mode.el (ada):
13222 * woman.el (woman): Link to info manual and Commentary section.
13224 * progmodes/flymake.el (flymake):
13225 * nxml/nxml-mode.el (nxml):
13226 * net/eww.el (eww):
13227 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
13228 * htmlfontify.el (htmlfontify):
13231 * ido.el (ido): Link to info manual.
13233 2014-01-25 Leo Liu <sdl.web@gmail.com>
13235 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
13237 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
13239 * net/shr.el (shr-tag-img): Prefer the title over the alt text
13242 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
13244 * net/eww.el (eww-download-callback):
13245 Fix reference to eww-download-directory.
13247 * emacs-lisp/bytecomp.el (byte-compile-file):
13248 Remove unused local variable `file-name'.
13250 2014-01-24 Glenn Morris <rgm@gnu.org>
13252 * woman.el (woman-default-faces, woman-monochrome-faces):
13253 Fix obsolescence specification.
13255 * subr.el (with-demoted-errors): Doc fix.
13257 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
13259 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
13260 (cl--macroexp-fboundp): New function.
13261 (cl--make-type-test): Use it.
13263 2014-01-23 Glenn Morris <rgm@gnu.org>
13265 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
13266 * simple.el (eval-expression): Doc fixes.
13268 2014-01-22 Glenn Morris <rgm@gnu.org>
13270 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
13272 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
13274 * emacs-lisp/package.el: Write files silently.
13275 (package-autoload-ensure-default-file, package--write-file-no-coding)
13276 (package-generate-description-file, package--download-one-archive)
13277 (package-install-from-archive): Tell `write-region' to stay quiet.
13278 (package-menu-mode, package-menu--print-info): Omit the Archive column
13279 if there's only one archive.
13280 (package-all-keywords, package--has-keyword-p): Remove dead code.
13282 2014-01-22 Glenn Morris <rgm@gnu.org>
13284 * version.el (emacs-bzr-version-bzr): Fix typo.
13286 * version.el (emacs-repository-get-version):
13287 Check either .bzr or .git, but not both.
13288 Make the git case actually use the DIR argument, and return nil
13289 rather than the empty string.
13290 Avoid error if .git exists but the git executable is not found.
13292 2014-01-22 Martin Rudalics <rudalics@gmx.at>
13294 Fixes in window size functions around Bug#16430 and Bug#16470.
13295 * window.el (window-total-size, window-size): New argument ROUND.
13296 (window--min-delta-1, window-min-delta, window--max-delta-1):
13297 Be more conservative when calculating the numbers of lines or
13298 columns a window can shrink (Bug#16430).
13299 (fit-window-to-buffer): Simplify code.
13300 * term.el (term-window-width): Call window-body-width again.
13302 2014-01-22 Glenn Morris <rgm@gnu.org>
13304 * image.el (image-format-suffixes): Doc fix.
13306 * international/quail.el (quail-define-package): Doc fix.
13308 * emacs-lisp/authors.el (authors-valid-file-names)
13309 (authors-renamed-files-alist): Additions.
13311 * vc/vc-git.el (vc-git-print-log): Remove --follow;
13312 reverts 2014-01-09 change. (Bug#16422)
13314 * calc/calc-embed.el (thing-at-point-looking-at):
13315 * emacs-lisp/map-ynp.el (x-popup-dialog):
13316 * obsolete/lmenu.el (x-popup-dialog):
13317 * emacs-lisp/package.el (url-recreate-url):
13318 * mail/mailclient.el (clipboard-kill-ring-save):
13319 * subr.el (x-popup-dialog): Update declaration.
13320 * mail/rmail.el (rmail-mime-message-p):
13321 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
13323 2014-01-21 Daniel Colascione <dancol@dancol.org>
13325 * progmodes/sh-script.el (sh--inside-noncommand-expression):
13326 Correctly detect when we're inside an arithmetic expansion form
13327 containing nested parenthesis.
13328 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
13329 to detect cases where we shouldn't expand "<<" to a heredoc
13332 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
13334 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
13335 (eldoc--message-command-p): New function.
13336 (eldoc-display-message-p): Use it.
13337 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
13338 message is not automatically erased for us.
13339 (eldoc-print-current-symbol-info): Erase previous message, if any.
13341 2014-01-21 Tassilo Horn <tsdh@gnu.org>
13343 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
13344 specify it's an interactive function.
13346 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
13347 Fix regex used for scanning for citation keys which failed for
13348 citations with optional arguments.
13350 2014-01-21 Leo Liu <sdl.web@gmail.com>
13352 * simple.el (read--expression): Don't enable eldoc-mode.
13354 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
13356 * simple.el (move-beginning-of-line): Make sure we don't move forward
13359 2014-01-20 Juri Linkov <juri@jurta.org>
13361 * saveplace.el (toggle-save-place, save-place-to-alist)
13362 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
13363 'dired-mode) before checking for dired-directory. (Bug#16477)
13365 2014-01-20 Juri Linkov <juri@jurta.org>
13367 * indent.el (indent-line-to): Use backward-to-indentation
13368 instead of back-to-indentation. (Bug#16461)
13370 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
13372 Revert some of the CANNOT_DUMP fix (Bug#16494).
13373 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
13374 but fixing this can wait until after the next release.
13375 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
13377 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
13379 * eshell/esh-mode.el (eshell-password-prompt-regexp):
13380 Use `password-word-equivalents'.
13381 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
13382 to t. (Bug#5664, Bug#13124)
13384 2014-01-19 Alan Mackenzie <acm@muc.de>
13386 Bind open-paren-in-column-0-is-defun-start to nil at some entry
13388 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
13389 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
13390 * progmodes/cc-mode.el (c-before-change, c-after-change)
13391 (c-font-lock-fontify-region): Bind it here.
13393 2014-01-19 Martin Rudalics <rudalics@gmx.at>
13395 * term.el (term-window-width): Call window-text-width instead of
13396 window-width (Bug#16470).
13398 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
13400 * simple.el (password-word-equivalents): Remove duplicates.
13401 Sort, to make this easier next time.
13402 Downcase. Omit ": " after "jelszó".
13404 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
13406 * term/common-win.el (saved-region-selection): Defvar it.
13407 (x-select-text): Set saved-region-selection (Bug#16382).
13409 2014-01-18 Glenn Morris <rgm@gnu.org>
13411 * emacs-lisp/authors.el (authors-aliases)
13412 (authors-renamed-files-alist): Add some entries.
13414 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
13416 * net/tramp.el (tramp-password-prompt-regexp):
13417 Use `password-word-equivalents' if available.
13418 (tramp-action-password, tramp-process-one-action)
13419 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
13421 2014-01-17 Chong Yidong <cyd@gnu.org>
13423 * simple.el (password-word-equivalents): New defcustom.
13424 * comint.el (comint-password-prompt-regexp): Use it. Bump version
13426 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
13429 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
13431 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
13432 (ruby-align-to-stmt-keywords): Change the default value.
13433 Use `ruby-alignable-keywords' to generate the possible customization
13435 (ruby-smie-rules): Instead of using a hardcoded list of alignable
13436 keywords, check against the value of `ruby-alignable-keywords'
13437 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
13439 2014-01-17 Glenn Morris <rgm@gnu.org>
13441 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
13443 Make M-x authors return zero *Authors Errors* from current logs.
13444 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
13445 (authors-ignored-files): Add some entries, remove others.
13446 (authors-ambiguous-files, authors-valid-file-names):
13448 (authors-renamed-files-alist): Add, remove, and adjust entries.
13449 (authors-renamed-files-regexps): Add some entries.
13450 Remove some very broad ones. Make some entries `lax'.
13451 (authors-lax-changelogs): New constant.
13452 (authors-disambiguate-file-name): Treat top-level specially.
13453 (authors-lax-changelog-p): New function.
13454 (authors-canonical-file-name): Check file as written against
13455 authors-valid-file-names. Do not special-case etc/.
13456 Handle `lax' logs and authors-renamed-files-regexps elements.
13458 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
13460 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
13461 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
13464 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
13466 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
13467 Assume we're already in the proper buffer.
13468 Inspired by Anders Lindgren <andlind@gmail.com>.
13469 (follow-post-command-hook): Call it from the right buffer.
13470 (follow-comint-scroll-to-bottom): Adjust call.
13471 (follow-all-followers): Use get-buffer-window-list.
13473 2014-01-15 Daniel Colascione <dancol@dancol.org>
13475 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
13476 `buffer-file-name' in interactive-form so that we don't leave
13477 pathless file names in `file-name-history'.
13479 2014-01-15 Juri Linkov <juri@jurta.org>
13481 * indent.el (indent-rigidly): Set deactivate-mark to nil
13482 in transient indentation mode. (Bug#16438)
13484 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
13486 * emacs-lisp/package.el (package-desc-keywords): New function
13488 (describe-package-1, package-all-keywords)
13489 (package--has-keyword-p): Use it.
13491 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
13493 * simple.el (define-alternatives): When creating the
13494 COMMAND-alternatives variable, assign COMMAND as its definition
13495 name so that `describe-variable' can relocate it.
13497 2014-01-14 Matthew Leach <matthew@mattleach.net>
13499 * font-lock.el (font-lock-keywords): Fix typo in docstring
13502 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
13504 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
13505 line instead of wrongly reset `add-coment' (bug#13577).
13507 2014-01-14 Daiki Ueno <ueno@gnu.org>
13509 * epa-file.el (epa-file-write-region): Encode the region according
13510 to `buffer-file-format'. Problem reported at:
13511 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
13513 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
13515 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
13516 so it applies in the right buffer (bug#16410).
13518 2014-01-13 Daniel Colascione <dancol@dancol.org>
13520 * textmodes/rst.el (rst-define-key): Provide deprecated
13521 keybindings through named functions instead of anonymous ones so
13522 that "??" doesn't appear in describe-mode output.
13524 2014-01-13 Bastien Guerry <bzg@gnu.org>
13526 * simple.el (define-alternatives): Call the selected command
13527 interactively. When setting `COMMAND--implementation' for the
13528 first time, tell the user how to chose another implementation.
13529 Enhance the docstring.
13531 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
13533 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
13534 (log-edit--match-first-line): New function.
13535 (log-edit-font-lock-keywords): Use it.
13536 (log-edit-mode): Make jit-lock-defer-multiline work.
13538 2014-01-13 Bastien Guerry <bzg@gnu.org>
13540 * rect.el (rectangle-mark-mode): When the region is not active,
13541 display a message saying that the mark as been set and that
13542 rectangle mode is in use.
13543 (rectangle--highlight-for-redisplay): Only put an overlay with a
13544 visible vertical bar when (display-graphic-p) is non-nil.
13545 This partially fixes Bug#16403.
13547 2014-01-13 Juri Linkov <juri@jurta.org>
13549 * info.el (Info-find-file): Go to DIR before displaying the error
13550 about a nonexistent file if no previous Info file is visited.
13551 Use `user-error' instead of `error' for "Info file %s does not exist".
13552 (Info-find-node-2): In case of a nonexistent node in unwind forms
13553 go to the Top node if there is no previous node to revert to.
13556 2014-01-13 Martin Rudalics <rudalics@gmx.at>
13558 fit-frame/window-to-buffer code fixes including one for Bug#14096.
13559 * window.el (fit-frame-to-buffer): Fix doc-string.
13560 Respect window-min-height/-width. Fit pixelwise when
13561 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
13562 when avoiding that frame goes partially off-screen.
13563 (fit-window-to-buffer): Respect window-min-height/-width
13566 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
13568 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
13569 after an empty line.
13571 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
13573 * net/shr.el (shr-render-region): Autoload.
13575 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
13577 * net/eww.el (eww-download-directory): Rename from
13578 `eww-download-path' (Bug#16419).
13580 2014-01-12 Leo Liu <sdl.web@gmail.com>
13582 * dired-x.el (dired-mode-map): Fix last change.
13584 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
13586 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
13589 * emacs-lisp/generic.el (generic--normalize-comments):
13590 Rename from generic--normalise-comments. All uses changed.
13591 * play/bubbles.el (bubbles--neighborhood-score)
13592 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
13593 (bubbles--neighborhood-available)
13594 (bubbles--update-neighborhood-score):
13595 Rename from names with 'neighbourhood'. All uses changed.
13597 2014-01-12 Leo Liu <sdl.web@gmail.com>
13599 Re-implement the feature of showing eldoc info after editing.
13600 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
13601 (eldoc-edit-message-commands): New function.
13602 (eldoc-print-after-edit): New variable.
13603 (eldoc-pre-command-refresh-echo-area): Emit message only by
13604 eldoc-message-commands.
13605 (eldoc-mode): Restrict eldoc-message-commands to editing commands
13606 if eldoc-print-after-edit is set. (Bug#16346)
13607 * simple.el (read--expression): Enable eldoc-mode.
13608 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
13610 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
13611 Eric S. Raymond <esr@thyrsus.com>
13613 * version.el (emacs-repository-get-version): Enhance so the
13614 function works correctly in either a Bazaar or Git repo.
13616 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
13618 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
13619 Goes with removal of the joke manpages from /etc.
13621 2014-01-10 Kenichi Handa <handa@gnu.org>
13623 * mail/rmail.el (rmail-get-coding-system):
13624 Check rmail-get-coding-function before "funcall"ing it.
13626 2014-01-10 Glenn Morris <rgm@gnu.org>
13628 * emacs-lisp/authors.el (authors-fixed-entries):
13629 Update for files that no longer exist.
13631 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
13633 * version.el (emacs-bzr-get-version): Restore compatibilty with
13636 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
13638 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
13641 2014-01-10 Eli Zaretskii <eliz@gnu.org>
13643 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
13645 2014-01-10 Chong Yidong <cyd@gnu.org>
13647 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
13649 2014-01-10 Anders Lindgren <andlind@gmail.com>
13651 * follow.el (follow-cache-command-list): Include right-char and
13654 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
13657 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
13658 * woman.el (woman-mark-horizontal-position):
13659 Rename from woman-mark-horizonal-position. Use changed.
13661 2014-01-10 Glenn Morris <rgm@gnu.org>
13663 * info.el (info-initialize): If running uninstalled, ensure our
13664 own info files are always found first, even if INFOPATH is set.
13666 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
13668 2014-01-09 David Engster <deng@randomsample.de>
13670 * emacs-lisp/eieio-custom.el:
13671 * emacs-lisp/eieio-opt.el: Set generated autoload file to
13672 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
13673 * emacs-lisp/eieio.el: Regenerate autoloads.
13675 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
13677 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
13678 following renames. (Bug#8756)
13680 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
13682 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
13684 (activate-mark): Add `no-tmm' argument.
13685 (set-mark, push-mark-command): Use it instead of running
13686 activate-mark-hook by hand.
13688 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
13690 In preparation for the move to git, sanitize out some
13691 Bazaar-specific names.
13693 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
13695 * version.el (emacs-bzr-version): Name changed to
13696 emacs-repository-version. Obsolete-variable alias made.
13697 * loadup.el: Follow through on this name change.
13698 * mail/emacsbug.el (report-emacs-bug): Factor out any
13699 assumption about the version control system in use.
13701 2014-01-08 David Engster <deng@randomsample.de>
13703 * help-fns.el (help-fns-describe-function-functions):
13704 New variable to call functions for augmenting help buffers.
13705 (describe-function-1): Remove explicit calls to
13706 `help-fns--compiler-macro', `help-fns--parent-mode' and
13707 `help-fns--obsolete'. Put them in above new variable instead, and
13708 call them through `run-hook-with-args'.
13709 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
13710 `eieio-describe-class'. Not meant for interactive use anymore,
13711 but to augment existing help buffers. Remove optional second
13712 argument. Create proper button for file location.
13713 Rewrite function to use `insert' instead of `princ' and `prin1' where
13715 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
13716 (eieio-method-def, eieio-class-def): Move further up.
13717 (describe-method, describe-generic, eieio-describe-method):
13719 (eieio-help-constructor, eieio-help-generic): Rename from
13720 `eieio-describe-constructor' and `eieio-describe-generic', resp.
13721 Rewrite to use `insert' in the current buffer and use proper help
13723 (eieio-help-find-method-definition)
13724 (eieio-help-find-class-definition): Also accept symbols as
13726 (eieio-help-mode-augmentation-maybee): Remove.
13727 (eieio-describe-class-sb): Use `describe-function'.
13728 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
13729 Add `eieio-help-generic' and `eieio-help-constructor'.
13731 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
13734 * language/china-util.el (hz-ascii-designation):
13735 Rename from hz-ascii-designnation.
13736 (hz-ascii-designation): Rename from hz-ascii-designnation.
13739 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
13741 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
13744 2014-01-08 Bastien Guerry <bzg@gnu.org>
13746 * emacs-lisp/package.el (package-delete):
13747 Correctly delete the package from package-alist.
13749 2014-01-08 Daiki Ueno <ueno@gnu.org>
13751 * emacs-lisp/package.el (url-recreate-url): Declare.
13752 (url-http-target-url): Declare.
13753 (package-handle-response): Include requested URL in the error message.
13754 (package--check-signature): Don't re-signal errors from
13755 package--with-work-buffer. Suggested by Stefan Monnier.
13757 2014-01-07 Bastien Guerry <bzg@gnu.org>
13759 * minibuffer.el (completion--try-word-completion): When both a
13760 hyphen and a space are possible candidates for the character
13761 following a word, display both candidates. (Bug#15980)
13763 2014-01-07 Martin Rudalics <rudalics@gmx.at>
13765 * window.el (balance-windows-2): While rounding don't give a
13766 window more than the remainder. Bug#16351, bug#16383.
13768 2014-01-07 Glenn Morris <rgm@gnu.org>
13770 * menu-bar.el (menu-bar-help-extra-packages): Remove.
13771 (menu-bar-help-menu): Use view-external-packages instead.
13773 2014-01-07 Bastien Guerry <bzg@gnu.org>
13775 * emacs-lisp/package.el (package-delete): Also delete the package
13776 name from `package-alist', not its description only.
13778 2014-01-07 Glenn Morris <rgm@gnu.org>
13780 * help.el (view-external-packages):
13781 * menu-bar.el (menu-bar-help-extra-packages):
13782 Visit efaq.info rather than etc/MORE.STUFF.
13784 2014-01-07 Juri Linkov <juri@jurta.org>
13786 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
13787 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
13789 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
13790 that shadows RET. (Bug#16342)
13792 2014-01-07 Chong Yidong <cyd@gnu.org>
13794 * isearch.el (isearch-yank-char, isearch-yank-word)
13795 (isearch-yank-line): Doc fix.
13797 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
13799 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
13800 * emacs-lisp/elint.el (elint-find-builtins):
13801 * emacs-lisp/eldoc.el (eldoc-symbol-function):
13802 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
13803 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
13804 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
13805 * apropos.el (apropos-safe-documentation):
13806 * subr.el (symbol-file): Remove redundant fboundp.
13807 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
13809 2014-01-06 Bastien Guerry <bzg@gnu.org>
13811 * hl-line.el (global-hl-line-overlay): Make a local variable.
13812 (global-hl-line-overlays): New variable to store all overlays.
13813 (global-hl-line-mode): Don't delete overlays from the current
13814 buffer when `global-hl-line-sticky-flag' is non-nil.
13815 (global-hl-line-highlight): Add new overlays to
13816 `global-hl-line-overlays'.
13817 (global-hl-line-unhighlight-all): New function to delete all
13818 overlays when turning off `global-hl-line-mode'.
13819 This fixes Bug#16183.
13821 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
13823 * subr.el (set-transient-map): Fix nested case and docstring.
13825 2014-01-06 Tassilo Horn <tsdh@gnu.org>
13827 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
13830 2014-01-06 Daniel Colascione <dancol@dancol.org>
13832 Fix defun navigation in vc log view.
13834 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
13835 like `beginning-of-defun'.
13836 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
13837 log-view-end-of-defun to log-view-end-of-defun-1. Replace
13838 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
13839 (log-view-extract-comment): Call `log-view-current-entry' directly
13840 instead of relying on broken `log-view-beginning-of-defun' behavior.
13842 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
13845 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
13846 * emacs-lisp/debug.el (cancel-debug-on-entry):
13847 * epg.el (epg-error-to-string):
13848 * files.el (recover-file):
13849 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
13850 * mail/emacsbug.el (report-emacs-bug-hook):
13851 * mail/sendmail.el (mail-recover):
13852 * ses.el (ses-yank-resize):
13853 * term/ns-win.el (ns-print-buffer):
13854 Spelling fixes in diagnostics, mostly for "canceled" with one L.
13855 * epg.el (epg-key-capability-alist): Rename from misspelled version.
13857 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
13859 2014-01-06 Leo Liu <sdl.web@gmail.com>
13861 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
13862 to avoid shadowing global key. (Bug#16354)
13864 2014-01-06 Daniel Colascione <dancol@dancol.org>
13866 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
13869 2014-01-05 Martin Rudalics <rudalics@gmx.at>
13871 * window.el (balance-windows): Add mising t to fix Bug#16351.
13873 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
13875 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
13877 (shr-insert): If we have a word that's longer than `shr-width',
13878 break after it anyway. Otherwise we'll do no breaking once we get
13881 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13883 * net/eww.el (eww): Support single/double quote for search.
13884 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
13885 (eww-history-quit): Delete and use quit-window.
13886 (eww-history-kill): Delete, because it doesn't work well and
13888 (eww-history-mode-map): Delete some keys and add easy-menu.
13890 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
13892 Fix misspelling of 'chinese' in rx (Bug#16237).
13893 * emacs-lisp/rx.el (rx-categories): Correct spelling of
13896 Change subword regexps back to vars (Bug#16296).
13897 * progmodes/subword.el (subword-forward-regexp)
13898 (subword-backward-regexp): Change these back to variables.
13900 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
13902 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
13903 syntax-begin-function (bug#16247).
13905 2014-01-03 Chong Yidong <cyd@gnu.org>
13907 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
13908 (advice--docstring): Delete variable.
13909 (advice--make-1): Leave the docstring empty.
13910 (advice-add): Use function-documentation for advised docstring.
13912 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
13913 Ignore function-documentation property when getting documentation.
13914 (ad-activate-advised-definition): Use function-documentation
13915 generate the docstring.
13916 (ad-make-advised-definition): Don't call
13917 ad-make-advised-definition-docstring.
13918 (ad-make-advised-definition-docstring, ad-advised-definition-p):
13921 * progmodes/sql.el (sql-help): Use function-documentation instead
13922 of dynamic-docstring-function property. No need to autoload now.
13923 (sql--help-docstring): New variable.
13924 (sql--make-help-docstring): Use it.
13926 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
13928 * ielm.el (ielm-tab): Retarget.
13929 (ielm-map): Use ielm-tab for tab.
13930 (ielm-complete-filename): Use comint-filename-completion.
13931 (ielm-complete-symbol): Remove.
13932 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
13933 remove ielm-tab from completion-at-point-functions (bug#16224).
13935 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
13936 Beware signals raised by predicates (bug#16201).
13938 2014-01-02 Richard Stallman <rms@gnu.org>
13940 * dired-aux.el (dired-do-print): Handle printer-name.
13942 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
13943 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
13944 (rmail-epa-decrypt): Turn off mime processing.
13946 * mail/rmail.el (rmail-make-in-reply-to-field):
13947 Add parens in message-id.
13949 * mail/rmail.el (rmail-get-coding-function): Variable.
13950 (rmail-get-coding-system): Use it.
13952 2013-12-31 Eli Zaretskii <eliz@gnu.org>
13954 * international/mule-conf.el: Unify the charset indian-is13194.
13955 (indian-is13194): Specify unify-map.
13957 2013-12-31 Leo Liu <sdl.web@gmail.com>
13959 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
13961 2013-12-30 Daniel Colascione <dancol@dancol.org>
13963 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
13964 of printing a useless when we resume from sleep.
13966 * progmodes/sh-script.el
13967 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
13968 in indentation code. (Bug#16233)
13970 2013-12-28 João Távora <joaotavora@gmail.com>
13972 * elec-pair.el (electric-pair-post-self-insert-function):
13973 Don't open extra newlines at beginning of buffer. (Bug#16272)
13975 2013-12-28 Eli Zaretskii <eliz@gnu.org>
13977 * frame.el (window-system-for-display): Don't allow to create a
13978 GUI frame from a -nw session on MS-Windows. (Bug#14739)
13980 2013-12-28 Glenn Morris <rgm@gnu.org>
13982 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
13985 * apropos.el (apropos-match-face):
13986 * calculator.el (calculator-displayer):
13987 * dabbrev.el (dabbrev-search-these-buffers-only):
13988 * face-remap.el (buffer-face-mode-face):
13989 * simple.el (yank-handled-properties):
13990 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
13991 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
13992 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
13993 (hashcash-double-spend-database):
13994 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
13995 (ruby-deep-indent-paren-style):
13996 * textmodes/flyspell.el (flyspell-auto-correct-binding):
13997 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
13998 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
13999 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
14000 Specify custom types.
14002 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
14003 * bookmark.el (bookmark-bmenu-use-header-line):
14004 * doc-view.el (doc-view-scale-internally):
14005 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
14006 * register.el (register-preview-delay):
14007 * net/shr.el (shr-bullet):
14008 * progmodes/cfengine.el (cfengine-cf-promises)
14009 (cfengine-parameters-indent):
14010 * progmodes/octave.el (inferior-octave-error-regexp-alist):
14011 * textmodes/reftex-vars.el (reftex-label-regexps):
14012 * vc/log-edit.el (log-edit-setup-add-author): Add version.
14014 * net/tls.el (tls-certtool-program): Fix default value.
14016 * desktop.el (desktop-restore-in-current-display):
14017 * newcomment.el (comment-empty-lines):
14018 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
14019 (idlwave-pad-keyword):
14020 * progmodes/tcl.el (tcl-tab-always-indent):
14021 * textmodes/reftex-vars.el (reftex-index-default-tag):
14022 * elec-pair.el (electric-pair-skip-whitespace):
14023 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
14025 * emacs-lisp/authors.el (authors-ignored-files)
14026 (authors-valid-file-names, authors-renamed-files-alist): Additions.
14028 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
14030 * shell.el (shell-dynamic-complete-command): Doc fix.
14031 (shell--command-completion-data): Shell completion now matches
14032 executable filenames from the current buffer's directory, on
14033 systems in which this behavior is the default (windows-nt, ms-dos).
14035 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
14037 * net/shr.el (shr-insert): Don't infloop if the width is zero.
14039 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
14041 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
14044 * electric.el: Move all electric-pair-* to elec-pair.el.
14045 * elec-pair.el: New file, split from electric.el.
14047 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
14049 * net/shr.el (shr-find-fill-point): Don't try to fill if the
14050 indentation level is larger than the width, because that will
14052 (shr-insert): Fill repeatedly long texts, so that Japanese is
14053 formatted correctly (bug#16263).
14054 (shr-find-fill-point): Off by one error in comparison with the
14057 2013-12-26 João Távora <joaotavora@gmail.com>
14059 * electric.el (electric-pair-mode): More flexible engine for skip-
14060 and inhibit predicates, new options for pairing-related functionality.
14061 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
14062 if that keeps or improves their balance in buffers.
14063 (electric-pair-delete-adjacent-pairs): Delete the pair when
14064 backspacing over adjacent matched delimiters.
14065 (electric-pair-open-extra-newline): Open extra newline when
14066 inserting newlines between adjacent matched delimiters.
14067 (electric--sort-post-self-insertion-hook):
14068 Sort post-self-insert-hook according to priority values when
14069 minor-modes are activated.
14070 * simple.el (newline-and-indent): Call newline with interactive
14072 (blink-paren-post-self-insert-function): Set priority to 100.
14073 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
14074 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
14075 comments. Locally set electric-pair-skip-whitespace to 'chomp and
14076 electric-pair-open-newline-between-pairs to nil.
14078 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
14080 * progmodes/python.el: Use lexical-binding.
14081 (python-nav-beginning-of-defun): Stop searching ASAP.
14083 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
14085 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
14086 Fix interactive spec. Doc fix. (Bug#15754)
14088 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
14090 * emacs-lisp/byte-run.el (eval-when-compile):
14091 * progmodes/cc-defs.el (cc-eval-when-compile):
14092 Fix edebug spec (bug#16184).
14094 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
14096 * net/shr.el (shr-visit-file): Remove debugging function.
14097 (shr-insert): Don't infloop if we can't find a good place to break
14098 the line (bug#16256).
14100 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
14102 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
14103 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
14104 python-nav-lisp-forward-sexp-safe.
14105 (python-nav--forward-sexp): New argument SAFE allows switching
14106 forward sexp movement behavior for parens.
14107 (python-nav-forward-sexp): Throw errors on unterminated parens
14109 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
14110 (python-nav-backward-sexp-safe): New functions.
14111 (python-shell-buffer-substring):
14112 Use `python-nav-forward-sexp-safe'.
14114 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
14116 * net/shr.el (shr-find-fill-point): Don't break lines before a
14118 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
14119 (shr-find-fill-point): Remove the special checks for the quotation
14120 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
14122 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14124 * net/eww.el (eww-form-textarea): Use a different face for
14125 textareas than text input since they have different keymaps
14128 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
14130 * progmodes/python.el (python-nav-beginning-of-statement):
14131 Speed up (Bug#15295).
14133 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
14135 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
14136 the window configuration.
14138 2013-12-24 Eli Zaretskii <eliz@gnu.org>
14140 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
14141 we run on MS-Windows or MS-DOS.
14143 2013-12-24 Martin Rudalics <rudalics@gmx.at>
14145 * window.el (balance-windows-area): Call window-size instead of
14146 window-height and window-width. Bug#16241.
14148 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
14150 * net/eww.el (eww-bookmark-quit): Remove.
14151 (eww-bookmark-browse): Restore the window configuration when you
14152 choose a bookmark (bug#16144).
14154 2013-12-24 Daniel Colascione <dancol@dancol.org>
14156 * icomplete.el: Remove redundant :group arguments to `defcustom'
14158 (icomplete-show-matches-on-no-input): New customizable variable.
14159 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
14160 we have something to show.
14161 (icomplete-exhibit): Compute completions even if we have no user input.
14163 2013-12-23 Daniel Colascione <dancol@dancol.org>
14165 * icomplete.el: Move `provide' to end of file.
14167 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
14169 * net/gnutls.el (gnutls-verify-error): Add version tag.
14171 2013-12-23 Chong Yidong <cyd@gnu.org>
14173 * subr.el (set-transient-map): Rename from
14174 set-temporary-overlay-map. Doc fix.
14176 * face-remap.el (text-scale-adjust):
14177 * indent.el (indent-rigidly):
14178 * kmacro.el (kmacro-call-macro):
14179 * minibuffer.el (minibuffer-force-complete):
14180 * repeat.el (repeat):
14181 * simple.el (universal-argument--mode):
14182 * calendar/todo-mode.el (todo-insert-item--next-param):
14183 * progmodes/f90.el (f90-abbrev-start): Callers changed.
14185 * indent.el (indent-rigidly): Use substitute-command-keys.
14187 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14189 * net/eww.el (eww-tag-select): Add text-property to jump to next
14191 (eww): Add non-supported ftp error.
14193 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14195 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
14196 comments. Handle electric indent after typing `?' and `!'.
14198 2013-12-22 Chong Yidong <cyd@gnu.org>
14200 * faces.el (face-spec-recalc): If the theme specs are not
14201 applicable to a frame, fall back on the defface spec.
14202 This prevents themes from obliterating faces on low-color terminals.
14204 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14206 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
14207 after `{'. We need it after block openers, and it doesn't seem
14208 to hurt after hash openers.
14210 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14212 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
14213 extracted from `ruby-smie-rules'.
14214 (ruby--electric-indent-chars): New variable.
14215 (ruby--electric-indent-p): New function.
14216 (ruby-mode): Use `electric-indent-functions' instead of
14217 `electric-indent-chars'.
14219 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
14221 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
14223 (ruby-smie-rules): Indent plus one level after `=>'.
14225 2013-12-21 Richard Stallman <rms@gnu.org>
14227 * simple.el (newline): Doc fix.
14229 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14231 * net/eww.el (eww-list-histories, eww-list-histories)
14232 (eww-history-browse, eww-history-quit, eww-history-kill)
14233 (eww-history-mode-map, eww-history-mode): New command and
14234 functions to list browser histories.
14235 (eww-form-text): Support text form with disabled
14236 and readonly attributes.
14237 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
14239 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14241 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
14242 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
14243 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
14244 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
14246 (eww-bookmark-mode-map): Add menu.
14247 (eww-render, eww-mode): Use `setq-local'.
14248 (eww-tool-bar-map): New variable.
14249 (eww-mode): Set `tool-bar-map'.
14250 (eww-view-source): Check for `html-mode' with `fboundp'.
14252 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
14254 * net/shr.el (shr--extract-best-source): Don't bug out on audio
14255 elements with text inside. Also remove debugging.
14257 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
14259 * cus-start.el (all): Add ns-use-srgb-colorspace.
14261 2013-12-21 Chong Yidong <cyd@gnu.org>
14263 * custom.el (custom-theme-recalc-face): Do nothing if the face is
14264 undefined. Thus, theme settings for undefined faces do not take
14265 effect until the faces are defined with defface, the same as with
14268 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
14269 (face-spec-reset-face): Don't assign extra properties in temacs.
14270 (face-spec-recalc): Apply X resources too.
14272 2013-12-21 Chong Yidong <cyd@gnu.org>
14274 * faces.el (face-spec-set):
14275 * cus-face.el (custom-theme-set-faces, custom-set-faces):
14276 * custom.el (defface): Doc fixes (Bug#16203).
14278 * indent.el (indent-rigidly-map): Add docstring, and move commands
14279 into named functions.
14280 (indent-rigidly-left, indent-rigidly-right)
14281 (indent-rigidly-left-to-tab-stop)
14282 (indent-rigidly-right-to-tab-stop): New functions. Decide on
14283 indentation direction based on bidi direction, and accumulate
14284 sequential commands in a single undo boundary.
14285 (indent-rigidly--pop-undo): New utility function.
14287 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
14289 * faces.el (read-face-name): Require crm.el when using crm-separator.
14291 2013-12-20 Daniel Colascione <dancol@dancol.org>
14293 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
14294 so that we don't reflow comments into the shebang line.
14296 2013-12-20 Juri Linkov <juri@jurta.org>
14298 * saveplace.el (save-place-to-alist): Add `dired-filename' as
14299 a position when `dired-directory' is non-nil. Check integer
14300 positions with `integerp'.
14301 (toggle-save-place, save-places-to-alist): Add check for
14303 (save-place-find-file-hook): Check integer positions with
14305 (save-place-dired-hook): Use `dired-goto-file' when
14306 `dired-filename' is found in the assoc list. Check integer
14307 positions with `integerp'.
14308 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
14310 * dired.el (dired-initial-position-hook): Rename back from
14311 `dired-initial-point-hook'.
14312 (dired-initial-position): Rename `dired-initial-point-hook' to
14313 `dired-initial-position-hook'.
14314 (dired-file-name-at-point): Doc fix. (Bug#15329)
14316 2013-12-20 Juri Linkov <juri@jurta.org>
14318 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
14319 (read-regexp-suggestions): New function.
14320 (read-regexp): Use `read-regexp-defaults-function' to get default values.
14321 Use `read-regexp-suggestions'. Add non-empty default to history
14323 (occur-read-regexp-defaults-function): Remove function.
14324 (occur-read-primary-args): Use `regexp-history-last' instead of
14325 `occur-read-regexp-defaults-function'.
14327 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
14328 (hi-lock-line-face-buffer, hi-lock-face-buffer)
14329 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
14330 `hi-lock-read-regexp-defaults-function'. Doc fix.
14331 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
14332 with `find-tag-default-as-symbol-regexp'. Doc fix.
14333 (hi-lock-read-regexp-defaults): Remove function.
14334 (hi-lock-regexp-okay): Add check for null.
14336 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
14337 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
14339 * subr.el (find-tag-default-as-symbol-regexp): New function.
14340 (find-tag-default-as-regexp): Move symbol regexp formatting to
14341 `find-tag-default-as-symbol-regexp'.
14343 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
14345 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
14348 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
14350 * calendar/todo-mode.el: New implementation of item insertion
14351 commands and key bindings.
14352 (todo-key-prompt): New face.
14353 (todo-insert-item): New command.
14354 (todo-insert-item--parameters): New defconst, replacing defvar
14355 todo-insertion-commands-args-genlist.
14356 (todo-insert-item--param-key-alist): New defconst, replacing
14357 defvar todo-insertion-commands-arg-key-list.
14358 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
14359 (todo-insert-item--argsleft, todo-insert-item--apply-args)
14360 (todo-insert-item--next-param): New functions.
14361 (todo-insert-item--args, todo-insert-item--argleft)
14362 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
14364 (todo-key-bindings-t): Change binding of "i" from
14365 todo-insertion-map to todo-insert-item.
14366 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
14367 (todo-insertion-command-name, todo-insertion-commands-names)
14368 (todo-define-insertion-command, todo-insertion-commands)
14369 (todo-insertion-key-bindings, todo-insertion-map): Remove.
14371 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
14373 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
14374 (todo-toggle-item-highlighting): Use eval-and-compile instead of
14376 (todo-move-category): Allow choosing a non-existing todo file to
14377 move the category to, and create that file.
14378 (todo-default-priority): New user option.
14379 (todo-set-item-priority): Use it.
14380 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
14381 (desktop-restore-file-buffer): Declare.
14382 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
14383 (todo-modes-set-2): Locally set desktop-save-buffer to
14384 todo-desktop-save-buffer.
14385 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
14386 (auto-mode-alist): Add autoload cookie.
14388 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
14390 * emacs-lisp/subr-x.el: Renamed from helpers.el.
14391 helpers.el was a poor choice of name.
14392 (string-remove-prefix): New function.
14393 (string-remove-suffix): New function.
14395 2013-12-20 Martin Rudalics <rudalics@gmx.at>
14397 Fix assignment for new window total sizes.
14398 * window.el (window--pixel-to-size): Remove function.
14399 (window--pixel-to-total-1, window--pixel-to-total):
14400 Fix calculation of new total sizes.
14402 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
14404 * comint.el (comint-output-filter): Fix rear-nonsticky property
14405 placement (Bug#16010).
14407 2013-12-20 Chong Yidong <cyd@gnu.org>
14409 * faces.el (read-color): Minor fix for completion function.
14411 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
14413 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
14414 New option. (Bug#16182)
14415 (ruby-smie--indent-to-stmt-p): Use it.
14416 (ruby-smie-rules): Revert the logic in the handling of `when'.
14417 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
14418 (ruby-deep-arglist, ruby-deep-indent-paren)
14419 (ruby-deep-indent-paren-style): Update docstrings to note that the
14420 vars don't have any effect with SMIE.
14422 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
14424 * calc/calc.el (calc-enter, calc-pop): Use the variable
14425 `calc-context-sensitive-enter'.
14427 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
14429 * net/shr.el (shr-insert): Protect against infloops in degenerate
14432 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14434 * progmodes/octave.el (octave): Add link to manual and octave
14436 (octave-mode-menu): Link to octave-mode manual.
14438 2013-12-20 Leo Liu <sdl.web@gmail.com>
14440 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
14441 insertion using skeleton-end-newline. (Bug#16138)
14443 2013-12-20 Juri Linkov <juri@jurta.org>
14445 * replace.el (occur-engine): Use `add-face-text-property'
14446 to add the face property to matches and titles. (Bug#14645)
14448 * hi-lock.el (hi-green): Use lighter color "light green" closer to
14449 the palette of other hi-lock colors.
14450 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
14452 2013-12-19 Juri Linkov <juri@jurta.org>
14454 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
14455 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
14456 (minibuffer-history-symbol): Move variable declaration closer to
14459 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
14462 2013-12-19 Juri Linkov <juri@jurta.org>
14464 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
14466 (log-edit-hook): Add it to :options. (Bug#16170)
14468 2013-12-19 Juri Linkov <juri@jurta.org>
14470 * simple.el (eval-expression-print-format): Don't check for
14471 command names and the last command. Always display additional
14472 formats of the integer result in the echo area, and insert them
14473 to the current buffer only with a zero prefix arg.
14474 Display character when char-displayable-p is non-nil.
14475 (eval-expression): With a zero prefix arg, set `print-length' and
14476 `print-level' to nil, and insert the integer values from
14477 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
14479 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
14480 `eval-last-sexp-arg-internal'. Doc fix.
14481 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
14482 `eval-last-sexp-print-value'. Doc fix.
14483 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
14484 Set `print-length' and `print-level' to nil when arg is zero.
14485 (eval-last-sexp): Doc fix.
14486 (eval-defun-2): Print the integer values from
14487 `eval-expression-print-format' at the end.
14489 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
14490 values from `eval-expression-print-format' at the end.
14492 * ielm.el (ielm-eval-input): Print the integer
14493 values from `eval-expression-print-format' at the end.
14495 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
14497 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
14498 2013-12-11T19:01:44Z!tzz@lifelogs.com.
14500 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
14502 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
14503 (hl-line-highlight, global-hl-line-highlight): Use it.
14504 (hl-line-overlay): Use defvar-local.
14506 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
14508 * term/ns-win.el: Require dnd.
14509 (global-map): Remove drag items.
14510 (ns-insert-text, ns-set-foreground-at-mouse)
14511 (ns-set-background-at-mouse):
14512 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
14513 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
14516 2013-12-19 Glenn Morris <rgm@gnu.org>
14518 * emacs-lisp/ert.el (ert-select-tests):
14519 Fix string/symbol mixup. (Bug#16121)
14521 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
14523 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
14524 keywords to their parent.
14526 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
14528 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
14529 first arg to be a string (fixed dead code), or an operator symbol.
14530 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
14532 (ruby-smie-rules): Remove parent token check in the `.' clause, it
14533 did nothing. Don't respond to `(:after ".")', it will be called
14534 with :before anyway. Remove the ` @ ' rule, it didn't seem to
14535 change anything. Only return indentation for binary operators
14536 when they are hanging. De-dent opening paren when its parent is
14537 `.', otherwise it looks bad when the dot is not at bol or eol
14540 2013-12-19 Juri Linkov <juri@jurta.org>
14542 * replace.el (query-replace-read-args): Split a non-negative arg
14543 and a negative arg into separate elements.
14544 (query-replace, query-replace-regexp, replace-string)
14545 (replace-regexp): Add arg `backward'. Doc fix.
14546 (replace-match-maybe-edit): When new arg `backward' is non-nil,
14547 move point to the beginning of the match.
14548 (replace-search, replace-highlight): Use new arg `backward'
14549 to set the value of `isearch-forward'.
14550 (perform-replace): Add arg `backward' and use it to perform
14551 replacement backward. (Bug#14979)
14553 * isearch.el (isearch-query-replace): Use a negative prefix arg
14554 to call `perform-replace' with a non-nil arg `backward'.
14556 2013-12-18 Juri Linkov <juri@jurta.org>
14558 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
14559 to the default list. Move `log-edit-show-files' to the end.
14560 Add more available functions to options.
14561 (log-edit): Move default specific settings to
14562 `log-edit-insert-message-template'. Don't move point.
14563 (log-edit-insert-message-template): New function.
14564 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
14567 2013-12-18 Juri Linkov <juri@jurta.org>
14569 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
14570 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
14572 2013-12-18 Leo Liu <sdl.web@gmail.com>
14574 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
14577 2013-12-18 Eli Zaretskii <eliz@gnu.org>
14579 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
14580 formats for displaying file sizes when the -s switch is given.
14581 Instead, compute a separate format for displaying the size in
14582 blocks, which is displayed in addition to the "regular" size.
14583 When -h is given in addition to -s, produce size in blocks in
14584 human-readable form as well. (Bug#16179)
14586 2013-12-18 Tassilo Horn <tsdh@gnu.org>
14588 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
14589 Reference tables with ~\ref{...} instead of only \ref{...}.
14591 2013-12-18 Chong Yidong <cyd@gnu.org>
14593 * cus-edit.el (custom-magic-alist): Fix "themed" description
14596 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
14597 is non-nil, do not create a new entry in the symbol's theme-value
14598 or theme-face property; update theme-settings only (Bug#14664).
14599 (custom-available-themes): Doc fix.
14601 * cus-theme.el (custom-new-theme-mode-map): Add bindings
14604 * replace.el (occur-engine): Avoid infloop (Bug#7593).
14606 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
14608 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
14611 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
14613 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
14615 2013-12-18 Glenn Morris <rgm@gnu.org>
14617 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
14618 * cus-start.el (load-prefer-newer): New option.
14620 2013-12-18 Le Wang <l26wang@gmail.com>
14622 * comint.el (comint-previous-matching-input-from-input):
14623 Retain point (Bug#13404).
14625 2013-12-18 Chong Yidong <cyd@gnu.org>
14627 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
14629 2013-12-18 Glenn Morris <rgm@gnu.org>
14631 * mail/emacsbug.el (report-emacs-bug):
14632 Only mention enable-multibyte-characters if non-standard.
14634 2013-12-17 Juri Linkov <juri@jurta.org>
14636 * arc-mode.el (archive-extract-by-file): Check if directory exists
14637 before deletion to not show irrelevant errors if it doesn't exist.
14639 2013-12-17 Juri Linkov <juri@jurta.org>
14641 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
14644 * net/eww.el (browse-web): Add alias to `eww'.
14645 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
14646 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
14648 * net/browse-url.el (browse-url-browser-function): Move `eww'
14649 closer to similar functions.
14651 * startup.el (fancy-startup-screen, fancy-about-screen):
14652 Set browse-url-browser-function to eww-browse-url locally.
14655 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
14657 * window.el (window--pixel-to-total): Remove unused `mini' var.
14658 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
14659 (split-window): Remove unused `new' var.
14660 (window--display-buffer): Remove unused `frame' and `delta' vars.
14661 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
14662 and display-width'.
14664 2013-12-17 Martin Rudalics <rudalics@gmx.at>
14666 * dired.el (dired-mark-pop-up):
14667 * register.el (register-preview): Don't bind
14668 split-height-threshold here since it's now done in
14669 display-buffer-below-selected.
14671 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
14673 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
14674 xterm-rgb-convert-to-16bit.
14675 (rxvt-register-default-colors): Standardize with
14676 xterm-register-default-colors (Bug#14078).
14678 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
14680 * simple.el (kill-region): Pass mark first, then point, so that
14681 kill-append works right (Bug#12819).
14682 (copy-region-as-kill, kill-ring-save): Likewise.
14684 2013-12-17 Leo Liu <sdl.web@gmail.com>
14686 * net/rcirc.el (rcirc-add-face):
14687 * eshell/em-prompt.el (eshell-emit-prompt):
14688 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
14691 2013-12-17 Chong Yidong <cyd@gnu.org>
14693 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
14694 Suggested by Xue Fuqiao.
14696 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
14698 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
14700 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
14702 * net/shr.el (shr-insert-document): Remove unused var
14703 `shr-preliminary-table-render'.
14704 (shr-rescale-image): Remove unused arg `force'.
14705 (shr-put-image): Update calls accordingly.
14706 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
14708 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
14710 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
14711 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
14712 :close-all, to see which indentation method to use (Bug#16116).
14713 (smie-rules-function): Document the method :close-all.
14715 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
14717 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
14719 * net/eww.el (eww-display-html): If we can't find the anchor we're
14720 looking for, then go to point-min.
14722 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
14724 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
14725 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
14726 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
14727 Expand dir too, in case it's relative.
14729 2013-12-16 Juri Linkov <juri@jurta.org>
14731 * desktop.el (desktop-auto-save-timeout): Change default to
14732 `auto-save-timeout'. Doc fix.
14733 (desktop-save): Skip the timestamp in desktop-saved-frameset
14734 when checking for auto-save changes.
14735 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
14736 `desktop-auto-save' is called repeatedly by the idle timer.
14737 (desktop-auto-save-set-timer): Replace `run-with-timer' with
14738 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
14741 2013-12-16 Juri Linkov <juri@jurta.org>
14743 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
14745 (isearch-pre-command-hook): Check `this-command' for symbolp.
14747 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
14749 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
14751 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
14753 * progmodes/cfengine.el (cfengine3--current-word): Remove.
14754 (cfengine3--current-function): Bring in the current-function
14755 functionality from `cfengine3--current-word'.
14756 (cfengine3-completion-function): Bring in the
14757 bounds-of-current-word functionality from
14758 `cfengine3--current-word'.
14760 2013-12-16 Martin Rudalics <rudalics@gmx.at>
14762 * window.el (display-buffer-below-selected):
14763 Bind split-height-threshold to 0 as suggested by Juri Linkov.
14765 2013-12-16 Leo Liu <sdl.web@gmail.com>
14767 * progmodes/compile.el (compile-goto-error): Do not push-mark.
14768 Remove NOMSG arg and all uses changed.
14770 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
14772 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
14773 (cua--deactivate-rectangle): Don't deactivate the mark.
14774 (cua-set-rectangle-mark): Don't set mark-active since
14775 cua--activate-rectangle already does it for us.
14776 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
14777 non-rectangular region.
14779 * emulation/cua-base.el (cua-repeat-replace-region):
14780 Use with-current-buffer.
14782 * net/gnutls.el: Use cl-lib.
14783 (gnutls-negotiate): `mapcan' -> cl-mapcan.
14785 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
14787 * emacs-lisp/package.el (package-built-in-p): Support both
14788 built-in and the package.el converted package descriptions.
14789 (package-show-package-list): Allow keywords.
14790 (package-keyword-button-action): Use it instead of
14791 `finder-list-matches'.
14792 (package-menu-filter-interactive): Interactive filtering (by
14794 (package-menu--generate): Support keywords and change keymappings
14795 and headers when they are given.
14796 (package--has-keyword-p): Helper function.
14797 (package-menu--refresh): Use it.
14798 (package--mapc): Helper function.
14799 (package-all-keywords): Use it.
14800 (package-menu-mode-map): Set up menu items and keybindings to
14801 provide a filtering UI.
14803 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
14805 * net/gnutls.el (gnutls-verify-error): New defcustom to control
14806 the behavior when a certificate fails validation. Defaults to
14807 old behavior: never abort, just warn.
14808 (gnutls-negotiate): Use it.
14810 2013-12-14 Martin Rudalics <rudalics@gmx.at>
14812 * window.el (display-buffer-below-selected): Never split window
14813 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
14815 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
14817 * emacs-lisp/package.el (package--prepare-dependencies): New function.
14818 (package-buffer-info): Use it (bug#15108).
14820 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
14822 * icomplete.el (icomplete-completions): Make sure the prefix is already
14823 displayed elsewhere before hiding it (bug#16219).
14825 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
14827 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
14828 open-paren tokens when preceded by a open-paren, too.
14829 (ruby-smie-rules): Handle virtual indentation after open-paren
14830 tokens specially. If there is code between it and eol, return the
14831 column where is starts (Bug#16118).
14833 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
14835 * progmodes/cfengine.el: Fix `add-hook' doc.
14836 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
14837 (cfengine3--current-word): Fix parameters.
14838 (cfengine3-make-syntax-cache): Simplify further.
14839 (cfengine3-completion-function, cfengine3--current-function):
14840 Use `assq' for symbols.
14841 (cfengine3--current-function): Fix `cfengine3--current-word' call.
14843 2013-12-13 Glenn Morris <rgm@gnu.org>
14845 * loadup.el (load-path): Warn if site-load or site-init changes it.
14846 No more need to reset it when bootstrapping.
14848 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
14850 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
14851 locations for cf-promises.
14852 (cfengine-mode-syntax-functions-regex): New caching variable.
14853 (cfengine3-fallback-syntax): Fallback syntax for cases where
14854 cf-promises doesn't run.
14855 (cfengine3--current-word): Reimplement using
14856 `cfengine-mode-syntax-functions-regex'.
14857 (cfengine3-completion-function, cfengine3--current-function):
14858 Use `cfengine3-make-syntax-cache' directly.
14859 (cfengine3-clear-syntax-cache): New function.
14860 (cfengine3-make-syntax-cache): Simplify and create
14861 `cfengine-mode-syntax-functions-regex' on demand.
14862 (cfengine3-format-function-docstring): Don't call
14863 `cfengine3-make-syntax-cache' explicitly.
14865 2013-12-13 Martin Rudalics <rudalics@gmx.at>
14867 Fix windmove-find-other-window broken after pixelwise resizing
14869 * windmove.el (windmove-other-window-loc): Revert change from
14871 (windmove-find-other-window): Call window-in-direction.
14872 * window.el (window-in-direction): New arguments SIGN, WRAP and
14873 MINI to emulate original windmove-find-other-window behavior.
14875 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
14877 * simple.el (blink-matching--overlay): New variable.
14878 (blink-matching-open): Instead of moving point, highlight the
14879 matching paren with an overlay
14880 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
14882 * faces.el (paren-showing-faces, show-paren-match)
14883 (show-paren-mismatch): Move from paren.el.
14885 2013-12-13 Leo Liu <sdl.web@gmail.com>
14887 * indent.el (indent-region): Disable progress reporter in
14888 minibuffer. (Bug#16108)
14890 * bindings.el (visual-order-cursor-movement): Fix version.
14892 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
14894 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
14895 Also match after beginning of line.
14896 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
14897 files. Thanks to Russell Sim. (Bug#15378)
14899 2013-12-13 Juri Linkov <juri@jurta.org>
14901 * simple.el <Keypad support>: Remove key bindings duplicated
14902 with bindings.el. (Bug#14397)
14904 2013-12-13 Juri Linkov <juri@jurta.org>
14906 * comint.el (comint-mode-map): Replace `delete-char' with
14907 `delete-forward-char'. (Bug#16109)
14909 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
14911 * progmodes/python.el (python-indent-calculate-indentation):
14912 Fix de-denters cornercase. (Bug#15731)
14914 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
14916 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
14917 (advice--make): Pay attention to `depth'.
14918 (advice--make-1): Don't autoload commands eagerly.
14919 * emacs-lisp/elp.el (elp-instrument-function):
14920 * emacs-lisp/trace.el (trace-function-internal):
14921 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
14923 * iswitchb.el (iswitchb-mode): Don't belittle ido.
14925 2013-12-12 Eli Zaretskii <eliz@gnu.org>
14927 * term/w32-win.el (w32-handle-dropped-file):
14928 * startup.el (normal-top-level):
14929 * net/browse-url.el (browse-url-file-url):
14930 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
14931 decode file names using 'utf-8' rather than
14932 file-name-coding-system.
14934 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
14936 * progmodes/python.el (python-indent-context)
14937 (python-indent-calculate-indentation): Fix auto-identation
14938 behavior for comment blocks. (Bug#15916)
14940 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14942 * progmodes/python.el (python-indent-calculate-indentation):
14943 When determining indentation, don't treat "return", "pass", etc., as
14944 operators when they are just string constituents. (Bug#15812)
14946 2013-12-12 Juri Linkov <juri@jurta.org>
14948 * uniquify.el (uniquify-buffer-name-style): Change default to
14949 `post-forward-angle-brackets'.
14951 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
14952 `uniquify'. Change default to `post-forward-angle-brackets'.
14954 2013-12-11 Glenn Morris <rgm@gnu.org>
14956 * emacs-lisp/package.el (finder-list-matches):
14957 Autoload rather than falsely declaring.
14959 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
14961 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
14962 (eww-mode-map): Use them.
14964 2013-12-11 Martin Rudalics <rudalics@gmx.at>
14966 * window.el (display-buffer-in-side-window): Fix doc-string
14969 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
14971 * vc/vc-git.el: Silence byte-compiler warnings.
14972 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
14973 (log-edit-set-header): Declare.
14975 2013-12-11 Eli Zaretskii <eliz@gnu.org>
14977 * Makefile.in (custom-deps, finder-data): Run output file names
14978 through unmsys--file-name. (Bug#16099)
14980 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
14982 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
14983 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
14985 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
14986 instead of deleting the selection "by hand" (bug#16098).
14987 Rely on insert-for-yank to yank rectangles.
14988 (cua-highlight-region-shift-only): Mark obsolete.
14989 (cua-mode): Don't enable/disable transient-mark-mode,
14990 shift-select-mode (cua-mode works both with and without them), and
14991 pc-selection-mode (obsolete).
14992 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
14993 (cua--deactivate-rectangle): Deactivate it.
14995 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
14996 (delete-selection-helper): Make sure yank starts at the top of the
14998 (minibuffer-keyboard-quit): Use region-active-p.
15000 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
15002 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
15003 to `delete' (bug#16109).
15005 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15007 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
15008 info manual and show keybindings and set `:group' keyword.
15010 2013-12-11 Juri Linkov <juri@jurta.org>
15012 * delsel.el (delete-active-region): Let-bind `this-command'
15013 to prevent `kill-region' from changing its original value.
15014 (delete-selection-helper): Handle `overwrite-mode' for the type
15015 `kill' exactly the same way as for the type `t'.
15016 (insert-char, quoted-insert, reindent-then-newline-and-indent):
15017 Support more commands. (Bug#13312)
15019 2013-12-11 Juri Linkov <juri@jurta.org>
15021 * bindings.el: Map kp keys to non-kp keys systematically
15022 with basic modifiers control, meta and shift. (Bug#14397)
15024 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
15026 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
15027 "Close browser" menu items. Fix wrong function of "List
15030 2013-12-11 Juri Linkov <juri@jurta.org>
15032 * misearch.el (multi-isearch-buffers): Set the value of
15033 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
15034 arg of isearch-forward to t.
15035 (multi-isearch-buffers-regexp): Set the value of
15036 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
15037 arg of isearch-forward-regexp to t.
15038 (multi-isearch-files): Set the value of
15039 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
15040 arg of isearch-forward to t.
15041 (multi-isearch-files-regexp): Set the value of
15042 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
15043 arg of isearch-forward-regexp to t. (Bug#16035)
15045 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
15046 arg of isearch-forward to t.
15047 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
15048 arg of isearch-forward-regexp to t.
15049 (dired-isearch-filter-filenames): Remove unnecessary check for
15050 `dired-isearch-filenames'.
15052 * comint.el (comint-history-isearch-backward):
15053 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
15054 (comint-history-isearch-backward-regexp):
15055 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
15057 2013-12-10 Eli Zaretskii <eliz@gnu.org>
15059 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
15060 unmsys--file-name. (Bug#16099)
15062 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
15064 * emacs-lisp/package.el (package-keyword-button-action):
15065 Remove finder.el require dependency.
15067 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
15069 * emacs-lisp/package.el: Require finder.el.
15070 (describe-package-1): Add keyword buttons.
15071 (package-make-button): New convenience function.
15072 (package-keyword-button-action): Keyword button action using
15073 `finder-list-matches'.
15075 2013-12-09 Eli Zaretskii <eliz@gnu.org>
15077 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
15080 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
15082 * autorevert.el (auto-revert-notify-add-watch): Do not handle
15085 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
15087 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
15088 after the end of a percent literal.
15090 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
15092 * progmodes/ruby-mode.el (ruby-forward-string): Document.
15093 Handle caret-delimited strings (Bug#16079).
15095 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
15097 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
15098 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
15099 `ruby-parse-partial' (Bug#16078).
15101 2013-12-09 Leo Liu <sdl.web@gmail.com>
15103 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
15105 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
15107 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
15108 (js-switch-indent-offset): New option.
15109 (js--proper-indentation): Use it. And handle the case when
15110 "default" is actually a key in an object literal.
15111 (js--same-line): New function.
15112 (js--multi-line-declaration-indentation): Use it.
15113 (js--indent-in-array-comp, js--array-comp-indentation):
15115 (js--proper-indentation): Use them, to handle array comprehension
15118 2013-12-08 Leo Liu <sdl.web@gmail.com>
15120 * progmodes/flymake.el (flymake-highlight-line): Re-write.
15121 (flymake-make-overlay): Remove arg MOUSE-FACE.
15122 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
15124 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
15126 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
15128 (redisplay-highlight-region-function): Use it.
15130 * emulation/cua-base.el (cua--explicit-region-start)
15131 (cua--last-region-shifted): Remove.
15132 (cua--deactivate): Use deactivate-mark.
15133 (cua--pre-command-handler-1): Don't handle shift-selection.
15134 (cua--post-command-handler-1): Don't change transient-mark-mode.
15135 (cua--select-keymaps): Use region-active-p rather than
15136 cua--explicit-region-start or cua--last-region-shifted.
15137 (cua-mode): Enable shift-select-mode.
15139 2013-12-08 Leo Liu <sdl.web@gmail.com>
15141 * progmodes/flymake.el (flymake-popup-current-error-menu):
15142 Rename from flymake-display-err-menu-for-current-line. Reimplement.
15143 (flymake-posn-at-point-as-event, flymake-popup-menu)
15144 (flymake-make-emacs-menu): Remove. (Bug#16077)
15146 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
15148 * rect.el (rectangle-mark-mode): Activate mark even if
15149 transient-mark-mode is off (bug#16066).
15150 (rectangle--highlight-for-redisplay): Fix boundary condition when point
15151 is > mark and at bolp.
15153 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
15154 (region-extract-function): Use it.
15155 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
15156 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
15158 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
15159 kill-ring-save, kill-region, delete-char, delete-forward-char.
15160 Ignore self-insert-iso.
15162 * emulation/cua-gmrk.el (cua--init-global-mark):
15163 Ignore `self-insert-iso'.
15165 * emulation/cua-base.el (cua--prefix-copy-handler)
15166 (cua--prefix-cut-handler): Rely on region-extract-function rather than
15167 checking cua--rectangle.
15168 (cua-delete-region): Use region-extract-function.
15169 (cua-replace-region): Delete function.
15170 (cua-copy-region, cua-cut-region): Obey region-extract-function.
15171 (cua--pre-command-handler-1): Don't do the delete-selection thing.
15172 (cua--self-insert-char-p): Ignore `self-insert-iso'.
15173 (cua--init-keymaps): Don't remap delete-selection commands.
15174 (cua-mode): Use delete-selection-mode instead of rolling our own
15177 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
15178 Obey region-extract-function.
15180 Make registers and delete-selection-mode work on rectangles.
15181 * register.el (describe-register-1): Don't modify the register's value.
15182 (copy-to-register): Obey region-extract-function.
15183 * delsel.el (delete-active-region): Obey region-extract-function.
15185 2013-12-08 Leo Liu <sdl.web@gmail.com>
15187 * progmodes/flymake.el (flymake, flymake-error-bitmap)
15188 (flymake-warning-bitmap, flymake-fringe-indicator-position)
15189 (flymake-compilation-prevents-syntax-check)
15190 (flymake-start-syntax-check-on-newline)
15191 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
15192 (flymake-start-syntax-check-on-find-file, flymake-log-level)
15193 (flymake-xml-program, flymake-master-file-dirs)
15194 (flymake-master-file-count-limit)
15195 (flymake-allowed-file-name-masks): Relocate.
15196 (flymake-makehash, flymake-float-time)
15197 (flymake-replace-regexp-in-string, flymake-split-string)
15198 (flymake-get-temp-dir): Remove.
15199 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
15200 (flymake-current-row, flymake-selected-frame)
15201 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
15202 related functions. (Bug#16077)
15204 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
15206 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
15208 2013-12-07 Tassilo Horn <tsdh@gnu.org>
15210 * help-fns.el (describe-function-1): Use new advice-* functions
15211 rather than old ad-* functions. Fix function type description and
15212 source links for advised functions and subrs.
15214 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
15216 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
15218 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
15220 * progmodes/compile.el (compilation-start):
15221 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
15223 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
15224 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
15226 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
15228 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
15229 Touch up the last change.
15231 2013-12-06 Leo Liu <sdl.web@gmail.com>
15233 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
15234 (inferior-octave-startup): Always use "octave> " for prompt.
15235 (octave-goto-function-definition)
15236 (octave-sync-function-file-names)
15237 (octave-find-definition-default-filename): Remove redundant backquotes.
15239 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
15241 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
15243 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
15244 where appropriate already.
15245 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
15246 end of method names (Bug#15874).
15248 2013-12-06 Juri Linkov <juri@jurta.org>
15250 * isearch.el (isearch--saved-overriding-local-map):
15251 New internal variable.
15252 (isearch-mode): Set it to the initial value of
15253 `overriding-terminal-local-map'.
15254 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
15255 with `isearch--saved-overriding-local-map'. (Bug#16035)
15257 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
15259 * progmodes/octave.el (inferior-octave-completion-table):
15260 Turn back into function, use `completion-table-with-cache'
15261 (Bug#11906). Update all references.
15263 * minibuffer.el (completion-table-with-cache): New function.
15265 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
15267 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
15269 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
15271 * net/eww.el (eww-current-source): New variable to store page
15273 (eww-display-html, eww-mode, eww-save-history)
15274 (eww-restore-history): Use it.
15275 (eww-view-source): New command to view page source.
15276 Opportunistically uses `html-mode' to highlight the buffer.
15277 (eww-mode-map): Install it.
15279 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
15281 * net/dbus.el (dbus-unregister-service)
15282 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
15284 (dbus-unregister-service): Skip :serial entries in
15285 `dbus-registered-objects-table'.
15286 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
15288 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
15290 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
15291 around keywords with extra `split-string' argument.
15293 2013-12-04 Martin Rudalics <rudalics@gmx.at>
15295 * windmove.el (windmove-other-window-loc): Handle navigation
15296 between windows (excluding the minibuffer window - Bug#16017).
15298 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
15300 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
15301 in D-Bus type syntax.
15302 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
15303 preserve unibyte strings. (Bug#16048)
15305 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
15307 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
15308 Call force-mode-line-update is the proper buffer (bug#16042).
15310 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
15312 * vc/log-edit.el (log-edit-add-new-comment): Rename to
15313 `log-edit-remember-comment', make argument optional. Adjust all
15315 (log-edit-mode): Add `log-edit-remember-comment' to
15316 `kill-buffer-hook' locally.
15317 (log-edit-kill-buffer): Don't remember comment explicitly since
15318 the buffer is killed anyway.
15320 2013-12-04 Juri Linkov <juri@jurta.org>
15322 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
15323 add-hook and remove-hook for multi-buffer search. (Bug#16035)
15325 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
15327 * notifications.el (notifications-close-notification): Call the
15328 D-Bus method with ID being a `:uint32'. (Bug#16030)
15330 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
15332 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
15334 2013-12-03 Juri Linkov <juri@jurta.org>
15336 * progmodes/compile.el (compilation-start): Rename window alist
15337 entry `no-display-ok' to `allow-no-window'.
15339 * simple.el (shell-command): Add window alist entry
15340 `allow-no-window' to `display-buffer'.
15341 (async-shell-command): Doc fix.
15343 * window.el (display-buffer-no-window): New action function.
15344 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
15346 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
15348 * vc/log-edit.el (log-edit-set-header): Extract from
15349 `log-edit-toggle-header'.
15350 (log-edit-extract-headers): Separate the summary, when extracted
15351 from header, from the rest of the message with an empty line.
15353 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
15354 line, if present, to the Summary header.
15356 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
15358 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
15359 in current-buffer (bug#16029).
15361 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
15363 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
15364 (debugger-mode-map): Bind it.
15365 (debugger--backtrace-base): New function.
15366 (debugger-eval-expression): Use it.
15367 (debugger-frame-number): Skip local vars when present.
15368 (debugger--locals-visible-p, debugger--insert-locals)
15369 (debugger--show-locals, debugger--hide-locals): New functions.
15371 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
15373 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
15375 (tramp-get-remote-locale): New defun.
15376 (tramp-open-connection-setup-interactive-shell): Use it.
15378 2013-12-02 Leo Liu <sdl.web@gmail.com>
15380 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
15382 * progmodes/sh-script.el (sh-shell-process):
15383 * progmodes/octave.el (inferior-octave-process-live-p):
15384 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
15385 (gdb-inferior-io-sentinel):
15386 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
15388 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
15390 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
15391 `save-selected-window' to `log-edit-hide-buf'. This makes
15392 `log-edit-show-files' idempotent.
15393 (log-edit-show-files): Mark the new window as dedicated.
15395 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
15397 * vc/log-edit.el (log-edit-mode-map): Add binding for
15398 `log-edit-kill-biffer'.
15399 (log-edit-hide-buf): Add a FIXME comment.
15400 (log-edit-add-new-comment): New function, extracted from
15402 (log-edit-done, log-edit-add-to-changelog): Use it.
15403 (log-edit-kill-buffer): New command.
15405 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
15407 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
15408 instead of killing the buffer.
15410 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
15412 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
15414 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15416 * net/eww.el (eww-form-checkbox-selected-symbol)
15417 (eww-form-checkbox-symbol): New customizable variable.
15418 (eww-form-checkbox, eww-toggle-checkbox):
15419 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
15421 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
15422 (shr--get-media-pref, shr--extract-best-source): New function.
15423 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
15424 no :src tag was specified.
15426 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
15427 (eww-render): Handle `eww-use-external-browser-for-content-type'.
15428 Use \\` to match beginning of string instead of ^.
15429 (eww-browse-with-external-browser): Provide optional URL parameter.
15430 (eww-render): Set `eww-current-title' back to "".
15432 * net/shr.el (shr-tag-video): Display content for video if no
15433 poster is available.
15434 (shr-tag-audio): Add support for <audio> tag.
15436 * net/eww.el (eww-text-input-types): New const.
15437 (eww-process-text-input): Treat input types in
15438 `eww-text-input-types' as text.
15440 * net/shr.el (shr-tag-table): Fix comment typo.
15442 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
15444 * net/eww.el (eww-follow-link): New command to avoid reloading
15445 pages when we follow #target links (bug#15243).
15446 (eww-quit): Special mode buffers shouldn't query before exiting.
15448 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
15450 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
15453 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
15455 * net/eww.el (eww-restore-history): Update the window title after
15456 moving in the history.
15457 (eww-current-dom): New variable used to save the current DOM.
15459 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
15461 * vc/log-edit.el (log-edit-mode-map): Add binding for
15462 `log-edit-beginning-of-line'.
15463 (log-edit-setup-add-author): New user option.
15464 (log-edit-beginning-of-line): New command.
15465 (log-edit): Move major mode call above the contents setup so that
15466 the local variable values are already applied.
15467 (log-edit): Only insert "Author: " when
15468 `log-edit-setup-add-author' is non-nil.
15469 (log-edit): When SETUP is non-nil, position point after ": "
15470 instead of point-min.
15472 2013-12-01 Glenn Morris <rgm@gnu.org>
15474 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
15476 2013-11-30 Eli Zaretskii <eliz@gnu.org>
15478 * startup.el (fancy-splash-frame): On MS-Windows, trigger
15479 redisplay to make sure the initial frame gets a chance to become
15480 visible. (Bug#16014)
15482 2013-11-30 Martin Rudalics <rudalics@gmx.at>
15484 Support resizing frames and windows pixelwise.
15485 * cus-start.el (frame-resize-pixelwise)
15486 (window-resize-pixelwise): New entries.
15487 * emacs-lisp/debug.el (debug): Use window-total-height instead
15488 of window-total-size.
15489 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
15490 * help.el (describe-bindings-internal): Use help-buffer as
15491 argument for with-help-window.
15492 (temp-buffer-max-width): New option.
15493 (resize-temp-buffer-window, help-window-setup)
15494 (with-help-window): Rewrite.
15495 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
15497 * window.el (frame-char-size, window-min-pixel-height)
15498 (window-safe-min-pixel-height, window-safe-min-pixel-width)
15499 (window-min-pixel-width, window-safe-min-pixel-size)
15500 (window-combination-p, window-safe-min-size)
15501 (window-resizable-p, window--size-to-pixel)
15502 (window--pixel-to-size, window--resize-apply-p): New functions.
15503 (window-safe-min-height): Fix doc-string.
15504 (window-size, window-min-size, window--min-size-1)
15505 (window-sizable, window-sizable-p, window--min-delta-1)
15506 (window-min-delta, window--max-delta-1, window-max-delta)
15507 (window--resizable, window--resizable-p, window-resizable)
15508 (window-full-height-p, window-full-width-p, window-at-side-p)
15509 (window--in-direction-2, window-in-direction)
15510 (window--resize-reset-1, window--resize-mini-window)
15511 (window-resize, window-resize-no-error)
15512 (window--resize-child-windows-normal)
15513 (window--resize-child-windows, window--resize-siblings)
15514 (window--resize-this-window, window--resize-root-window)
15515 (window--resize-root-window-vertically)
15516 (adjust-window-trailing-edge, enlarge-window, shrink-window)
15517 (maximize-window, minimize-window, delete-window)
15518 (quit-restore-window, window-split-min-size, split-window)
15519 (balance-windows-2, balance-windows)
15520 (balance-windows-area-adjust, balance-windows-area)
15521 (window--state-get-1, window-state-get, window--state-put-1)
15522 (window--state-put-2, window-state-put)
15523 (display-buffer-record-window, window--display-buffer):
15524 Make functions handle pixelwise sizing of windows.
15525 (display-buffer--action-function-custom-type)
15526 (display-buffer-fallback-action):
15527 Add display-buffer-in-previous-window.
15528 (display-buffer-use-some-window): Resize window to height it had
15530 (fit-window-to-buffer-horizontally): New option.
15531 (fit-frame-to-buffer): Describe new values.
15532 (fit-frame-to-buffer-bottom-margin): Replace with
15533 fit-frame-to-buffer-margins.
15534 (window--sanitize-margin): New function.
15535 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
15536 using window-text-pixel-size.
15538 2013-11-30 Glenn Morris <rgm@gnu.org>
15540 * emacs-lisp/bytecomp.el (byte-compile-form):
15541 Make the `interactive-only' warning like the `obsolete' one.
15542 * comint.el (comint-run):
15543 * files.el (insert-file-literally, insert-file):
15544 * replace.el (replace-string, replace-regexp):
15545 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
15546 (goto-line, insert-buffer, next-line, previous-line):
15547 Tweak `interactive-only' spec.
15549 Stop keeping (most) generated cedet grammar files in the repository.
15550 * Makefile.in (semantic): New.
15551 (compile-main): Depend on semantic.
15553 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
15555 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
15556 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
15558 * uniquify.el (uniquify-buffer-name-style): Change default.
15560 * loadup.el: Preload "uniquify".
15562 * time.el (display-time-update): Update all mode lines (bug#15999).
15564 * electric.el (electric-indent-mode): Enable by default.
15565 * loadup.el: Preload "electric".
15567 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
15569 * emacs-lisp/helpers.el (string-empty-p): New function.
15570 (string-blank-p): New function.
15572 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
15574 * imenu.el (imenu--index-alist): Add missing dot to the docstring
15577 2013-11-29 Andreas Politz <politza@fh-trier.de>
15578 * imenu.el (imenu--subalist-p): Don't error on non-conses and
15579 allow non-lambda lists as functions.
15580 (imenu--in-alist): Don't recurse into non-subalists.
15581 (imenu): Don't pass function itself as an argument (Bug#14029).
15583 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
15585 * progmodes/python.el (python-mode-map): Remove binding for ":".
15586 (python-indent-electric-colon): Remove command.
15587 (python-indent-post-self-insert-function): Integrate the previous code
15588 of python-indent-electric-colon. Make it conditional on
15589 electric-indent-mode.
15590 (python-mode): Add ?: to electric-indent-chars.
15591 Move python-indent-post-self-insert-function to the end of
15592 post-self-insert-hook.
15594 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
15596 * doc-view.el (doc-view-goto-page): Update mode-line.
15598 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
15600 2013-11-27 Glenn Morris <rgm@gnu.org>
15602 * international/charprop.el, international/uni-bidi.el:
15603 * international/uni-category.el, international/uni-combining.el:
15604 * international/uni-comment.el, international/uni-decimal.el:
15605 * international/uni-decomposition.el, international/uni-digit.el:
15606 * international/uni-lowercase.el, international/uni-mirrored.el:
15607 * international/uni-name.el, international/uni-numeric.el:
15608 * international/uni-old-name.el, international/uni-titlecase.el:
15609 * international/uni-uppercase.el:
15610 Remove generated files from VCS repository.
15612 2013-11-27 Eli Zaretskii <eliz@gnu.org>
15614 * filenotify.el (file-notify-add-watch): Don't special-case
15615 w32notify when computing the directory to watch.
15617 2013-11-27 Glenn Morris <rgm@gnu.org>
15619 Make bootstrap without generated uni-*.el files possible again.
15620 * loadup.el: Update command-line-args checking for unidata-gen.
15621 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
15622 * composite.el, international/characters.el:
15623 Handle unicode tables being undefined.
15625 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
15626 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
15627 (compile-main): Depend on leim rule.
15629 * loadup.el: Move leim-list.el to leim/ subdirectory.
15630 * startup.el (normal-top-level): No more leim directory.
15631 * international/ja-dic-cnv.el (skkdic-convert):
15632 Disable version-control and autoloads in output files.
15633 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
15634 Disable version-control and autoloads in output files.
15635 * leim/quail: Move here from ../leim.
15636 * leim/quail/hangul.el (hangul-input-method-activate):
15637 Add autoload cookie.
15638 (generated-autoload-load-name): Set file-local value.
15639 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
15640 (generated-autoload-load-name): Set file-local value.
15642 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
15644 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
15645 (eww-add-bookmark): Ask confirmation when add to bookmarks.
15646 (eww-quit): Ask confirmation before quitting eww.
15648 2013-11-26 Eli Zaretskii <eliz@gnu.org>
15650 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
15651 reading output from Diff on MS-Windows and MS-DOS.
15653 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
15655 * emacs-lisp/helpers.el (string-reverse): New function.
15657 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
15659 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
15660 names on MS Windows, like "/[::1]:".
15662 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
15665 2013-11-26 Glenn Morris <rgm@gnu.org>
15667 * progmodes/python.el (python-indent-guess-indent-offset):
15668 Avoid corner-case error. (Bug#15975)
15670 Preload leim-list.el. (Bug#4789)
15671 * loadup.el: Load leim-list.el when found.
15672 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
15674 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
15676 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
15678 * emacs-lisp/helpers.el (string-join): New function.
15680 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
15682 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
15683 Mark as obsolete and replace it with a symbol property.
15684 (byte-compile-form): Use new 'interactive-only property.
15685 * comint.el, files.el, replace.el, simple.el:
15686 Apply new 'interactive-only properly.
15688 2013-11-25 Martin Rudalics <rudalics@gmx.at>
15690 * window.el (display-buffer-at-bottom): Make sure that
15691 split-window-sensibly creates the new window on bottom
15694 2013-11-23 David Kastrup <dak@gnu.org>
15696 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
15697 on the conflict markers when available.
15698 (smerge--get-marker): New function.
15699 (smerge-end-re, smerge-base-re): Add subgroup.
15701 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
15703 * frame.el (handle-focus-in, handle-focus-out): Add missing
15706 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
15708 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
15709 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
15711 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
15713 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
15714 (gomoku--last-pos): New var.
15715 (gomoku--intangible-chars): New const.
15716 (gomoku--intangible): New function.
15717 (gomoku-mode): Use it. Derive from special-mode.
15718 (gomoku-move-up): Adjust line count.
15719 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
15720 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
15721 Simplify accordingly.
15723 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
15724 Remove blink-cursor code.
15725 (blink-cursor-timer-function, blink-cursor-suspend):
15726 Don't special-case GUIs.
15727 (blink-cursor-mode): Use focus-in/out-hook.
15729 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
15731 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
15732 work when annotation is invisible (Bug#13886).
15734 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
15736 * json.el (json-alist-p): Only return non-nil if the alist has
15737 simple keys (Bug#13518).
15739 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
15741 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
15742 when control-statement is the first statement in a buffer (Bug#15956).
15744 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
15746 * imenu.el (imenu-generic-skip-comments-and-strings):
15747 New option (Bug#15560).
15748 (imenu--generic-function): Use it.
15750 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
15752 * minibuffer.el (completion--in-region-1): Scroll the correct window.
15755 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
15757 * emacs-lisp/helpers.el: Add some string helpers.
15758 (string-trim-left): Removes leading whitespace.
15759 (string-trim-right): Removes trailing whitespace.
15760 (string-trim): Removes leading and trailing whitespace.
15762 * subr.el (string-suffix-p): New function.
15764 2013-11-23 Glenn Morris <rgm@gnu.org>
15766 * progmodes/python.el (python-shell-send-file):
15767 Add option to delete file when done. (Bug#15647)
15768 (python-shell-send-string, python-shell-send-region): Use it.
15770 2013-11-23 Ivan Shmakov <ivan@siamics.net>
15772 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
15773 to set buffer-read-only to t, never to nil. (Bug#15938)
15775 * textmodes/tex-mode.el (latex-noindent-environments):
15776 Add safe-local-variable property. (Bug#15936)
15778 2013-11-23 Glenn Morris <rgm@gnu.org>
15780 * textmodes/enriched.el (enriched-mode): Doc fix.
15781 * emacs-lisp/authors.el (authors-renamed-files-alist):
15782 Add enriched.doc -> enriched.txt.
15784 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
15786 2013-11-22 Leo Liu <sdl.web@gmail.com>
15788 * progmodes/octave.el (inferior-octave-startup): Spit out error
15791 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
15793 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
15796 (ruby-encoding-magic-comment-style): Add :version.
15798 2013-11-22 Leo Liu <sdl.web@gmail.com>
15800 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
15802 (octave-help-mode): Adapt to change to help-mode-finish to use
15803 derived-mode-p on 2013-09-17.
15804 (inferior-octave-prompt): Also match octave-gui.
15805 (octave-kill-process): Don't ask twice. (Bug#10564)
15807 2013-11-22 Leo Liu <sdl.web@gmail.com>
15809 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
15810 (inferior-octave-startup, inferior-octave-check-process)
15811 (inferior-octave-track-window-width-change)
15812 (octave-completion-at-point, octave-eldoc-function): Use it.
15813 (octave-kill-process): Provide confirmation. (Bug#10564)
15815 2013-11-21 Leo Liu <sdl.web@gmail.com>
15817 * progmodes/octave.el (octave-mode, inferior-octave-mode):
15818 Fix obsolete variable comment-use-global-state.
15820 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15822 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
15823 Add `octave-source-file'.
15824 (octave-source-file): New function. (Bug#15935)
15826 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
15828 * net/eww.el (eww-local-regex): New variable.
15829 (eww): Use it to detect localhost and similar.
15831 2013-11-21 Leo Liu <sdl.web@gmail.com>
15833 Add completion for command `ag'.
15834 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
15835 (pcomplete/ag): New function.
15836 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
15838 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
15840 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
15842 (make-obsolete): Remove interactive spec.
15844 2013-11-21 Glenn Morris <rgm@gnu.org>
15846 * startup.el (command-line-1): Use path-separator with -L.
15848 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
15850 * emacs-lisp/package.el (describe-package-1): Add package archive
15853 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
15855 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
15856 Change default to "# encoding: %s" to differentiate it from the
15857 default Ruby encoding comment template.
15859 2013-11-20 Era Eriksson <era+emacsbugs@iki.fi>
15861 * ses.el (ses-mode): Doc fix. (Bug#14748)
15863 2013-11-20 Leo Liu <sdl.web@gmail.com>
15865 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
15867 2013-11-19 Dan Nicolaescu <dann@gnu.org>
15869 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
15870 when rebase or bisect are in progress.
15872 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
15874 * filenotify.el (file-notify-add-watch): Doc fix.
15876 2013-11-19 Leo Liu <sdl.web@gmail.com>
15878 * obsolete/rcompile.el: Mark obsolete.
15880 * progmodes/compile.el (compilation-start)
15881 (compilation-goto-locus, compilation-find-file):
15882 Pass no-display-ok and handle nil value from display-buffer.
15885 * window.el (display-buffer-alist, display-buffer): Document the
15886 new parameter no-display-ok. Return either a window or nil
15887 but never a non-window value.
15889 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
15891 * electric.el (electric-indent-mode-map): Remove.
15892 (electric-indent-mode): Change the global-map instead (bug#15915).
15894 * textmodes/text-mode.el (paragraph-indent-minor-mode):
15897 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
15899 * emacs-lisp/nadvice.el (remove-function): Align with
15900 add-function's behavior.
15902 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
15903 (gdb--string-regexp): New constant.
15904 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
15905 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
15906 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
15908 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
15909 Adjust use accordingly.
15910 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
15912 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
15914 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
15915 interpolation curlies (Bug#15914).
15917 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
15919 * calc/calc.el (calc-context-sensitive-enter): New variable.
15920 (calc-enter): Use `calc-context-sensitive-enter'.
15922 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
15924 * progmodes/cfengine.el: Version bump.
15925 (cfengine-cf-promises): New defcustom to locate cf-promises.
15926 (cfengine3-vartypes): Add new "data" type.
15927 (cfengine3--current-word): New function to get current name-like
15928 word or its bounds.
15929 (cfengine3--current-function): New function to look up a CFEngine
15930 function's definition.
15931 (cfengine3-format-function-docstring): New function.
15932 (cfengine3-make-syntax-cache): New function.
15933 (cfengine3-documentation-function): New function: ElDoc glue.
15934 (cfengine3-completion-function): New function: completion glue.
15935 (cfengine3-mode): Set `compile-command',
15936 `eldoc-documentation-function', and add to
15937 `completion-at-point-functions'.
15939 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
15941 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
15942 `tramp-current-connection'.
15944 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
15946 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
15947 nil/self/true/false with "end of symbol".
15949 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
15951 * subr.el (version-regexp-alist): Fix a typo.
15953 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
15955 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
15956 "en_US.utf8" and "LC_CTYPE" to "".
15957 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
15958 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
15960 2013-11-15 Leo Liu <sdl.web@gmail.com>
15962 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
15964 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15966 * progmodes/gud.el (ctl-x-map):
15967 Remove C-x SPC binding. (Bug#12342)
15968 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
15970 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
15972 * subr.el (version-regexp-alist):
15973 Recognize hg, svn and darcs versions as snapshot versions.
15975 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
15976 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
15977 (ruby--encoding-comment-required-p): Extract from
15978 `ruby-mode-set-encoding'.
15979 (ruby-mode-set-encoding): Add the ability to always insert an
15980 utf-8 encoding comment. Fix and simplify coding comment update
15983 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
15985 * net/tramp-gvfs.el (top): Run init code only when
15986 `tramp-gvfs-enabled' is not nil.
15987 (tramp-gvfs-enabled): Check also :system bus.
15989 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15991 Sync with upstream verilog-mode revision 78e66ba.
15992 * progmodes/verilog-mode.el (verilog-end-of-defun)
15993 (verilog-type-completion, verilog-get-list): Remove unused funcs.
15994 (verilog-get-end-of-defun): Remove unused argument.
15995 (verilog-comment-depth): Remove unused local `e'.
15996 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
15997 Don't pass arg to verilog-get-end-of-defun.
15999 2013-11-14 Glenn Morris <rgm@gnu.org>
16001 * obsolete/assoc.el (aget): Prefix dynamic variable.
16003 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
16005 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
16007 * widget.el, hfy-cmap.el: Remove bogus package version number.
16009 2013-11-13 Glenn Morris <rgm@gnu.org>
16011 * replace.el (replace-eval-replacement):
16012 Try to give more helpful error message. (Bug#15836)
16014 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
16015 (archive-7z-update): Avoid custom type mismatches.
16017 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
16019 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
16021 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
16022 address can be empty.
16024 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
16025 Accept nil SWITCHES.
16026 (tramp-gvfs-handle-write-region): Implement APPEND.
16028 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
16030 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
16031 binary "|" operator and closing block args delimiter.
16032 Remove FIXME comment referring to Ruby 1.8-only syntax.
16033 (ruby-smie--implicit-semi-p): Not after "|" operator.
16034 (ruby-smie--closing-pipe-p): New function.
16035 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
16036 (ruby-smie-rules): Indent after "|".
16038 2013-11-12 Glenn Morris <rgm@gnu.org>
16040 * ps-print.el (ps-face-attribute-list):
16041 Handle anonymous faces. (Bug#15827)
16043 2013-11-12 Martin Rudalics <rudalics@gmx.at>
16045 * window.el (display-buffer-other-frame): Fix doc-string.
16048 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
16050 * subr.el (force-mode-line-update): Delete, move to buffer.c.
16052 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
16054 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
16055 (tramp-sh-handle-file-local-copy): Don't write a message when
16056 saving temporary files.
16058 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
16059 both directories are remote.
16060 (tramp-smb-handle-directory-files): Do not return double entries.
16061 Do not expand full file names.
16062 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
16063 (tramp-smb-handle-write-region): Implement APPEND.
16064 (tramp-smb-get-stat-capability): Fix a stupid bug.
16066 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
16068 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
16070 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16072 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
16073 throwing error over malformed let/let* (bug#15814).
16075 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
16077 * iswitchb.el (iswitchb-mode): Mark obsolete.
16079 2013-11-11 Glenn Morris <rgm@gnu.org>
16081 * international/uni-bidi.el, international/uni-category.el:
16082 * international/uni-name.el, international/uni-numeric.el:
16083 Regenerate for Unicode 6.3.0.
16085 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
16087 * net/tramp.el (tramp-methods):
16088 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
16089 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
16091 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
16093 * progmodes/sh-script.el (sh-font-lock-keywords-var):
16094 Force highlighting text after Summary keyword in doc face for rpm.
16096 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
16098 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
16099 available and the word has no wildcards, append one to the grep pattern.
16100 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
16101 (ispell-complete-word): Call `ispell-lookup-words' with the value
16102 independent of `ispell-look-p'.
16104 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
16106 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
16108 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
16111 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
16113 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
16114 (ruby-font-lock-keywords): Use backquote.
16116 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
16118 * progmodes/ruby-mode.el (ruby-smie--forward-token)
16119 (ruby-smie--backward-token): Only consider full-string matches.
16121 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
16123 * faces.el (describe-face): Add distant-foreground.
16125 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
16127 * progmodes/ruby-mode.el: Improve encoding comment handling.
16128 (ruby-encoding-magic-comment-style): New option.
16129 (ruby-custom-encoding-magic-comment-template): New option.
16130 (ruby--insert-coding-comment, ruby--detect-encoding):
16131 New functions extracted from `ruby-mode-set-encoding'.
16132 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
16133 to control the style of the auto-inserted encoding comment.
16135 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
16137 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
16138 Use `smie-backward-sexp' with token argument.
16140 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
16142 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
16143 Remove instrumentation code.
16145 2013-11-08 Glenn Morris <rgm@gnu.org>
16147 * progmodes/autoconf.el (autoconf-mode):
16148 Tweak comment-start-skip. (Bug#15822)
16150 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
16152 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
16153 at bobp (bug#15826).
16154 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
16156 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
16158 * man.el (Man-start-calling): New macro, extracted from
16159 Man-getpage-in-background.
16160 (Man-getpage-in-background): Use it.
16161 (Man-update-manpage): New command.
16162 (Man-mode-map): Bind it.
16164 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
16166 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
16167 of "and", "or", "&&" and "||".
16168 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
16169 argument. Prohibit opening curly brace because it could only be a
16170 block opener in that position.
16171 (ruby-smie--forward-token, ruby-smie--backward-token):
16172 Separate "|" from "&" or "*" going after it. That can happen in block
16174 (ruby-smie--indent-to-stmt): New function, seeks the end of
16175 previous statement or beginning of buffer.
16176 (ruby-smie-rules): Use it.
16177 (ruby-smie-rules): Check if there's a ":" before a curly block
16178 opener candidate; if there is, it's a hash.
16180 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
16182 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
16183 (cl--block-wrapper): Fix last accidental change.
16185 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
16187 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
16188 Instrument, in order to hunt failure on hydra.
16190 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16192 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
16193 malformed bindings form (bug#15814).
16195 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
16197 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
16198 "." compared to " @ ". This incidentally fixes some indentation
16199 examples with "do".
16200 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
16201 (ruby-smie-grammar): New tokens: "and" and "or".
16202 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
16203 Exclude "and" and "or". Remove "do" in order to work around token
16205 (ruby-smie-rules): Add all infix tokens. Handle the case of
16206 beginning-of-buffer.
16208 2013-11-06 Glenn Morris <rgm@gnu.org>
16210 * Makefile.in (setwins_almost, setwins_for_subdirs):
16211 Avoid accidental matches.
16213 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
16215 * menu-bar.el (popup-menu): Use key-binding.
16217 2013-11-06 Eli Zaretskii <eliz@gnu.org>
16219 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
16220 menus, support also the menus produced by minor modes.
16223 2013-11-06 Leo Liu <sdl.web@gmail.com>
16225 * thingatpt.el (thing-at-point-looking-at): Add optional arg
16226 DISTANCE to bound the search. All uses changed. (Bug#15808)
16228 2013-11-06 Glenn Morris <rgm@gnu.org>
16230 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
16231 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
16232 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
16234 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
16236 * electric.el (electric-indent-just-newline): New command.
16237 (electric-indent-mode-map): New keymap.
16238 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
16239 Re-add :group which weren't redundant.
16241 * electric.el (electric-indent-local-mode): New minor mode.
16242 (electric-indent-functions-without-reindent): New var.
16243 (electric-indent-post-self-insert-function): Use it.
16244 * emacs-lisp/gv.el (buffer-local-value): Add setter.
16246 2013-11-05 Eli Zaretskii <eliz@gnu.org>
16248 * international/quail.el (quail-help): Be more explicit about the
16249 meaning of the labels shown on the keys. (Bug#15800)
16251 * startup.el (normal-top-level): Load the subdirs.el files before
16252 setting the locale environment. (Bug#15805)
16254 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
16256 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
16257 via arguments so as to get the right ones (bug#15418).
16259 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
16261 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
16263 Fix problems found while writing a test suite.
16265 * net/tramp-compat.el (tramp-compat-load): New defun.
16266 * net/tramp.el (tramp-handle-load): Use it.
16268 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
16269 "(numberp ok-if-already-exists)" correctly.
16271 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
16273 * international/characters.el (glyphless-char-display-control):
16276 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
16278 * progmodes/python.el (python-mode):
16279 * progmodes/scheme.el (scheme-mode):
16280 * progmodes/prolog.el (prolog-mode):
16281 * progmodes/ruby-mode.el (ruby-mode):
16282 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
16283 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
16285 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
16287 * rect.el (rectangle--highlight-for-redisplay):
16288 * emacs-lisp/smie.el (smie--next-indent-change):
16289 Use buffer-chars-modified-tick.
16291 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
16293 * electric.el (electric-indent-post-self-insert-function):
16294 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
16296 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
16298 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
16300 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16302 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
16305 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
16307 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
16309 * progmodes/python.el: Fix up last change.
16310 (python-shell--save-temp-file): New function.
16311 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
16312 `string' comes from the current buffer.
16313 (python-shell-send-string-no-output): Remove `msg' arg.
16314 (python--use-fake-loc): New var.
16315 (python-shell-buffer-substring): Obey it. Try to compensate for the
16316 extra coding line added by python-shell--save-temp-file.
16317 (python-shell-send-region): Use python-shell--save-temp-file and
16318 python-shell-send-file directly. Add `nomain' argument.
16319 (python-shell-send-buffer): Use python-shell-send-region.
16320 (python-electric-pair-string-delimiter): New function.
16321 (python-mode): Use it.
16323 2013-11-04 Eli Zaretskii <eliz@gnu.org>
16325 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
16326 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
16327 environment and decoding all of the default-directory's to here
16329 (command-line): Decode also argv[0].
16331 * loadup.el: Error out if default-directory is a multibyte string
16332 when we are dumping.
16334 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
16336 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
16338 * emacs-lisp/package.el (package-menu-mode)
16339 (package-menu--print-info, package-menu--archive-predicate):
16340 Add Archive column to package list.
16342 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
16344 Fix problems found while writing a test suite.
16346 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
16347 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
16348 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
16349 to nil when running original file name handler. Otherwise,
16350 there are problems with constructs like "$$FOO".
16352 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
16355 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
16357 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
16359 * subr.el (version<, version<=, version=):
16360 Update docstrings with information for snapshot versions.
16362 * helpers.el: New library for misc helper functions.
16363 (hash-table-keys): New function returning a list of hash keys.
16364 (hash-table-values): New function returning a list of hash values.
16366 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
16368 * progmodes/ruby-mode.el (ruby-smie--forward-token)
16369 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
16371 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
16373 * textmodes/fill.el (fill-single-char-nobreak-p): New function
16374 checking whether point is after a 1-letter word.
16376 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16378 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
16379 Don't infloop when expanding region over `multiline' syntax-type that
16380 begins a line (bug#15778).
16382 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
16384 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
16385 Make it into a proper minor mode.
16386 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
16387 (rectangle-mark-mode-map): New keymap.
16388 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
16390 2013-11-04 Glenn Morris <rgm@gnu.org>
16392 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
16394 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
16396 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
16397 (ruby-smie-rules): Use smie-rule-parent instead.
16399 * emacs-lisp/smie.el (smie-rule-parent): Always call
16400 smie-indent-virtual rather than only for hanging tokens.
16401 (smie--next-indent-change): New helper command.
16403 2013-11-03 Glenn Morris <rgm@gnu.org>
16405 * Makefile.in (abs_srcdir): Remove.
16406 (emacs): Unset EMACSLOADPATH.
16408 2013-11-02 Glenn Morris <rgm@gnu.org>
16410 * Makefile.in (EMACS): Use a relative filename.
16411 (abs_top_builddir): Remove.
16412 (custom-deps, finder-data, autoloads): Use --chdir.
16414 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
16416 Use relative filenames in TAGS files.
16417 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
16418 (lisptagsfiles4, TAGS): Use relative file names.
16419 (TAGS-LISP): Remove.
16420 (maintainer-clean): No more TAGS-LISP file.
16422 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
16423 (lisptagsfiles4): Use absolute filenames again.
16424 (TAGS, TAGS-LISP): Not everything needs to run in one line.
16425 Remove all *loaddefs files, not just the first. Remove esh-groups.
16426 (maintainer-clean): Delete TAGS, TAGS-LISP.
16428 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
16430 * emacs-lisp/package.el (package-version-join):
16431 Recognize snapshot versions.
16433 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
16435 * subr.el (version-regexp-alist): Add support for snapshot versions.
16437 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
16439 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
16440 New function, replacement for `smie-rule-parent' for when we want to
16441 skip over our direct parent if it's an assignment token..
16442 (ruby-smie-rules): Use it.
16444 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
16446 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
16447 unconditionally. Remove now unnecessary forward declarations.
16448 Remove XEmacs-specific setup.
16449 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
16450 (ruby-font-lock-syntactic-keywords)
16451 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
16452 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
16453 (ruby-here-doc-end-syntax): Remove.
16454 (ruby-mode): Don't check whether `syntax-propertize-rules' is
16455 defined as function.
16457 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
16459 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
16461 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
16463 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
16464 table and abbrev table, `define-derived-mode' does that for us
16467 2013-11-01 Glenn Morris <rgm@gnu.org>
16469 * Makefile.in: Remove manual mh-e dependencies (writing .elc
16470 files is atomic for some time, so no parallel compilation issues).
16472 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
16474 * faces.el (face-x-resources): Add :distant-foreground.
16475 (region): Use :distant-foreground for gtk and ns.
16477 2013-11-01 Tassilo Horn <tsdh@gnu.org>
16479 Allow multiple bibliographies when BibLaTeX is used rather than
16481 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
16482 (reftex-locate-bibliography-files): Us it.
16484 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
16486 * image.el (image-type-header-regexps): Fix the 'pbm' part to
16487 allow comments in pbm files.
16489 * term/w32-win.el (dynamic-library-alist): Support newer versions
16490 of libjpeg starting with v7: look only for the DLL from the
16491 version against which Emacs was built.
16492 Support versions of libpng beyond 1.4.x.
16493 Support libtiff v4.x.
16495 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
16497 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
16498 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
16499 Add property :safe.
16500 (ruby-deep-arglist): Add property :type.
16502 2013-10-31 Glenn Morris <rgm@gnu.org>
16504 * Makefile.in (custom-deps, finder-data): No need to setq the target
16505 variables, we are in the right directory and the defaults work fine.
16507 2013-10-30 Glenn Morris <rgm@gnu.org>
16509 * Makefile.in (autoloads): Do not use abs_lisp.
16511 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
16512 `newline' does not respect `standard-output', so use `princ'.
16514 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
16516 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
16517 * buff-menu.el (Buffer-menu--unmark): New function.
16518 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
16520 2013-10-30 Glenn Morris <rgm@gnu.org>
16522 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
16524 * emacs-lisp/package.el (lm-homepage): Declare.
16526 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
16529 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
16531 * Makefile.in (finder-data, autoloads, update-subdirs)
16532 (compile-main, compile-clean, compile-always, bootstrap-clean):
16533 Check return value of cd.
16534 (compile-calc): Remove.
16536 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
16538 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
16540 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
16541 (byte-compile-and-folded): New function.
16542 (=, <, >, <=, >=): Use it.
16544 * dos-w32.el (minibuffer-history-case-insensitive-variables)
16545 (path-separator, null-device, buffer-file-coding-system)
16546 (lpr-headers-switches): Check system-type before modifying them.
16547 (find-buffer-file-type-coding-system): Mark obsolete.
16548 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
16549 find-file-not-found-set-buffer-file-coding-system.
16550 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
16551 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
16552 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
16553 (w32-direct-print-region-helper, w32-direct-print-region-function)
16554 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
16555 * startup.el (normal-top-level-add-subdirs-to-load-path):
16556 * ps-print.el (ps-print-region-function):
16557 * lpr.el (print-region-function): Use new name.
16559 * subr.el (custom-declare-variable-early): Remove function.
16560 (custom-declare-variable-list): Remove var.
16561 (error, user-error): Remove `while' loop.
16562 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
16563 (user-emacs-directory-warning, locate-user-emacs-file):
16565 * simple.el (read-quoted-char-radix, read-quoted-char):
16566 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
16568 * custom.el (custom-declare-variable-list): Don't process
16569 custom-declare-variable-list.
16571 * progmodes/python.el (python-shell-get-buffer): New function.
16572 (python-shell-get-process): Use it.
16573 (python-shell-send-string): Always use utf-8 and add a cookie to tell
16574 Python which encoding was used. Don't split-string since we only care
16575 about the first line. Return the temp-file, if applicable.
16576 (python-shell-send-region): Tell compile.el how to turn locations in
16577 the temp-file into locations in the source buffer.
16579 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
16581 * subr.el (undefined): Add missing behavior from the C code for
16584 * rect.el: Use lexical-binding. Add new rectangular region support.
16585 (rectangle-mark): New command.
16586 (rectangle--region): New var.
16587 (deactivate-mark-hook): Reset rectangle--region.
16588 (rectangle--extract-region, rectangle--insert-for-yank)
16589 (rectangle--highlight-for-redisplay)
16590 (rectangle--unhighlight-for-redisplay): New functions.
16591 (region-extract-function, redisplay-unhighlight-region-function)
16592 (redisplay-highlight-region-function): Use them to handle
16593 rectangular region.
16594 * simple.el (region-extract-function): New var.
16595 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
16596 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
16597 (kill-region): Replace obsolete `yank-handler' arg with `region'.
16598 (copy-region-as-kill, kill-ring-save): Add `region' argument.
16599 (redisplay-unhighlight-region-function)
16600 (redisplay-highlight-region-function): New vars.
16601 (redisplay--update-region-highlight): New function.
16602 (pre-redisplay-function): Use it.
16603 (exchange-point-and-mark): Don't deactivate the mark before
16604 reactivate-it anyway.
16605 * comint.el (comint-kill-region): Remove yank-handler argument.
16606 * delsel.el (delete-backward-char, backward-delete-char-untabify)
16607 (delete-char): Remove property, since it's now part of their
16609 (self-insert-iso): Remove property since this command doesn't exist.
16611 * emacs-lisp/package.el (package--download-one-archive)
16612 (describe-package-1): Don't query the user about final newline.
16614 2013-10-29 Daniel Colascione <dancol@dancol.org>
16616 * net/tramp.el (tramp-methods): Document new functionality.
16617 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
16618 tramp-hostname-checker if method provides one instead of scanning
16619 argument list for "%h" to decide hostname acceptability.
16621 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
16623 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
16624 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
16625 Handle COPY-CONTENTS. (Bug#15737)
16627 2013-10-28 Daiki Ueno <ueno@gnu.org>
16629 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
16630 Document that this option has no effect with GnuPG 2.0 (bug#15552).
16632 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
16634 * image.el (defimage, image-load-path): Doc fixes.
16636 2013-10-27 Alan Mackenzie <acm@muc.de>
16638 Indent statements in macros following "##" correctly.
16639 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
16640 Modify the "#" arm of a cond form to handle "#" and "##" operators.
16642 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
16644 * linum.el (linum-update-window): Fix boundary test (bug#13446).
16646 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
16648 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
16649 after `=' is probably a new expression.
16651 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16653 * man.el (man-imenu-title): New option.
16654 (Man-mode-map): Add menu. (Bug#15722)
16655 (Man-mode): Add imenu to menu.
16657 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
16659 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
16660 specific in what the first arg can be: a non-keyword word,
16661 string/regexp/percent literal opener, opening paren, or unary
16662 operator followed directly by word.
16664 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
16666 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
16667 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
16668 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
16669 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
16670 Remove vars, they do not apply any more.
16671 (prolog-mode-abbrev-table): Remove redundant declaration.
16672 (prolog-upper-case-string, prolog-lower-case-string): Remove.
16673 (prolog-use-smie): Remove.
16674 (prolog-smie-rules): Add indentation rule for the if-then-else layout
16675 supported by prolog-electric-if-then-else-flag.
16676 (prolog-mode-variables, prolog-menu): Use setq-local.
16677 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
16678 Remove binding to `Backspace' since this key doesn't exist anyway.
16679 Remove bindings for electric self-inserting keys.
16680 (prog-mode): Assume it's defined.
16681 (prolog-post-self-insert): New function.
16682 (prolog-mode): Use it.
16683 (prolog-indent-line, prolog-indent-level)
16684 (prolog-find-indent-of-matching-paren)
16685 (prolog-indentation-level-of-line, prolog-goto-comment-column)
16686 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
16687 (prolog-goto-next-paren, prolog-in-string-or-comment)
16688 (prolog-tokenize, prolog-inside-mline-comment)
16689 (prolog-find-start-of-mline-comment): Remove functions.
16690 (prolog-find-unmatched-paren, prolog-clause-end)
16691 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
16692 (prolog-electric--if-then-else): Rename from
16693 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
16694 (prolog-tokenize-searchkey): Remove const.
16695 (prolog-clause-info): Use forward-sexp.
16696 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
16697 (prolog-electric-if-then-else): Remove commands.
16698 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
16699 for use in post-self-insert-hook.
16700 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
16701 for use in post-self-insert-hook.
16702 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
16703 for use in post-self-insert-hook.
16704 (prolog-electric--underscore): Rename from prolog-electric--underscore;
16705 adapt it for use in post-self-insert-hook.
16707 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
16709 * emacs-lisp/ert.el (ert-run-tests-interactively):
16710 Use `completing-read'. (Bug#9756)
16712 2013-10-25 Eli Zaretskii <eliz@gnu.org>
16714 * simple.el (line-move): Call line-move-1 instead of
16715 line-move-visual when the current window hscroll is zero, but
16716 temporary-goal-column indicates we will need to hscroll as result
16717 of the movement. (Bug#15712)
16719 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
16721 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
16722 capitalization. Use :visible instead of :active.
16723 Fix `ruby-indent-exp' reference. Add menu items for the generic
16724 commands that are used with SMIE.
16725 (ruby-do-end-to-brace): Insert space after `{'.
16727 2013-10-25 John Anthony <john@jo.hnanthony.com>
16729 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
16731 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
16733 2013-10-25 Glenn Morris <rgm@gnu.org>
16735 * vc/vc.el (vc-print-log): Don't use a working revision unless
16736 one was explicitly specified. (Bug#15322)
16738 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
16740 * subr.el (add-to-list): Preserve return value in compiler-macro
16743 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16745 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
16746 result. Ask user to retry using '-all' flag. (Bug#15701)
16748 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
16750 * emacs-lisp/smie.el: New smie-config system.
16751 (smie-config): New defcustom.
16752 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
16753 (smie-config-guess, smie-config-save): New commands.
16754 (smie-config--mode-local, smie-config--buffer-local)
16755 (smie-config--trace, smie-config--modefuns): New vars.
16756 (smie-config--advice, smie-config--mode-hook)
16757 (smie-config--setter, smie-config-local, smie-config--get-trace)
16758 (smie-config--guess-value, smie-config--guess): New functions.
16759 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
16760 text properties. Treat "string fence" syntax like string syntax.
16762 * progmodes/sh-script.el (sh-use-smie): Change default.
16763 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
16764 (sh-var-value): Simplify by CSE.
16765 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
16766 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
16768 (sh-guess-basic-offset): Use cl-incf.
16769 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
16771 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
16773 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
16776 2013-10-24 Glenn Morris <rgm@gnu.org>
16778 * Makefile.in (abs_top_srcdir): Remove.
16779 (update-subdirs): Use relative path to update-subdirs.
16781 2013-10-24 Eli Zaretskii <eliz@gnu.org>
16783 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
16784 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
16785 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
16786 Call unmsys--file-name before expand-file-name, not after it.
16788 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
16790 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
16791 (ert-test-skipped): New error.
16792 (ert-skip, ert-stats-skipped): New defuns.
16793 (ert--skip-unless): New macro.
16794 (ert-test-skipped): New struct.
16795 (ert--run-test-debugger, ert-test-result-type-p)
16796 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
16797 (ert--stats-set-test-and-result, ert-char-for-test-result)
16798 (ert-string-for-test-result, ert-run-tests-batch)
16799 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
16800 Handle skipped tests. (Bug#9803)
16802 2013-10-24 Glenn Morris <rgm@gnu.org>
16804 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
16806 * Makefile.in (abs_top_srcdir): New, set by configure.
16807 (update-subdirs): Correct build-aux location.
16809 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
16811 * vc/vc.el (vc-print-root-log): Always set `default-directory'
16812 value, whether we could auto-deduce `backend', or not.
16814 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
16815 with parameters" example. Simplify the "is it block or is it
16816 hash" check, but also make it more thorough.
16818 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
16820 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
16822 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
16824 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
16825 { if it is hanging.
16827 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
16830 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
16832 * progmodes/compile.el (compilation-directory-matcher)
16833 (compilation-page-delimiter):
16834 Support GNU Make-4.0 directory quoting. (Bug#15678)
16836 2013-10-23 Leo Liu <sdl.web@gmail.com>
16838 * ido.el (ido-tidy): Handle read-only text.
16840 2013-10-23 Glenn Morris <rgm@gnu.org>
16842 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
16843 (emacs, compile, compile-always):
16844 Quote entities that might contain whitespace.
16845 (custom-deps, finder-data, autoloads): Use abs_lisp.
16846 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
16847 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
16848 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
16850 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
16852 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
16853 Use `following-char'.
16855 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
16857 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
16858 * progmodes/ruby-mode.el (ruby-smie-rules):
16859 Remove corresponding workaround. Fix indentation rule of ";" so it
16860 also applies when ";" is the parent.
16862 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
16864 * frame.el (display-screens, display-pixel-height)
16865 (display-pixel-width, display-mm-width, display-backing-store)
16866 (display-save-under, display-planes, display-color-cells)
16867 (display-visual-class, display-monitor-attributes-list):
16868 Mention the optional ‘display’ argument in doc strings.
16870 2013-10-22 Michael Gauland <mikelygee@amuri.net>
16872 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
16873 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
16875 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
16877 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
16878 TODO. Add "." after " @ ".
16879 (ruby-smie--at-dot-call): New function. Checks if point at method
16880 call with explicit target.
16881 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
16882 to the method name tokens when it precedes them.
16883 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
16884 (ruby-smie-rules): Add rule for indentation before and after "."
16887 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
16889 * textmodes/remember.el (remember-diary-extract-entries):
16892 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
16895 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
16897 * progmodes/ruby-mode.el (ruby-smie-grammar):
16898 Add (almost) all infix operators.
16899 (ruby-smie--implicit-semi-p): Add new operator chars.
16901 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
16903 (ruby-smie--args-separator-p): Check that there's no newline
16904 between method call and its arguments.
16906 2013-10-20 Alan Mackenzie <acm@muc.de>
16908 Allow comma separated lists after Java "implements".
16910 * progmodes/cc-engine.el (c-backward-over-enum-header):
16912 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
16913 from a "disallowed" list in enum fontification.
16915 2013-10-20 Johan Bockgård <bojohan@gnu.org>
16917 * startup.el (default-frame-background-mode): Remove unused defvar.
16919 * progmodes/verilog-mode.el (verilog-mode): Don't set
16920 comment-indent-function globally.
16922 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
16924 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
16925 Move Info menu item creation to ns-win.el.
16927 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
16930 * menu-bar.el: Move GNUstep specific menus...
16932 * term/ns-win.el (ns-initialize-window-system): ... to here.
16934 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
16936 * simple.el (newline): Only run post-self-insert-hook when
16937 called interactively.
16939 2013-10-19 Johan Bockgård <bojohan@gnu.org>
16941 * icomplete.el (icomplete-with-completion-tables): Add :version.
16943 2013-10-19 Alan Mackenzie <acm@muc.de>
16945 Fix fontification bugs with constructors and const.
16947 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
16948 CASE 2) Remove the check for the absence of a suffix construct
16949 after a function declaration with only types (no identifiers) in
16950 the parentheses. Also, accept a function declaration with just a
16951 type inside the parentheses, if this type can be positively
16952 recognised as such, or if a prefix keyword like "explicit" nails
16953 down the construct as a declaration.
16955 2013-10-19 Eli Zaretskii <eliz@gnu.org>
16957 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
16958 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
16959 the problem whereby selecting a menu item that leads to a
16960 minibuffer prompt moves the cursor out of the minibuffer window,
16961 making it hard to type at the prompt. Suggested by Stefan Monnier
16962 <monnier@iro.umontreal.ca>.
16964 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
16966 * menu-bar.el: Don't make Services menu.
16968 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16970 * ffap.el: Handle "/usr/include/c++/<version>" directories.
16971 (ffap-alist): Use ffap-c++-mode for c++-mode.
16972 (ffap-c++-path): New variable.
16973 (ffap-c++-mode): New function.
16975 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
16977 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
16979 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
16981 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
16982 introduced on 2013-09-08, which results in an infinite loop
16983 requesting a password.
16985 2013-10-18 Glenn Morris <rgm@gnu.org>
16987 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
16989 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
16991 Sync with upstream verilog-mode revision 1a6ecec7.
16992 * progmodes/verilog-mode.el (verilog-mode-version): Update.
16993 (verilog-mode-release-date): Remove.
16994 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
16995 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
16996 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
16997 (verilog-auto-tieoff-ignore-regexp)
16998 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
16999 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
17000 (verilog-signals-with, verilog-dir-cache-preserving)
17001 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
17003 (verilog-case-fold): New option, to control case folding in
17004 regexp searches, bug597.
17005 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
17006 (verilog-string-match-fold, verilog-in-paren-count)
17007 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
17008 (verilog-at-close-struct-p): New functions.
17009 (verilog-beg-block-re-ordered, verilog-extended-case-re)
17010 (verilog-forward-sexp, verilog-set-auto-endcomments)
17011 (verilog-leap-to-case-head): Handle "unique0" case.
17012 (verilog-in-constraint-re): New constant.
17013 (verilog-keywords, verilog-type-font-keywords):
17014 Add some SystemVerilog 1800-2012 keywords.
17015 (verilog-label-be): Remove unimplemented argument, bug669.
17016 (verilog-batch-execute-func): When batch expanding clear
17017 create-lockfiles to prevent spurious user locks when a file ends
17019 (verilog-calculate-indent, verilog-calc-1)
17020 (verilog-at-close-constraint-p, verilog-at-constraint-p)
17021 (verilog-do-indent): Fix indentation of nested constraints
17023 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
17024 (verilog-auto-inst-param): Use verilog-string-match-fold.
17025 (verilog-read-inst-module-matcher):
17026 Fix AUTOINST on gate primitives with #1.
17027 (verilog-read-decls): Fix double-declaring user-defined typed signals.
17028 Reads all user-defined typed variables.
17029 (verilog-read-defines): Fix reading definitions inside comments, bug647.
17030 (verilog-signals-matching-regexp)
17031 (verilog-signals-not-matching-regexp, verilog-auto):
17032 Respect verilog-case-fold.
17033 (verilog-diff-report): Fix line count.
17034 (verilog-auto-assign-modport): Remove unused local `modi'.
17035 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
17036 better handle multidimensional arrays.
17037 Fix packed array ports misadding bit index in AUTOINST, bug637.
17038 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
17039 to not double-declare existing outputs and inputs, respectively.
17040 (verilog-template-map): Bind U to verilog-sk-uvm-component.
17041 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
17042 (verilog-sk-uvm-component): New skeleton.
17043 (verilog-submit-bug-report): Add verilog-case-fold,
17044 remove verilog-mode-release-date.
17046 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
17048 * subr.el (sit-for): Call (input-pending-p t) so as to behave
17051 2013-10-18 Reuben Thomas <rrt@sc3d.org>
17053 * textmodes/remember.el (remember): Set buffer-offer-save in
17054 remember buffers (bug#13566).
17056 2013-10-18 Daniel Colascione <dancol@dancol.org>
17058 When evaluating forms in ielm, direct standard output to ielm
17059 buffer. Add new ielm-return-for-effect command. Remove trailing
17060 whitespace throughout.
17062 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
17063 (ielm-return-for-effect): New command.
17064 (ielm-send-input): Accept optional `for-effect' parameter.
17065 (ielm-eval-input): Accept optional `for-effect' parameter.
17066 Bind `standard-output' to stream we create using
17067 `ielm-standard-output-impl'. Suppress printing result when
17069 (ielm-standard-output-impl): New function.
17070 (inferior-emacs-lisp-mode): Explain new features in documentation.
17072 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
17076 * net/tramp.el (tramp-debug-message): Do not check for connection
17078 (tramp-message): Use "vector" connection property.
17080 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
17081 (tramp-equal-remote, tramp-eshell-directory-change)
17082 * net/tramp-adb.el (tramp-adb-handle-copy-file)
17083 (tramp-adb-handle-rename-file)
17084 * net/tramp-cmds.el (tramp-list-remote-buffers)
17085 (tramp-cleanup-connection, tramp-cleanup-this-connection)
17086 * net/tramp-compat.el (tramp-compat-process-running-p)
17087 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
17088 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
17089 (tramp-gvfs-handle-rename-file)
17090 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
17091 (tramp-set-file-uid-gid)
17092 * net/tramp-smb.el (tramp-smb-handle-copy-file)
17093 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
17094 of `file-remote-p'.
17096 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
17097 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
17098 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
17099 (tramp-gw-open-network-stream): Suppress unrelated traces.
17101 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
17102 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
17103 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
17104 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
17105 connection property.
17107 * net/tramp-cache.el (top): Suppress traces when reading
17110 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
17111 Refactor common code. Improve debug message.
17112 (tramp-maybe-open-connection)
17113 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
17114 connection buffer too early.
17116 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
17117 from `tramp-smb-actions-with-acl'.
17118 (tramp-smb-actions-set-acl): New defconst.
17119 (tramp-smb-handle-copy-directory)
17120 (tramp-smb-action-get-acl): New defun, renamed from
17121 `tramp-smb-action-with-acl'.
17122 (tramp-smb-action-set-acl): New defun.
17123 (tramp-smb-handle-set-file-acl): Rewrite.
17125 2013-10-17 Glenn Morris <rgm@gnu.org>
17127 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
17129 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
17131 * skeleton.el (skeleton-newline): Remove.
17132 (skeleton-internal-1): Use (insert "\n") instead.
17134 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
17137 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
17138 forward-sexp-function while we redo its job (bug#15613).
17140 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
17142 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
17143 represented by lists.
17145 2013-10-16 Glenn Morris <rgm@gnu.org>
17147 * tmm.el (tmm--history): New dynamic variable.
17148 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
17150 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
17152 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
17153 (tramp-smb-errors): Add error messages.
17154 (tramp-smb-actions-with-acl): New defconst.
17155 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
17156 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
17157 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
17158 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
17159 (tramp-smb-get-stat-capability): Fix tests.
17161 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
17163 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
17166 2013-10-16 Glenn Morris <rgm@gnu.org>
17168 * ansi-color.el (ansi-color-drop-regexp):
17169 Add 1J, 1K, 2K. (Bug#15617)
17171 * files.el (hack-local-variables--warned-lexical): New.
17172 (hack-local-variables):
17173 Warn about misplaced lexical-binding. (Bug#15616)
17175 * net/eww.el (eww-render): Always set eww-current-url,
17176 and update header line. (Bug#15622)
17177 (eww-display-html): ... Rather than just doing it here.
17179 2013-10-15 Eli Zaretskii <eliz@gnu.org>
17181 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
17182 menu navigations commands.
17184 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
17186 * progmodes/subword.el (subword-capitalize): Be careful when
17187 the search for [[:alpha:]] fails (bug#15580).
17189 2013-10-14 Eli Zaretskii <eliz@gnu.org>
17191 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
17192 to commands that scroll the menu.
17194 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
17196 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
17197 Handle methods ending with `?' and `!'.
17199 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
17201 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
17202 `japanese-cp932' to `cp932' to fix the problem where saving a
17203 source file written in Shift_JIS twice would end up having
17204 `coding: japanese-cp932' which Ruby could not recognize.
17205 (ruby-mode-set-encoding): Add support for encodings mapped to nil
17206 in `ruby-encoding-map'.
17207 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
17208 doesn't need to be explicitly declared in magic comment.
17209 (ruby-encoding-map): Add type declaration for better customize UI.
17211 2013-10-13 Glenn Morris <rgm@gnu.org>
17213 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
17214 Occur buffers are read-only. http://bugs.debian.org/720775
17216 * emacs-lisp/authors.el (authors-fixed-entries):
17217 Comment out old alpha stuff.
17219 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
17221 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
17222 to `after-save-hook' instead of `before-save-hook'.
17223 (ruby-mode-set-encoding): Use the value of coding system used to
17224 write the file. Call `basic-save-buffer-1' after modifying the
17227 2013-10-13 Alan Mackenzie <acm@muc.de>
17229 Fix indentation/fontification of Java enum with
17230 "implements"/generic.
17232 * progmodes/cc-engine.el (c-backward-over-enum-header):
17233 Extracted from the three other places and enhanced to handle generics.
17234 (c-inside-bracelist-p): Uses new function above.
17235 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
17237 (c-font-lock-enum-tail): Uses new function above.
17239 2013-10-13 Kenichi Handa <handa@gnu.org>
17241 * international/mule-cmds.el (select-safe-coding-system): Remove a
17242 superfluous condition in chekcing whether a coding system is safe
17245 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
17247 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
17249 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
17251 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
17253 2013-10-13 Glenn Morris <rgm@gnu.org>
17255 * menu-bar.el (menu-bar-update-buffers):
17256 Unify Buffers menu prompt string. (Bug#15576)
17258 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
17260 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
17262 (authors-fixed-entries): Use accented form of name.
17264 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
17266 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
17267 method calls (bug#15594).
17268 (ruby-smie--args-separator-p): New function.
17269 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
17270 recognize paren-free method calls.
17272 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
17273 internals of universal-argument.
17275 2013-10-11 Eli Zaretskii <eliz@gnu.org>
17277 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
17278 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
17279 dropped menu on second mouse click on the menu bar.
17281 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
17283 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
17284 (explicit-shell-file-name): Declare.
17285 (sh--vars-before-point, sh--cmd-completion-table): New functions.
17286 (sh-completion-at-point-function): New function.
17288 (sh-smie--keyword-p): Remove unused argument.
17289 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
17291 (sh-set-shell): Always setup SMIE, even if we use the
17292 old indentation code.
17294 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
17296 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
17298 (ruby-smie-rules): Simplify the "do" rule. The cases when the
17299 predicate would return nil are almost non-existent.
17300 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
17302 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
17303 cache also after commands that modify the buffer but don't move
17306 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
17308 * env.el (substitute-env-in-file-name): New function.
17309 (substitute-env-vars): Extend the meaning of the optional arg.
17311 2013-10-10 Eli Zaretskii <eliz@gnu.org>
17313 * term/w32-win.el (dynamic-library-alist): Define separate lists
17314 of GIF DLLs for versions before and after 5.0.0 of giflib.
17317 2013-10-10 João Távora <joaotavora@gmail.com>
17319 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
17320 not locked, use last revision and current source as
17321 defaults. (Bug#15569)
17323 2013-10-10 Masatake YAMATO <yamato@redhat.com>
17325 * menu-bar.el (menu-bar-open): Don't use popup-menu if
17326 menu-bar is hidden.
17328 2013-10-10 Martin Rudalics <rudalics@gmx.at>
17330 * window.el (pop-to-buffer-same-window): Fix doc-string.
17333 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
17335 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
17337 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
17339 * calendar/icalendar.el (icalendar-import-file):
17340 Fix interactive spec. (Bug#15482)
17342 2013-10-10 Glenn Morris <rgm@gnu.org>
17344 * desktop.el (desktop-save): Default to saving in .emacs.d,
17345 since PWD is no longer in desktop-path by default. (Bug#15319)
17347 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
17348 now that text mode has a menu with the same entry.
17349 (menu-bar-text-mode-auto-fill): Remove now unused func.
17350 * textmodes/text-mode.el (text-mode-map):
17351 Use auto-fill help text from menu-bar.el.
17353 2013-10-10 John Anthony <john@jo.hnanthony.com>
17355 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
17357 2013-10-09 Juri Linkov <juri@jurta.org>
17359 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
17360 instead of this-command-keys. Add universal-argument-more and
17361 universal-argument-minus to the list of prefix commands. (Bug#15568)
17363 2013-10-09 Glenn Morris <rgm@gnu.org>
17365 * vc/vc-svn.el (vc-svn-create-repo):
17366 Expand paths in file://... url. (Bug#15446)
17368 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
17370 (authors): Remove unused local variables.
17372 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
17374 * profiler.el: Create a more coherent calltree from partial backtraces.
17375 (profiler-format): Hide the tail with `invisible' so that C-s can still
17376 find the hidden elements.
17377 (profiler-calltree-depth): Don't recurse so enthusiastically.
17378 (profiler-function-equal): New hash-table-test.
17379 (profiler-calltree-build-unified): New function.
17380 (profiler-calltree-build): Use it.
17381 (profiler-report-make-name-part): Indent the calltree less.
17382 (profiler-report-mode): Add visibility specs for profiler-format.
17383 (profiler-report-expand-entry, profiler-report-toggle-entry):
17384 Expand the whole subtree when provided with a prefix arg.
17386 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
17388 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
17390 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
17391 hanging iuwu-mod token.
17392 (ruby-smie--forward-token): Do not include a dot after a token in
17394 (ruby-smie--backward-token): Likewise.
17396 2013-10-08 Juri Linkov <juri@jurta.org>
17398 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
17399 to isearch-other-control-char.
17400 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
17401 and isearch-post-command-hook to post-command-hook.
17402 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
17403 and isearch-post-command-hook from post-command-hook.
17404 (isearch-unread-key-sequence)
17405 (isearch-reread-key-sequence-naturally)
17406 (isearch-lookup-scroll-key, isearch-other-control-char)
17407 (isearch-other-meta-char): Remove functions.
17408 (isearch-pre-command-hook, isearch-post-command-hook):
17409 New functions based on isearch-other-meta-char rewritten
17410 relying on the new behavior of overriding-terminal-local-map
17411 that does not replace the local keymaps any more. (Bug#15200)
17413 2013-10-08 Eli Zaretskii <eliz@gnu.org>
17415 Support menus on text-mode terminals.
17416 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
17419 * tooltip.el (tooltip-mode): Don't error out on TTYs.
17421 * menu-bar.el (popup-menu, popup-menu-normalize-position):
17422 Move here from mouse.el.
17423 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
17425 (tty-menu-navigation-map): New map for TTY menu navigation.
17427 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
17429 * frame.el (display-mouse-p): Report text-mode mouse as available
17431 (display-popup-menus-p): Report availability if mouse is
17432 available; don't condition on window-system.
17434 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
17435 (tty-menu-selected-face): New faces.
17437 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
17439 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
17440 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
17441 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
17442 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
17444 (lisp-mode-variables): New `elisp' argument.
17445 (emacs-lisp-mode): Use it.
17446 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
17447 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
17449 * indent.el: Use lexical-binding.
17450 (indent-region): Add progress reporter.
17451 (tab-stop-list): Make it implicitly extend to infinity by repeating the
17453 (indent--next-tab-stop): New function to implement this behavior.
17454 (tab-to-tab-stop, move-to-tab-stop): Use it.
17456 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
17458 * indent.el (indent-rigidly--current-indentation): New function.
17459 (indent-rigidly-map): New var.
17460 (indent-rigidly): Use it to provide interactive mode (bug#8196).
17462 2013-10-08 Bastien Guerry <bzg@gnu.org>
17464 * register.el (insert-register): Fix 2013-10-07 change.
17466 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
17468 * progmodes/perl-mode.el: Use lexical-binding.
17469 Remove redundant :group args.
17470 (perl-nochange): Change default to be closer to other major modes's
17472 (perl-indent-line): Don't consider text on current line as a
17473 valid beginning of function from which to indent.
17475 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
17476 with more than one argument (bug#15538).
17478 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
17480 * vc/pcvs.el: Use lexical-binding.
17481 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
17482 environment of `eval'.
17483 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
17484 than a list of expressions. Adjust callers.
17485 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
17487 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
17489 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
17490 case of the dot in a chained method call being on the following line.
17492 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
17494 * electric.el (electric-indent-inhibit): New var.
17495 (electric-indent-post-self-insert-function): Use it.
17496 * progmodes/python.el (python-mode): Set it.
17498 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
17501 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
17503 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
17504 (css-mode): Use electric-indent-chars.
17506 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
17507 (font-lock-beg, font-lock-end): Move before first use.
17508 (nxml-mode): Use syntax-propertize-function.
17509 (nxml-after-change, nxml-after-change1): Adjust accordingly.
17510 (nxml-extend-after-change-region): Remove.
17511 * nxml/xmltok.el: Use lexical-binding.
17512 (xmltok-save): Use `declare'.
17513 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
17514 * nxml/nxml-util.el: Use lexical-binding.
17515 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
17517 * nxml/nxml-ns.el: Use lexical-binding.
17518 (nxml-ns-save): Use `declare'.
17519 (nxml-ns-prefixes-for): Avoid add-to-list.
17520 * nxml/rng-match.el: Use lexical-binding.
17521 (rng--ipattern): Use cl-defstruct.
17522 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
17523 (rng-cons-group-after, rng-subst-group-after)
17524 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
17525 Use closures instead of `(lambda...).
17527 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
17529 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
17532 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17533 Use `tramp-handle-insert-file-contents'.
17534 (tramp-gvfs-handle-insert-file-contents): Remove function.
17536 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
17537 Use `save-restriction' in order to keep markers.
17539 * net/trampver.el: Update release number.
17541 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
17543 * progmodes/compile.el (compilation-parse-errors):
17544 Use compilation--put-prop.
17545 (compilation--ensure-parse): Check compilation-multiline.
17547 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
17549 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
17552 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
17554 * progmodes/ruby-mode.el: Fix recently added tests.
17555 (ruby-smie-grammar): Add - and +.
17556 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
17557 (ruby-smie--backward-id): New functions.
17558 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
17559 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
17562 2013-10-07 Leo Liu <sdl.web@gmail.com>
17564 * register.el (register-preview-delay)
17565 (register-preview-functions): New variables.
17566 (register-read-with-preview, register-preview)
17567 (register-describe-oneline): New functions.
17568 (point-to-register, window-configuration-to-register)
17569 (frame-configuration-to-register, jump-to-register)
17570 (number-to-register, view-register, insert-register)
17571 (copy-to-register, append-to-register, prepend-to-register)
17572 (copy-rectangle-to-register): Use register-read-with-preview to
17573 read register. (Bug#15525)
17575 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
17577 * net/network-stream.el (network-stream-open-starttls): Don't add
17578 --insecure if it's already present, because that gnutls-cli
17579 rejects getting that parameter twice.
17581 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
17583 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
17586 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
17588 * newcomment.el (comment-use-global-state): Change default value
17589 to t, mark obsolete (Bug#15251).
17590 (comment-beginning): In addition to `comment-to-syntax', check the
17591 value of `comment-use-global-state'.
17593 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
17595 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
17596 (ruby-comment-column): Follow the global default, by default.
17597 (ruby-smie-grammar): Add assignment syntax.
17598 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
17599 open-paren, a comma, or a \.
17600 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
17601 and line continuations.
17602 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
17603 followed by implicit semi-colons. Add rule for string concatenation
17604 and for indentation at BOB.
17605 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
17607 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
17608 calling next-sexp, since next-token may have skipped chars which
17609 next-sexp doesn't know should be skipped!
17611 2013-10-05 Leo Liu <sdl.web@gmail.com>
17613 * progmodes/octave.el (octave-send-region):
17614 Call compilation-forget-errors.
17616 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
17618 * vc/vc-svn.el (vc-svn-find-admin-dir):
17619 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
17620 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
17621 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
17622 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
17624 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
17626 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
17628 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
17630 * subr.el (read-passwd): Hide chars even when called within a context
17631 where after-change-functions is disabled (bug#15501).
17632 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
17633 until we removed ourself from overriding-terminal-local-map.
17635 2013-10-04 Leo Liu <sdl.web@gmail.com>
17637 * progmodes/octave.el (inferior-octave-mode):
17638 Call compilation-forget-errors.
17640 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
17642 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
17644 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
17646 * net/secrets.el (secrets-create-collection): Add optional
17647 argument ALIAS. Use proper Label keyword. Append ALIAS as
17648 dbus-call-method argument. (Bug#15516)
17650 2013-10-04 Leo Liu <sdl.web@gmail.com>
17652 * progmodes/octave.el (inferior-octave-error-regexp-alist)
17653 (inferior-octave-compilation-font-lock-keywords): New variables.
17654 (compilation-error-regexp-alist)
17655 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
17656 (inferior-octave-mode): Use compilation-shell-minor-mode.
17658 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
17660 * minibuffer.el (completion--replace): Be careful that `end' might be
17663 2013-10-03 Daiki Ueno <ueno@gnu.org>
17665 Add support for package signature checking.
17666 * emacs-lisp/package.el (url-http-file-exists-p)
17667 (epg-make-context, epg-context-set-home-directory)
17668 (epg-verify-string, epg-context-result-for)
17669 (epg-signature-status, epg-signature-to-string)
17670 (epg-check-configuration, epg-configuration)
17671 (epg-import-keys-from-file): Declare.
17672 (package-check-signature): New user option.
17673 (package-unsigned-archives): New user option.
17674 (package-desc): Add `signed' field.
17675 (package-load-descriptor): Set `signed' field if .signed file exists.
17676 (package--archive-file-exists-p): New function.
17677 (package--check-signature): New function.
17678 (package-install-from-archive): Check package signature.
17679 (package--download-one-archive): Check archive signature.
17680 (package-delete): Remove .signed file.
17681 (package-import-keyring): New command.
17682 (package-refresh-contents): Import default keyring.
17683 (package-desc-status): Add "unsigned" status.
17684 (describe-package-1, package-menu--print-info)
17685 (package-menu-mark-delete, package-menu--find-upgrades)
17686 (package-menu--status-predicate): Support "unsigned" status.
17688 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
17690 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
17691 the new compilation scheme using the new byte-codes.
17693 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
17694 (byte-pophandler): New byte codes.
17695 (byte-goto-ops): Adjust accordingly.
17696 (byte-compile--use-old-handlers): New var.
17697 (byte-compile-catch): Use new byte codes depending on
17698 byte-compile--use-old-handlers.
17699 (byte-compile-condition-case--old): Rename from
17700 byte-compile-condition-case.
17701 (byte-compile-condition-case--new): New function.
17702 (byte-compile-condition-case): New function that dispatches depending
17703 on byte-compile--use-old-handlers.
17704 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
17707 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
17708 Optimize under `condition-case' and `catch' if
17709 byte-compile--use-old-handlers is nil.
17710 (disassemble-offset): Handle new bytecodes.
17712 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
17714 * subr.el (error): Use `declare'.
17715 (decode-char, encode-char): Use advertised-calling-convention instead
17716 of the docstring to discourage use of the `restriction' arg.
17718 2013-10-03 Daiki Ueno <ueno@gnu.org>
17720 * epg.el (epg-verify-file): Add a comment saying that it does not
17721 notify verification error as a return value nor a signal.
17722 (epg-verify-string): Ditto.
17724 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
17726 * progmodes/compile.el (compilation-start): Try globbing the arg to
17729 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
17731 Sync with Tramp 2.2.8.
17733 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
17734 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
17735 * net/trampver.el: Update release number.
17737 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
17739 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
17740 and default-process-coding-system for darwin only.
17742 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
17744 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
17746 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
17748 * vc/vc-git.el (vc-git-grep): Disable pager.
17750 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
17752 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
17753 Use :url instead of :homepage, as per
17754 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
17756 * newcomment.el (comment-beginning): When `comment-use-syntax' is
17757 non-nil, use `syntax-ppss' (Bug#15251).
17759 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17761 * progmodes/octave.el (inferior-octave-startup-file):
17762 Prefer ~/.emacs.d/init_octave.m.
17764 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
17766 * emacs-lisp/package.el (package-desc-from-define):
17767 Accept additional arguments as plist, convert them to an alist and store
17768 them in the `extras' slot.
17769 (package-generate-description-file): Convert extras alist back to
17770 plist and append to the `define-package' form arguments.
17771 (package--alist-to-plist): New function.
17772 (package--ac-desc): Add `extras' slot.
17773 (package--add-to-archive-contents): Check if the archive-contents
17774 vector is long enough, and if it is, pass its `extras' slot value
17775 to `package-desc-create'.
17776 (package-buffer-info): Call `lm-homepage', pass the returned value
17777 to `package-desc-from-define'.
17778 (describe-package-1): Render the homepage button (Bug#13291).
17780 * emacs-lisp/package-x.el (package-upload-buffer-internal):
17781 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
17783 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
17785 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
17786 and default-process-coding-system to utf-8-unix (Bug#15402).
17788 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
17790 * subr.el (looking-back): Do not recommend using looking-back.
17792 2013-09-28 Alan Mackenzie <acm@muc.de>
17794 Fix indentation/fontification of Java enum with "implements".
17796 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
17797 regexp which matches "implements", etc., in Java.
17798 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
17799 specifier clauses coming after "enum".
17800 * progmodes/cc-fonts.el (c-font-lock-declarations)
17801 (c-font-lock-enum-tail): Check for extra specifier clauses coming
17804 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
17806 * faces.el (region): Change ns_selection_color to
17807 ns_selection_fg_color, add ns_selection_bg_color.
17809 2013-09-28 Leo Liu <sdl.web@gmail.com>
17811 * progmodes/octave.el (inferior-octave-completion-table)
17812 (inferior-octave-completion-at-point): Minor tweaks.
17814 * textmodes/ispell.el (ispell-lookup-words): Rename from
17815 lookup-words. (Bug#15460)
17816 (lookup-words): Obsolete.
17817 (ispell-complete-word, ispell-command-loop): All uses changed.
17819 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17821 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
17822 (octave-mode-menu): Add octave-send-buffer.
17823 (octave-send-buffer): New function.
17825 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17827 * progmodes/octave.el (octave-mode-map): Add key binding for
17829 (octave-mode-menu): Add octave-lookfor.
17830 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
17832 (octave-lookfor): New function.
17834 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
17836 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
17837 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
17839 (cl--loop-set-iterator-function): New function.
17840 (cl-loop): Adjust accordingly, so as not to use cl-subst.
17841 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
17842 Bind `it' with `let' instead of substituting it with `cl-subst'.
17843 (cl--unused-var-p): New function.
17844 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
17845 Eliminate some unused variable warnings (bug#15326).
17847 2013-09-27 Tassilo Horn <tsdh@gnu.org>
17849 * doc-view.el (doc-view-scale-reset): Rename from
17850 `doc-view-reset-zoom-level'.
17851 (doc-view-scale-adjust): New command.
17852 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
17853 `doc-view-scale-adjust'.
17855 2013-09-26 Tassilo Horn <tsdh@gnu.org>
17857 * doc-view.el (doc-view-reset-zoom-level): New command.
17858 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
17859 zoom commands (bug#15466).
17861 2013-09-26 Kenichi Handa <handa@gnu.org>
17863 * international/quail.el (quail-help): Make it not a command.
17865 2013-09-26 Leo Liu <sdl.web@gmail.com>
17867 * minibuffer.el (completion-all-sorted-completions): Make args
17868 optional as they are.
17870 2013-09-25 Daniel Colascione <dancol@dancol.org>
17872 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
17873 specs are and that they're not evaluated.
17875 2013-09-24 Sam Steingold <sds@gnu.org>
17877 * midnight.el (clean-buffer-list-kill-regexps)
17878 (clean-buffer-list-kill-buffer-names): Update for the new Man
17879 buffer naming which includes the object name.
17881 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
17883 * eshell/esh-cmd.el (eshell--sep-terms): New var.
17884 (eshell-parse-command, eshell-parse-pipeline): Use it since
17885 eshell-separate-commands requires a dynamic scoped var.
17886 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
17888 2013-09-23 Leo Liu <sdl.web@gmail.com>
17890 * autoinsert.el (auto-insert-alist): Make the value of
17891 lexical-binding match its file setting.
17893 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
17895 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
17897 * autoarg.el (autoarg-kp-digit-argument):
17898 * electric.el (Electric-command-loop):
17899 * kmacro.el (kmacro-step-edit-insert):
17900 Do not set universal-argument-num-events.
17902 2013-09-22 Leo Liu <sdl.web@gmail.com>
17904 * files.el (interpreter-mode-alist): Add octave.
17906 2013-09-21 Alan Mackenzie <acm@muc.de>
17908 C++: fontify identifier in declaration following "public:" correctly.
17909 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
17910 to match "public", etc.
17911 (c-decl-prefix-re): Add ":" into the C++ value.
17912 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
17913 bit. Add a check for a ":" preceded by "public", etc.
17915 2013-09-21 Eli Zaretskii <eliz@gnu.org>
17917 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
17918 recognized by GDB 7.5 and later.
17920 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
17922 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
17924 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
17926 * subr.el (internal--call-interactively): New const.
17927 (called-interactively-p): Use it (bug#3984).
17929 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
17931 * vc/pcvs.el (cvs-mode-ignore):
17932 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
17933 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
17935 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
17937 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
17938 (eshell-ls-orig-insert-directory): Remove.
17939 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
17940 (eshell-ls-use-in-dired): Use advice-add/remove.
17941 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
17942 Add `orig-fun' arg for use in :around advice.
17943 Make it check (redundantly) eshell-ls-use-in-dired.
17945 2013-09-19 Glenn Morris <rgm@gnu.org>
17947 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
17949 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
17951 * emacs-lisp/eieio.el (class-parent): Undo previous change.
17953 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
17955 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
17956 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
17957 (tramp-get-remote-python): New defuns.
17958 (tramp-get-remote-uid-with-perl)
17959 (tramp-get-remote-gid-with-perl): New defuns. Perl code
17960 contributed by yary <not.com@gmail.com> (tiny change).
17961 (tramp-get-remote-uid-with-python)
17962 (tramp-get-remote-gid-with-python): New defuns. Python code
17963 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
17964 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
17966 2013-09-19 Glenn Morris <rgm@gnu.org>
17968 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
17970 * eshell/em-unix.el (eshell-remove-entries):
17971 Rename argument to avoid name-clash with global `top-level'.
17973 * eshell/esh-proc.el (eshell-kill-process-function):
17974 Remove eshell-reset-after-proc from eshell-kill-hook if present.
17975 (eshell-reset-after-proc): Remove unused arg `proc'.
17977 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
17978 (directory-files-and-attributes): Mark unused arg.
17980 * eshell/em-unix.el (eshell-remove-entries):
17981 Remove unused arg `path'. Update callers.
17983 * eshell/em-hist.el (eshell-hist-parse-arguments):
17984 Remove unused arg `silent'. Update callers.
17986 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
17987 Fix (f)boundp mix-up.
17989 * eshell/em-smart.el (eshell-smart-scroll-window)
17990 (eshell-disable-after-change):
17991 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
17993 2013-09-18 Alan Mackenzie <acm@muc.de>
17995 Fix fontification of type when followed by "const".
17996 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
17997 "known" types from fontification.
17999 2013-09-18 Glenn Morris <rgm@gnu.org>
18001 * emacs-lisp/chart.el (x-display-color-cells): Declare.
18002 (chart-face-list): Drop Emacsen without display-color-p.
18004 * net/eww.el (libxml-parse-html-region): Declare.
18005 (eww-display-html): Explicit error if no libxml2 support.
18007 * doc-view.el (doc-view-mode): Silence --without-x compilation.
18009 * image.el (image-type-from-buffer, image-multi-frame-p):
18010 Remove --without-x warning/error.
18012 * mouse.el (mouse-yank-primary):
18013 * term.el (term-mouse-paste):
18014 Reorder to silence --without-x compilation.
18016 * mpc.el (doc-view-mode): Silence --without-x compilation.
18018 * mail/rmailmm.el (rmail-mime-set-bulk-data):
18019 Silence --without-x compilation.
18021 * progmodes/gud.el (gud-find-file, gud-mode):
18022 Silence --without-x compilation.
18023 (tooltip-mode): Declare.
18025 * wdired.el (dired-backup-overwrite): Remove declaration.
18026 (wdired-mode-map): Add doc string.
18028 * custom.el (x-get-resource): Declare.
18030 * eshell/em-glob.el (ange-cache):
18031 * eshell/em-unix.el (ange-cache): Declare.
18033 * faces.el (x-display-list, x-open-connection, x-get-resource):
18036 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
18037 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
18040 * frame.el (x-display-grayscale-p, x-display-name): Declare.
18042 * net/gnutls.el (gnutls-log-level): Declare.
18044 * net/shr.el (image-size, image-animate): Declare.
18046 * simple.el (font-info): Declare.
18048 * subr.el (x-popup-dialog): Declare.
18050 * term/common-win.el (x-select-enable-primary)
18051 (x-last-selected-text-primary, x-last-selected-text-clipboard):
18054 * term/ns-win.el (x-handle-args): Declare.
18056 * term/x-win.el (x-select-enable-clipboard): Declare.
18058 * term/w32-win.el (create-default-fontset): Declare.
18060 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
18063 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
18064 (fit-frame-to-buffer): Explicit error if --without-x.
18065 (mouse-autoselect-window-select): Silence compiler.
18067 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
18069 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
18070 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
18071 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
18072 * eshell/esh-util.el (eshell-sublist):
18073 Remove unused local variables.
18075 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
18077 * textmodes/two-column.el: Make 2C-split work for --without-x.
18078 (scroll-bar-columns): Autoload.
18079 (top-level): Require fringe when compiling.
18081 2013-09-18 Leo Liu <sdl.web@gmail.com>
18083 * subr.el (add-hook): Robustify to handle closure as well.
18085 2013-09-17 Glenn Morris <rgm@gnu.org>
18087 * simple.el (messages-buffer-mode-map): Unbind "g".
18089 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
18091 * help-mode.el (help-mode-finish): Use derived-mode-p.
18092 Remove obsolete highlighting.
18094 * play/life.el (life-mode): Use define-derived-mode. Derive from
18096 (life): Let-bind inhibit-read-only.
18097 (life-setup): Avoid `setq'. Use `life-mode'.
18099 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
18100 which should not be needed any more.
18101 (package-menu-refresh, package-menu-describe-package): Use user-error.
18103 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
18104 (eshell-post-rewrite-command-hook): Make obsolete.
18105 (eshell-parse-command): Simplify.
18106 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
18107 (eshell--cmd): Declare.
18108 (eshell-parse-pipeline): Remove unused var `final-p'.
18109 Pass a dynvar to eshell-post-rewrite-command-hook.
18110 Implement the new eshell-post-rewrite-command-function.
18111 (eshell-invoke-directly): Remove unused arg `input'.
18112 * eshell/esh-io.el (eshell-io-initialize):
18113 Use eshell-post-rewrite-command-function (bug#15399).
18114 (eshell--apply-redirections): Rename from eshell-apply-redirections;
18115 adjust to new calling convention.
18116 (eshell-create-handles): Rename args to avoid clashing with dynvar
18119 2013-09-17 Glenn Morris <rgm@gnu.org>
18121 * simple.el (messages-buffer-mode): New major mode.
18122 (messages-buffer): New function.
18123 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
18124 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
18125 (ert-run-test): Use `messages-buffer' function.
18126 (ert--force-message-log-buffer-truncation): Ignore read-only.
18127 * help.el (view-echo-area-messages): Use `messages-buffer' function.
18128 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
18130 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
18132 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
18134 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
18136 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
18138 * icomplete.el (icomplete-in-buffer): New var.
18139 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
18140 vars and replace them with functions.
18141 (icomplete-minibuffer-setup): Adjust accordingly.
18142 (icomplete--completion-table, icomplete--completion-predicate)
18143 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
18145 (icomplete-forward-completions, icomplete-backward-completions)
18146 (icomplete-simple-completing-p, icomplete-exhibit)
18147 (icomplete-completions): Use them.
18148 (icomplete--in-region-buffer): New var.
18149 (icomplete--in-region-setup): New function.
18150 (icomplete-mode): Use it.
18152 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
18154 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
18155 return args and options.
18156 (eshell-eval-using-options): Use the new return value of
18157 eshell--do-opts to set the options's vars in their scope.
18158 (eshell--set-option): Rename from eshell-set-option.
18159 Add arg `opt-vals'.
18160 (eshell--process-option): Rename from eshell-process-option.
18161 Add arg `opt-vals'.
18162 (eshell--process-args): Use an `opt-vals' alist to store the options's
18163 values during their processing and return them additionally to the
18166 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
18168 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
18169 continuation character an operator, as far as indentation is
18170 concerned (Bug#15369).
18172 2013-09-15 Martin Rudalics <rudalics@gmx.at>
18174 * window.el (window--state-put-2): Don't process buffer state
18175 when buffer doesn't exist any more (Bug#15382).
18177 2013-09-15 Glenn Morris <rgm@gnu.org>
18179 * eshell/em-unix.el (eshell/rm):
18180 Make -f ignore missing files. (Bug#15373)
18182 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
18183 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
18184 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
18186 2013-09-14 Glenn Morris <rgm@gnu.org>
18188 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
18190 2013-09-13 Glenn Morris <rgm@gnu.org>
18192 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
18193 (dired-guess-default): Make `file' available in the env. (Bug#15363)
18195 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
18197 * frame.el (x-focus-frame): Mark as declared in frame.c.
18199 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
18201 * ls-lisp.el: Use advice-add.
18202 (original-insert-directory): Remove.
18203 (ls-lisp--insert-directory): Rename from insert-directory; add
18204 `orig-fun' argument.
18205 (insert-directory): Advise.
18207 2013-09-13 Eli Zaretskii <eliz@gnu.org>
18209 * term.el (term-emulate-terminal): Decode the command string
18210 before passing it to term-command-hook. (Bug#15337)
18212 2013-09-13 Glenn Morris <rgm@gnu.org>
18214 * eshell/esh-util.el (ange-cache): Move declaration earlier.
18216 * eshell/esh-ext.el (eshell-search-path): Declare.
18218 * eshell/em-prompt.el (eshell/pwd): Autoload it.
18219 Otherwise an error occurs if eshell-dirs module not loaded.
18221 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
18223 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
18225 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
18226 `tramp-check-proper-host'. Check for a valid method name.
18228 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18229 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18230 * net/tramp-sh.el (tramp-maybe-open-connection):
18231 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
18233 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
18234 also for hash values.
18236 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
18238 * term/ns-win.el (parameters): Don't declare as dynamic.
18239 (before-make-frame-hook): Don't add ineffective function.
18241 * eshell/*.el: Use lexical-binding (bug#15231).
18243 2013-09-12 Kenichi Handa <handa@gnu.org>
18245 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
18247 2013-09-12 Glenn Morris <rgm@gnu.org>
18249 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
18250 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
18252 * subr.el (do-after-load-evaluation): Also give compiler warnings
18253 when obsolete files are used (except by obsolete files).
18255 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
18256 in the status output, assume `filename' is the first. (Bug#15322)
18258 * vc/vc.el (vc-deduce-fileset): Doc fix.
18260 * calc/calc-help.el (Info-goto-node):
18261 * progmodes/cperl-mode.el (Info-find-node):
18262 * vc/ediff.el (Info-goto-node): Update declarations.
18264 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
18266 * vc/vc-bzr.el (vc-compilation-mode): Declare.
18267 (vc-bzr-pull): Require vc-dispatcher.
18268 * vc/vc-git.el (vc-compilation-mode): Declare.
18269 (vc-git-pull): Require vc-dispatcher.
18271 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
18273 * progmodes/octave.el (help-button-action): Declare.
18275 * shell.el (shell-directory-tracker): Output error as a message
18276 rather than just returning it as a string.
18277 (shell-process-pushd): Remove useless use of message.
18279 * dframe.el (dframe-timer-fn):
18280 * files.el (dir-locals-read-from-file):
18281 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
18283 * reveal.el (reveal-post-command):
18284 * saveplace.el (load-save-place-alist-from-file):
18285 * shell.el (shell-resync-dirs):
18286 * w32-common-fns.el (x-get-selection-value):
18287 * emacs-lisp/copyright.el (copyright-find-copyright):
18288 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
18289 * emulation/tpu-edt.el (tpu-copy-keyfile):
18290 * play/bubbles.el (bubbles--mark-neighbourhood):
18291 * progmodes/executable.el
18292 (executable-make-buffer-file-executable-if-script-p):
18293 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
18295 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
18297 Cleanup Eshell to rely less on dynamic scoping.
18298 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
18299 last-value, and ext-command here. Bind `args' closer to `body'.
18300 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
18301 (eshell--args): Declare new dynamic var.
18302 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
18303 last-value, and ext-command. Pass `args' to `body'.
18304 (eshell-process-args): Bind eshell--args.
18305 (eshell-set-option): Use eshell--args.
18306 * eshell/eshell.el (eshell): Use derived-mode-p.
18307 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
18308 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
18309 (eshell-glob-function): Declare.
18310 * eshell/esh-util.el: Require cl-lib.
18311 (eshell-read-hosts-file): Avoid add-to-list.
18312 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
18314 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
18316 (eshell/diff): Remove unused var `err'.
18317 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
18319 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
18320 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
18322 * eshell/em-glob.el (eshell-glob-matches, message-shown):
18323 Move declaration before first use.
18324 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
18325 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
18328 2013-09-12 Glenn Morris <rgm@gnu.org>
18330 * term/ns-win.el (global-map): Remove binding for ispell-next,
18331 deleted 1999-05-29. (Bug#15357)
18333 2013-09-11 Glenn Morris <rgm@gnu.org>
18335 * echistory.el (electric-command-history): Remove call to deleted func.
18337 * play/landmark.el (landmark-mode): Fix typos.
18339 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
18340 Check cvs-sort-ignore-file is bound.
18342 * savehist.el: No need for cl when compiling on Emacs.
18344 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
18346 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
18348 (eshell-self-insert-command, eshell-send-invisible):
18349 Remove unused argument.
18350 (eshell-handle-control-codes): Remove unused var `orig'.
18351 Avoid delete-backward-char.
18353 * files.el (set-auto-mode): Simplify a bit further.
18355 2013-09-11 Glenn Morris <rgm@gnu.org>
18357 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
18358 (set-auto-mode): Don't regexp-quote elements.
18359 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
18360 * progmodes/cc-mode.el (interpreter-mode-alist):
18361 * progmodes/ruby-mode.el (interpreter-mode-alist):
18362 Revert previous change.
18364 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
18366 * play/snake.el (snake-mode):
18367 * play/mpuz.el (mpuz-mode):
18368 * play/landmark.el (lm-mode):
18369 * play/blackbox.el (blackbox-mode):
18370 * play/5x5.el (5x5-mode):
18371 * obsolete/options.el (Edit-options-mode):
18372 * net/quickurl.el (quickurl-list-mode):
18373 * net/newst-treeview.el (newsticker-treeview-mode):
18374 * mail/rmailsum.el (rmail-summary-mode):
18375 * mail/mspools.el (mspools-mode):
18376 * locate.el (locate-mode):
18377 * ibuffer.el (ibuffer-mode):
18378 * emulation/ws-mode.el (wordstar-mode):
18379 * emacs-lisp/debug.el (debugger-mode):
18380 * array.el (array-mode):
18381 * net/eudc.el (eudc-mode): Use define-derived-mode.
18382 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
18383 Move initialization into declaration.
18384 (mairix-searches-mode): Use define-derived-mode.
18385 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
18386 (eudc-edit-hotlist): Use dolist.
18387 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
18388 (Man-mode): Use define-derived-mode.
18389 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
18390 (Info-edit-mode): Use define-derived-mode.
18391 (Info-cease-edit): Use Info-mode.
18392 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
18394 (eshell-mode): Use define-derived-mode.
18395 * chistory.el (command-history-mode-map): Rename from
18396 command-history-map.
18397 (command-history-mode): Use define-derived-mode.
18398 (Command-history-setup): Remove function.
18399 * calc/calc.el (calc-trail-mode-map): New var.
18400 (calc-trail-mode): Use define-derived-mode.
18401 (calc-trail-buffer): Set calc-main-buffer manually.
18402 * bookmark.el (bookmark-insert-annotation): New function.
18403 (bookmark-edit-annotation): Use it.
18404 (bookmark-edit-annotation-mode): Make it a proper major mode.
18405 (bookmark-send-edited-annotation): Use derived-mode-p.
18406 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
18407 closer to its ideal place. Use \' to match EOS.
18409 * profiler.el (profiler-calltree-find): Use function-equal.
18411 2013-09-10 Glenn Morris <rgm@gnu.org>
18413 * files.el (interpreter-mode-alist): Convert to regexps.
18414 (set-auto-mode): Adapt for this. (Bug#15306)
18415 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
18416 Comment out unused variable.
18417 * progmodes/cc-mode.el (interpreter-mode-alist):
18418 * progmodes/python.el (interpreter-mode-alist):
18419 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
18420 * progmodes/sh-script.el (sh-set-shell):
18421 No longer use interpreter-mode-alist to get list of shells.
18423 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
18425 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
18427 * simple.el: Use set-temporary-overlay-map for universal-argument.
18428 (universal-argument-map): Don't use default-bindings (bug#15317).
18429 Bind switch-frame explicitly. Replace universal-argument-minus with
18430 a conditional binding.
18431 (universal-argument-num-events, saved-overriding-map): Remove.
18432 (restore-overriding-map): Remove.
18433 (universal-argument--mode): Rename from save&set-overriding-map,
18435 (universal-argument, universal-argument-more, negative-argument)
18436 (digit-argument): Adjust accordingly.
18437 (universal-argument-minus): Remove.
18438 (universal-argument-other-key): Remove.
18440 * subr.el (with-demoted-errors): Add `format' argument.
18442 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
18444 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
18445 `tramp-cleanup-connection'.
18447 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
18448 parameters KEEP-DEBUG and KEEP-PASSWORD.
18450 * net/tramp.el (tramp-file-name-handler):
18451 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18452 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
18453 (tramp-maybe-open-connection):
18454 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18455 Use `tramp-cleanup-connection'.
18457 * net/tramp-sh.el (tramp-maybe-open-connection):
18458 Catch 'uname-changed inside the progress reporter.
18460 2013-09-10 Glenn Morris <rgm@gnu.org>
18462 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
18464 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
18465 returns "alternate access method" in mode (eg "-rw-r--r--.").
18467 2013-09-08 Glenn Morris <rgm@gnu.org>
18469 * saveplace.el (load-save-place-alist-from-file):
18470 Demote errors. (Bug#15305)
18472 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
18474 Improve compatibility with older Emacsen, and XEmacs.
18476 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
18477 only if it is bound. It isn't for XEmacs.
18478 (with-tramp-progress-reporter): Do not let-bind `result'.
18479 This yields to scoping errors in XEmacs.
18480 (tramp-handle-make-auto-save-file-name): New function, moved from
18483 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
18484 for `make-auto-save-file-name'.
18485 (tramp-adb--gnu-switches-to-ash):
18486 Use `tramp-compat-replace-regexp-in-string'.
18488 * net/tramp-cache.el (tramp-cache-print): Call
18489 `substring-no-properties' only if it is bound. It isn't for XEmacs.
18491 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
18492 bound. It isn't for XEmacs.
18494 * net/tramp-compat.el (tramp-compat-copy-file):
18495 Catch `wrong-number-of-arguments' error.
18496 (tramp-compat-replace-regexp-in-string): New defun.
18498 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
18499 for `make-auto-save-file-name'.
18500 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
18502 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
18503 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
18504 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
18506 * net/tramp-gw.el (tramp-gw-open-network-stream):
18507 Use `tramp-compat-replace-regexp-in-string'.
18509 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
18510 Call `tramp-handle-make-auto-save-file-name'.
18511 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
18512 (tramp-sh-file-gvfs-monitor-dir-process-filter)
18513 (tramp-sh-file-inotifywait-process-filter):
18514 Use `tramp-compat-replace-regexp-in-string'.
18515 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
18517 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
18518 for `make-auto-save-file-name'.
18519 (tramp-smb-handle-copy-directory):
18520 Call `tramp-compat-replace-regexp-in-string'.
18521 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
18522 (tramp-smb-handle-copy-file): Improve error message.
18523 (tramp-smb-handle-rename-file): Rename directly only in case
18524 `newname' does not exist yet. This is a restriction of smbclient.
18525 (tramp-smb-maybe-open-connection): Rerun the function only when
18526 `auth-sources' is non-nil.
18528 2013-09-08 Kenichi Handa <handa@gnu.org>
18530 * international/characters.el: Set category "^" (Combining) for
18533 2013-09-07 Alan Mackenzie <acm@muc.de>
18535 Correctly fontify Java class constructors.
18536 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
18538 (c-recognize-typeless-decls): Set the Java value to t.
18539 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
18540 While handling a "(", add a check for, effectively, Java, and handle a
18541 "typeless" declaration there.
18543 2013-09-07 Roland Winkler <winkler@gnu.org>
18545 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
18546 field subtitle for entry type book.
18548 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
18550 * minibuffer.el: Make minibuffer-complete call completion-in-region
18551 rather than other way around.
18552 (completion--some, completion-pcm--find-all-completions):
18553 Don't delay signals when debugging.
18554 (minibuffer-completion-contents): Beware fields within the
18555 minibuffer contents.
18556 (completion-all-sorted-completions): Use defvar-local.
18557 (completion--do-completion, completion--cache-all-sorted-completions)
18558 (completion-all-sorted-completions, minibuffer-force-complete):
18559 Add args `beg' and `end'.
18560 (completion--in-region-1): New fun, extracted from minibuffer-complete.
18561 (minibuffer-complete): Use completion-in-region.
18562 (completion-complete-and-exit): New fun, extracted from
18563 minibuffer-complete-and-exit.
18564 (minibuffer-complete-and-exit): Use it.
18565 (completion--complete-and-exit): Rename from
18566 minibuffer--complete-and-exit.
18567 (completion-in-region--single-word): New function, extracted from
18568 minibuffer-complete-word.
18569 (minibuffer-complete-word): Use it.
18570 (display-completion-list): Make `common-substring' argument obsolete.
18571 (completion--in-region): Call completion--in-region-1 instead of
18572 minibuffer-complete.
18573 (completion-help-at-point): Pass boundaries to
18574 minibuffer-completion-help as args rather than via an overlay.
18575 (completion-pcm--string->pattern): Use `any-delim'.
18576 (completion-pcm--optimize-pattern): New function.
18577 (completion-pcm--pattern->regex): Handle `any-delim'.
18578 * icomplete.el (icomplete-forward-completions)
18579 (icomplete-backward-completions, icomplete-completions):
18580 Adjust calls to completion-all-sorted-completions and
18581 completion--cache-all-sorted-completions.
18582 (icomplete-with-completion-tables): Default to t.
18583 * emacs-lisp/crm.el (crm--current-element): Rename from
18584 crm--select-current-element. Don't put an overlay but return the
18585 boundaries instead.
18586 (crm--completion-command): Take two new args to bind to the boundaries.
18587 (crm-completion-help): Adjust accordingly.
18588 (crm-complete): Use completion-in-region.
18589 (crm-complete-word): Use completion-in-region--single-word.
18590 (crm-complete-and-exit): Use completion-complete-and-exit.
18592 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
18594 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
18597 2013-09-06 Juri Linkov <juri@jurta.org>
18599 * info.el (Info-display-images-node): When image file doesn't exist
18600 display text version of the image if it's provided in the Info file.
18601 Otherwise, display the location of missing image from SRC attribute.
18602 Add help-echo text property from ALT attribute. (Bug#15279)
18604 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
18606 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
18607 (edit-abbrevs-mode): Use define-derived-mode.
18609 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
18610 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
18612 (epa-key-list-mode, epa-key-mode, epa-info-mode):
18613 Use define-derived-mode.
18615 * epg.el (epg-start-encrypt): Minor CSE simplification.
18617 2013-09-06 William Xu <william.xwl@gmail.com>
18619 * arc-mode.el: Add support for 7za (bug#15264).
18620 (archive-7z-program): New var.
18621 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
18622 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
18623 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
18625 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
18629 * net/tramp.el (tramp-syntax, tramp-prefix-format)
18630 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
18631 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
18632 (tramp-postfix-host-format, tramp-file-name-regexp)
18633 (tramp-completion-file-name-regexp)
18634 (tramp-completion-dissect-file-name)
18635 (tramp-handle-substitute-in-file-name): Remove 'url case.
18636 (tramp-file-name-regexp-url)
18637 (tramp-completion-file-name-regexp-url): Remove constants.
18639 2013-09-06 Glenn Morris <rgm@gnu.org>
18641 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
18643 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
18645 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
18646 keywords" below "here-doc beginnings" (Bug#15270).
18648 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
18650 * subr.el (pop): Use `car-safe'.
18651 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
18652 to detect unused `pop' return value.
18654 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
18655 var `block-regexp'.
18656 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
18657 (python-fill-string): Remove unused var `marker'.
18658 (python-skeleton-add-menu-items): Remove unused var `items'.
18660 * international/mule-cmds.el: Require CL.
18661 (find-coding-systems-for-charsets): Avoid add-to-list.
18662 (sanitize-coding-system-list): New function, extracted from
18663 select-safe-coding-system-interactively.
18664 (select-safe-coding-system-interactively): Use it.
18665 (read-input-method-name): Accept symbols for `default'.
18667 * emacs-lisp/advice.el (defadvice): Add indent rule.
18669 2013-09-05 Daniel Hackney <dan@haxney.org>
18673 * net/browse-url.el:
18676 * net/eudcb-ldap.el:
18680 * vc/ediff-diff.el:
18681 * vc/ediff-init.el:
18682 * vc/ediff-merg.el:
18683 * vc/ediff-mult.el:
18684 * vc/ediff-util.el:
18685 * vc/ediff-wind.el:
18689 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
18690 byte compiler. Remove some unused let-bound variables.
18692 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
18694 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
18695 a "ref-cell", since it gets better optimized (bug#14883).
18697 2013-09-05 Glenn Morris <rgm@gnu.org>
18699 * progmodes/cc-awk.el (c-forward-sws): Declare.
18701 2013-09-04 Glenn Morris <rgm@gnu.org>
18703 * generic-x.el [rul-generic-mode]: Require cc-mode.
18704 (c++-mode-syntax-table): Declare.
18705 (rul-generic-mode-syntax-table): Init in the defvar.
18707 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
18709 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
18710 (vc-do-command, vc-set-async-update):
18711 * vc/vc-mtn.el (vc-mtn-dir-status):
18712 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
18713 (vc-hg-pull, vc-hg-merge-branch):
18714 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
18715 (vc-git-merge-branch):
18716 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
18717 (vc-cvs-dir-status-files):
18718 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
18719 (vc-bzr-dir-status-files):
18720 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
18721 * vc/vc-annotate.el: Use lexical-binding.
18722 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
18723 (vc-sentinel-movepoint): Declare.
18724 (vc-annotate): Don't use `goto-line'.
18725 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
18726 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
18727 (vc-sentinel-movepoint): Declare.
18728 * vc/vc-svn.el: Use lexical-binding.
18729 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
18731 * vc/vc-rcs.el: Use lexical-binding.
18733 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
18734 `deleted'. Don't drop errors silently.
18736 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
18738 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
18740 * vc/vc.el (vc-ignore): Rewrite.
18741 (vc-default-ignore): New function.
18742 (vc-default-ignore-completion-table): Use find-ignore-file.
18744 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
18745 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
18746 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
18747 Remove. Most code moved to vc.el.
18749 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
18751 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
18752 * net/tramp-smb.el (tramp-smb-get-file-entries):
18753 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
18754 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
18756 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
18758 (eww-change-select): Remove unused var `properties'.
18759 (eww-make-unique-file-name): Remove unused var `base'.
18761 * finder.el (finder-compile-keywords): Don't mess with windows.
18763 * calculator.el (calculator-funcall): Fix typo in last change.
18765 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
18767 * emacs-lisp/package.el (package-activate-1): Don't let a missing
18768 <pkg>-autoloads.el file stop us.
18770 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
18771 warnings, and factor out common code.
18773 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
18775 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
18776 two-character operators and whether the character preceding them
18777 changes their meaning (Bug#15208).
18779 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
18781 Format code sent to Python shell for robustness.
18782 * progmodes/python.el (python-shell-buffer-substring):
18784 (python-shell-send-region, python-shell-send-buffer): Use it.
18786 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
18788 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
18789 * net/tramp.el (tramp-user-error): ... here.
18790 (tramp-find-method, tramp-check-proper-host)
18791 (tramp-dissect-file-name, tramp-debug-message)
18792 (tramp-handle-shell-command):
18793 * net/tramp-adb.el (tramp-adb-handle-shell-command):
18794 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
18796 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
18798 2013-09-02 Martin Rudalics <rudalics@gmx.at>
18800 * avoid.el (mouse-avoidance-point-position)
18801 (mouse-avoidance-too-close-p): Handle case where posn-at-point
18804 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
18806 * progmodes/python.el (python-shell-completion-get-completions):
18807 Drop use of deleted `comint-last-prompt-overlay'.
18808 (python-nav-if-name-main): New command.
18810 2013-09-01 Glenn Morris <rgm@gnu.org>
18812 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
18813 Avoid leading space in $wins. Otherwise the sed command used by
18814 eg compile-main ends up containing "/*.el". (Bug#15170)
18816 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
18818 2013-08-30 Glenn Morris <rgm@gnu.org>
18820 * emacs-lisp/bytecomp.el (byte-recompile-directory):
18821 Fix is-this-a-directory logic. (Bug#15220)
18823 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
18825 * textmodes/css-mode.el: Use SMIE.
18826 (css-smie-grammar): New var.
18827 (css-smie--forward-token, css-smie--backward-token)
18828 (css-smie-rules): New functions.
18829 (css-mode): Use them.
18830 (css-navigation-syntax-table): Remove var.
18831 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
18832 (css-indent-calculate, css-indent-line): Remove functions.
18834 Misc changes to reduce use of `(lambda...); and other cleanups.
18835 * cus-edit.el: Use lexical-binding.
18836 (customize-push-and-save, customize-apropos)
18837 (custom-buffer-create-internal): Use closures.
18838 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
18839 * progmodes/ada-xref.el: Use setq.
18840 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
18841 * dframe.el: Use lexical-binding.
18842 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
18843 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
18844 * descr-text.el: Use lexical-binding.
18845 (describe-text-widget, describe-text-sexp, describe-property-list):
18847 * comint.el (comint-history-isearch-push-state): Use a closure.
18848 * calculator.el: Use lexical-binding.
18849 (calculator-number-to-string): Make it work with lexical-binding.
18850 (calculator-funcall): Same and use cl-letf.
18852 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
18853 (lisp--company-doc-string, lisp--company-location): New functions.
18854 (lisp-completion-at-point): Use them to improve Company support.
18856 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
18857 params of lambda expressions.
18858 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
18859 (ruby-smie--opening-pipe-p): New function.
18860 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
18861 symbols and matched |...| for formal params.
18862 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
18863 from being treated as hanging. Handle "rescue".
18865 2013-08-29 Glenn Morris <rgm@gnu.org>
18867 * progmodes/cc-engine.el (c-pull-open-brace):
18868 Move definition before use.
18870 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
18872 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
18873 are immutable. Don't use `unsafe' any more.
18874 (cl--defsubst-expand): Don't substitute at the same time as keeping
18875 a residual unused let-binding. Don't use `unsafe' any more.
18877 2013-08-29 Glenn Morris <rgm@gnu.org>
18879 * calendar/cal-china.el (calendar-chinese-year-cache):
18882 * nxml/nxml-util.el (nxml-debug-clear-inside):
18883 Use cl-loop rather than loop.
18885 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
18887 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
18889 2013-08-28 Glenn Morris <rgm@gnu.org>
18891 * progmodes/antlr-mode.el: No need to require cc-mode twice.
18893 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
18895 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
18897 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18899 * simple.el (repeat-complex-command--called-interactively-skip):
18901 (repeat-complex-command): Use it (bug#14136).
18903 * progmodes/cc-mode.el: Minor cleanup of var declarations.
18904 (c-define-abbrev-table): Add `doc' argument.
18905 (c-mode-abbrev-table, c++-mode-abbrev-table)
18906 (objc-mode-abbrev-table, java-mode-abbrev-table)
18907 (idl-mode-abbrev-table, pike-mode-abbrev-table)
18908 (awk-mode-abbrev-table): Use it.
18909 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
18910 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
18911 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
18912 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
18913 Move initialization into the declaration; and remove any
18916 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
18917 and dynamic let binding.
18919 * vc/smerge-mode.el: Remove redundant :group args.
18921 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
18924 2013-08-28 Juri Linkov <juri@jurta.org>
18926 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
18927 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
18928 (isearch-other-meta-char): Handle an undefined shifted printing
18929 character by downshifting it. (Bug#15200)
18931 2013-08-28 Juri Linkov <juri@jurta.org>
18933 * isearch.el (isearch-search): Change regexp error message for
18934 non-regexp searches. (Bug#15166)
18936 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
18938 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
18939 for portability to hosts where /bin/sh has problems.
18941 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18943 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
18945 2013-08-27 Juri Linkov <juri@jurta.org>
18947 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
18948 in the keyboard macro. (Bug#15126)
18950 2013-08-27 Juri Linkov <juri@jurta.org>
18952 * isearch.el (isearch-quote-char): Comment out converting unibyte
18953 to multibyte, thus syncing with its `quoted-insert' counterpart.
18956 2013-08-27 Martin Rudalics <rudalics@gmx.at>
18958 * window.el (display-buffer-use-some-window): Add missing
18959 argument in call of get-largest-window (Bug#15185).
18960 Reported by Stephen Leake.
18962 2013-08-27 Glenn Morris <rgm@gnu.org>
18964 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
18966 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
18968 * progmodes/python.el (python-font-lock-keywords): Don't return nil
18969 from a matcher-function unless there's no more matches (bug#15161).
18971 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18973 * minibuffer.el: Revert change from 2013-08-20.
18975 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
18976 with text property `tramp-default', if appropriate.
18977 (tramp-check-proper-host): New defun.
18978 (tramp-dissect-file-name): Do not check hostname. Revert change
18980 (tramp-backtrace): Make VEC-OR-PROC optional.
18982 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18983 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18984 * net/tramp-sh.el (tramp-maybe-open-connection):
18985 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18986 Apply `tramp-check-proper-host'.
18988 2013-08-26 Tassilo Horn <tsdh@gnu.org>
18990 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
18991 lambda expression in order to have `describe-variable' display it.
18993 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18995 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
18996 BUF can be optional. (Bug#15186)
18998 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
19000 * progmodes/flymake.el (flymake-get-real-file-name-function):
19001 Fix broken customization. (Bug#15184)
19003 2013-08-25 Alan Mackenzie <acm@muc.de>
19005 Improve indentation of bracelists defined by macros (without "=").
19007 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
19008 expansion begins with "{", regard it as bracelist when it doesn't
19011 Parse C++ inher-intro when there's a template split over 2 lines.
19013 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
19014 rigorously the search for "class" etc. followed by ":".
19016 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
19017 random languages a regexp which never matches rather than nil.
19019 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
19021 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
19022 (c-awk-regexp-one-line-possibly-open-char-list-re)
19023 (c-awk-one-line-possibly-open-regexp-re)
19024 (c-awk-one-line-non-syn-ws*-re): Remove.
19025 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
19026 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
19027 (c-awk-space*-unclosed-regexp-/-re): New constants.
19028 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
19029 aren't regexp delimiters.
19031 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
19032 handling for a rare situation in AWK Mode involving unterminated
19035 2013-08-23 Glenn Morris <rgm@gnu.org>
19037 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
19039 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
19041 * files.el (create-file-buffer): If the result would begin with
19042 spaces, prepend a "|" instead of removing them. (Bug#15162)
19044 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
19046 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
19047 text-properties (bug#15155).
19049 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
19051 (calc-keypad-redraw): Remove unused var `pad'.
19052 (calc-keypad-press): Remove unused var `menu'.
19054 2013-08-23 Martin Rudalics <rudalics@gmx.at>
19056 * window.el (display-buffer-pop-up-frame):
19057 Call pop-up-frame-function with BUFFER current so `make-frame' will
19058 use it as the new frame's buffer (Bug#15133).
19060 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
19062 * calendar/timeclock.el: Minor cleanups.
19063 (timeclock-ask-before-exiting, timeclock-use-display-time):
19065 (timeclock-modeline-display): Define as alias before the
19067 (timeclock-mode-line-display): Use define-minor-mode.
19068 (timeclock-day-list-template): Make it a function, add an argument.
19069 (timeclock-day-list-required, timeclock-day-list-length)
19070 (timeclock-day-list-debt, timeclock-day-list-span)
19071 (timeclock-day-list-break): Adjust calls accordingly.
19073 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
19075 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
19076 Use read--expression so that completion works again.
19078 2013-08-21 Sam Steingold <sds@gnu.org>
19080 Add rudimentary inferior shell interaction
19081 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
19082 (sh-set-shell): Reset it.
19083 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
19084 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
19086 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
19088 * align.el: Use lexical-binding.
19089 (align-region): Simplify accordingly.
19091 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
19093 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
19095 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
19096 `non-essential' up.
19098 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
19101 * net/tramp-adb.el:
19102 * net/tramp-cmds.el:
19103 * net/tramp-ftp.el:
19104 * net/tramp-gvfs.el:
19106 * net/tramp-sh.el: Don't wrap external variable declarations by
19107 `eval-when-compile'.
19109 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
19111 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
19112 now that Emacs supports ImageMagick animations.
19114 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
19116 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
19117 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
19119 2013-08-16 Martin Rudalics <rudalics@gmx.at>
19121 * window.el (mouse-autoselect-window-select): Do autoselect when
19122 mouse pointer is on margin.
19124 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
19126 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
19128 2013-08-16 Glenn Morris <rgm@gnu.org>
19130 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
19131 Handle "Remote Directory" response of some clients. (Bug#15058)
19133 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
19134 Tweak warning. (Bug#14926)
19136 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
19137 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
19139 * image-mode.el (image-mode-map): Add menu items to reverse,
19140 increase, decrease, reset animation speed.
19141 (image--set-speed, image-increase-speed, image-decrease-speed)
19142 (image-reverse-speed, image-reset-speed): New functions.
19143 (image-mode-map): Add bindings for speed commands.
19145 * image.el (image-animate-get-speed, image-animate-set-speed):
19147 (image-animate-timeout): Respect image :speed property.
19149 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
19151 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
19152 previous line (bug#15101).
19153 (debugger-eval-expression, debugger-record-expression):
19154 Use read--expression (bug#15102).
19156 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
19158 Remove byte compiler warnings, visible when compiling with
19159 `byte-compile-force-lexical-warnings' set to t.
19161 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
19162 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
19163 (tramp-handle-unhandled-file-name-directory)
19164 (tramp-handle-file-notify-add-watch, tramp-action-login)
19165 (tramp-action-succeed, tramp-action-permission-denied)
19166 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
19167 arguments with "_".
19169 * net/tramp-adb.el (tramp-adb-parse-device-names)
19170 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
19171 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
19172 (tramp-adb-handle-file-truename): Remove unused arguments.
19174 * net/tramp-cache.el (tramp-flush-directory-property)
19175 (tramp-flush-connection-property, tramp-list-connections)
19176 (tramp-parse-connection-properties): Prefix unused arguments with "_".
19178 * net/tramp-compat.el (tramp-compat-make-temp-file):
19179 Rename FILENAME to F.
19181 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
19182 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
19183 (tramp-zeroconf-parse-workstation-device-names)
19184 (tramp-zeroconf-parse-webdav-device-names)
19185 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
19187 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
19188 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
19190 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
19192 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
19193 (tramp-sh-handle-insert-file-contents-literally)
19194 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
19196 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
19197 Remove unused variables.
19199 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
19200 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
19201 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
19203 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
19204 Make them a defconst.
19205 (tramp-uuencode-region): Remove unused variable.
19207 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
19209 * frameset.el (frameset--prop-setter): New function.
19210 (frameset-prop): Add gv-setter declaration.
19211 (frameset-filter-minibuffer): Deal with the case that the minibuffer
19212 parameter was already set in FILTERED. Doc fix.
19213 (frameset--record-minibuffer-relationships): Allow saving a
19214 minibufferless frame without its corresponding minibuffer frame.
19215 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
19216 frame, if the frame id matches.
19217 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
19218 frames before orphaned ones.
19219 (frameset-restore): Warn about orphaned windows, instead of error out.
19221 2013-08-14 Martin Rudalics <rudalics@gmx.at>
19223 * window.el (window-make-atom): Don't overwrite parameter
19225 (display-buffer-in-atom-window): Handle special case where we
19226 split an already atomic window.
19227 (window--major-non-side-window, display-buffer-in-side-window)
19228 (window--side-check): Ignore minibuffer window when walking
19230 (window-deletable-p): Return 'frame only if no other frame uses
19231 our minibuffer window.
19232 (record-window-buffer): Run buffer-list-update-hook.
19233 (split-window): Make sure window--check-frame won't destroy an
19234 existing atomic window in case the new window gets nested
19236 (display-buffer-at-bottom): Ignore minibuffer window when
19237 walking window tree. Don't split a side window.
19238 (pop-to-buffer): Don't set-buffer here, the select-window call
19240 (mouse-autoselect-window-select): Autoselect only if we are in the
19241 text portion of the window.
19243 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
19245 * net/shr.el (shr-parse-image-data): New function to grab both the
19246 data itself and the Content-Type.
19247 (shr-put-image): Use it.
19249 * net/eww.el (eww-display-image): Ditto.
19251 * image.el (image-content-type-suffixes): New variable.
19253 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
19255 * progmodes/python.el (python-imenu--build-tree)
19256 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
19258 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
19260 * simple.el (backward-word): Mention the optional argument.
19262 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
19264 * frameset.el (frameset--make): Rename constructor from make-frameset.
19265 (frameset-p, frameset-valid-p): Don't autoload.
19266 (frameset-valid-p): Use normal accessors.
19268 2013-08-13 Glenn Morris <rgm@gnu.org>
19270 * progmodes/compile.el (compile-command): Tweak example in doc.
19271 * obsolete/scribe.el (scribe-mode):
19272 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
19274 * mail/feedmail.el (feedmail-confirm-outgoing)
19275 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
19277 * cus-start.el (truncate-partial-width-windows): Fix type.
19279 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
19281 * net/shr.el (shr-table-horizontal-line): Fix custom type.
19283 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
19285 * emacs-lisp/timer.el (timer--time-setter): New function.
19286 (timer--time): Use it as gv-setter.
19288 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
19289 setter is not a symbol.
19291 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
19293 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
19294 if sending fails. This makes debugging easier.
19296 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
19298 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
19299 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
19300 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
19302 2013-08-12 Eli Zaretskii <eliz@gnu.org>
19304 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
19306 2013-08-12 Glenn Morris <rgm@gnu.org>
19308 * format.el (format-annotate-function):
19309 Handle read-only text properties in the source. (Bug#14887)
19311 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
19313 * net/eww.el (eww-display-html): Ignore coding system errors.
19314 One web site uses "utf-8lias" as the coding system.
19316 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
19318 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
19320 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
19322 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
19323 (tutorial--detailed-help): Remove unused local variables.
19324 (tutorial--save-tutorial-to): Use ignore-errors.
19325 (help-with-tutorial): Use looking-at-p.
19327 * view.el (view-buffer-other-window, view-buffer-other-frame):
19328 Mark unused arguments.
19330 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
19331 (woman-select-symbol-fonts, woman, woman-find-file)
19332 (woman-insert-file-contents, woman-non-underline-faces):
19333 Use string-match-p.
19334 (woman1-unquote): Move declaration.
19336 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
19337 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
19338 argument. Remove unused local variable.
19339 (xml-parse-elem-type): Use string-match-p.
19340 (xml-substitute-numeric-entities): Use ignore-errors.
19342 * calculator.el (calculator): Mark unused argument.
19343 (calculator-paste, calculator-quit, calculator-integer-p):
19345 (calculator-string-to-number, calculator-decimal, calculator-exp)
19346 (calculator-op-or-exp): Use string-match-p.
19348 * dired.el (dired-buffer-more-recently-used-p): Declare.
19349 (dired-insert-set-properties, dired-insert-old-subdirs):
19352 * dired-aux.el (dired-compress): Use ignore-errors.
19353 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
19354 (dired-do-async-shell-command, dired-do-shell-command)
19355 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
19356 (dired-insert-subdir-validate): Use string-match-p.
19357 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
19358 (dired-add-entry): Use string-match-p, looking-at-p.
19359 (dired-insert-subdir-newpos): Remove unused local variable.
19361 * filenotify.el (file-notify-callback): Remove unused local variable.
19363 * filesets.el (filesets-error): Mark unused argument.
19364 (filesets-which-command-p, filesets-filter-dir-names)
19365 (filesets-directory-files, filesets-get-external-viewer)
19366 (filesets-ingroup-get-data): Use string-match-p.
19368 * find-file.el (ff-other-file-name, ff-other-file-name)
19369 (ff-find-the-other-file, ff-cc-hh-converter):
19370 Remove unused local variables.
19371 (ff-get-file-name): Use string-match-p.
19372 (ff-all-dirs-under): Use ignore-errors.
19374 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
19375 (follow-select-if-visible): Remove unused local variable.
19377 * forms.el (read-file-filter): Move declaration.
19378 (forms--make-format, forms--make-parser, forms-insert-record):
19379 Quote function with #'.
19380 (forms--update): Use string-match-p. Quote function with #'.
19382 * help-mode.el (help-dir-local-var-def): Mark unused argument.
19383 (help-make-xrefs): Use looking-at-p.
19384 (help-xref-on-pp): Use looking-at-p, ignore-errors.
19386 * ibuffer.el (ibuffer-ext-visible-p): Declare.
19387 (ibuffer-confirm-operation-on): Use string-match-p.
19389 * msb.el (msb-item-handler, msb-dired-item-handler):
19390 Mark unused arguments.
19392 * ses.el (ses-decode-cell-symbol)
19393 (ses-kill-override): Remove unused local variable.
19394 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
19395 (ses-load): Use ignore-errors, looking-at-p.
19396 (ses-jump-safe): Use ignore-errors.
19397 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
19399 * tabify.el (untabify, tabify): Mark unused arguments.
19401 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
19402 Mark unused argument.
19403 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
19404 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
19406 * emacs-lisp/timer.el (timer--time): Define setter with
19407 gv-define-setter to avoid deprecation warning.
19409 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
19410 (*record-cmpl-statistics-p*): Remove (was commented out).
19411 (cmpl-statistics-block): Remove (body was commented out).
19412 All callers changed.
19413 (add-completions-from-buffer, load-completions-from-file):
19414 Remove unused variables.
19416 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
19418 * filecache.el (file-cache-delete-file-list):
19419 Print message only when told so.
19420 (file-cache-files-matching): Use #' in mapconcat argument.
19422 * ffap.el (ffap-url-at-point): Fix reference to variable
19423 thing-at-point-default-mail-uri-scheme.
19425 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
19427 * subr.el (define-error): New function.
19428 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
19429 error-file-not-found and define with define-error.
19430 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
19431 and define with define-error.
19432 * userlock.el (file-locked, file-supersession):
19433 * simple.el (mark-inactive):
19434 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
19435 * progmodes/ada-mode.el (ada-mode-errors):
19436 * play/life.el (life-extinct):
19437 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
19438 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
19439 * nxml/rng-util.el (rng-error):
19440 * nxml/rng-uri.el (rng-uri-error):
19441 * nxml/rng-match.el (rng-compile-error):
19442 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
19443 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
19444 * nxml/nxml-rap.el (nxml-scan-error):
19445 * nxml/nxml-outln.el (nxml-outline-error):
19446 * net/soap-client.el (soap-error):
19447 * net/gnutls.el (gnutls-error):
19448 * net/ange-ftp.el (ftp-error):
19449 * mpc.el (mpc-proc-error):
19450 * json.el (json-error, json-readtable-error, json-unknown-keyword)
19451 (json-number-format, json-string-escape, json-string-format)
19452 (json-key-format, json-object-format):
19453 * jka-compr.el (compression-error):
19454 * international/quail.el (quail-error):
19455 * international/kkc.el (kkc-error):
19456 * emacs-lisp/ert.el (ert-test-failed):
19457 * calc/calc.el (calc-error, inexact-result, math-overflow)
19459 * bookmark.el (bookmark-error-no-filename):
19460 * epg.el (epg-error): Define with define-error.
19462 * time.el (display-time-event-handler)
19463 (display-time-next-load-average): Don't call sit-for since it seems
19464 unnecessary (bug#15045).
19466 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
19467 Use #' instead of ' to quote functions.
19468 (checkdoc-output-mode): Use setq-local.
19469 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
19470 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
19471 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
19472 (checkdoc-ispell, checkdoc-ispell-current-buffer)
19473 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
19474 (checkdoc-ispell-message-text, checkdoc-ispell-start)
19475 (checkdoc-ispell-continue, checkdoc-ispell-comments)
19476 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
19478 * ido.el (ido-completion-help): Fix up compiler warning.
19480 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
19482 * frameset.el (frameset-p): Add autoload cookie.
19483 (frameset--jump-to-register): New function, based on code moved from
19485 (frameset-to-register): Move from register.el. Adapt to `registerv'.
19487 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
19488 (frameset-restore, frameset-save, frameset-session-filter-alist):
19489 Remove declarations.
19490 (register-alist): Doc fix.
19491 (frameset-to-register): Move to frameset.el.
19492 (jump-to-register, describe-register-1): Remove frameset-specific code.
19494 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19496 * allout-widgets.el (allout-widgets-pre-command-business)
19497 (allout-widgets-post-command-business)
19498 (allout-widgets-after-change-handler)
19499 (allout-decorate-item-and-context, allout-set-boundary-marker)
19500 (allout-body-modification-handler)
19501 (allout-graphics-modification-handler): Mark ignored arguments.
19502 (allout-widgets-post-command-business)
19503 (allout-widgets-exposure-change-processor)
19504 (allout-widgets-exposure-undo-processor)
19505 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
19506 (allout-parse-item-at-point, allout-decorate-item-guides)
19507 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
19508 * allout.el (epa-passphrase-callback-function): Declare.
19509 (allout-overlay-insert-in-front-handler)
19510 (allout-overlay-interior-modification-handler)
19511 (allout-isearch-end-handler, allout-chart-siblings)
19512 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
19513 (allout-yank-processing, allout-process-exposed)
19514 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
19515 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
19516 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
19517 (lisp-indent-defform): Mark ignored arguments.
19518 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
19519 (calculate-lisp-indent): Remove unused variables.
19520 * international/characters.el (indian-2-column, arabic-2-column)
19521 (tibetan): Mark ignored arguments.
19522 (use-cjk-char-width-table): Mark ignored arguments.
19523 Remove unused variables.
19524 * international/fontset.el (build-default-fontset-data)
19525 (x-compose-font-name, create-fontset-from-fontset-spec):
19526 Mark ignored arguments.
19527 (fontset-plain-name): Remove unused variables.
19528 * international/mule.el (charset-id, charset-bytes, generic-char-p)
19529 (keyboard-coding-system): Mark ignored arguments.
19530 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
19531 * help.el (resize-temp-buffer-window):
19532 * window.el (display-buffer-in-major-side-window)
19533 (display-buffer-in-side-window, display-buffer-in-previous-window):
19534 Remove unused variables.
19535 * isearch.el (isearch-forward-symbol):
19536 * version.el (emacs-bzr-version-bzr):
19537 * international/mule-cmds.el (current-language-environment):
19538 * term/common-win.el (x-handle-iconic, x-handle-geometry)
19539 (x-handle-display):
19540 * term/pc-win.el (x-list-fonts, x-display-planes)
19541 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
19542 (x-server-version, x-display-screens, x-display-mm-height)
19543 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
19544 (x-selection-owner-p, x-own-selection-internal)
19545 (x-disown-selection-internal, x-get-selection-internal)
19546 (msdos-initialize-window-system):
19547 * term/tty-colors.el (tty-color-alist, tty-color-clear):
19548 * term/x-win.el (x-handle-no-bitmap-icon):
19549 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
19550 (vc-default-find-file-hook, vc-default-extra-menu):
19551 Mark ignored arguments.
19553 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
19555 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
19556 break-condition in the context of the debugged code (bug#12685).
19558 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
19561 Do not use an overlay to highlight the last prompt. (Bug#14744)
19562 (comint-mode): Make comint-last-prompt buffer local.
19563 (comint-last-prompt): New variable.
19564 (comint-last-prompt-overlay): Remove. Superseded by
19565 comint-last-prompt.
19566 (comint-snapshot-last-prompt, comint-output-filter):
19567 Use comint-last-prompt.
19569 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19571 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
19572 (frameset-save): Check validity of the resulting frameset.
19574 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
19576 * ido.el (ido-record-command): Add doc string.
19578 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19580 * frameset.el (frameset): Do not disable creation of the default
19581 frameset-p predicate. Doc fix.
19582 (frameset-valid-p): New function, copied from the old predicate-p.
19583 Add additional checks.
19584 (frameset-restore): Check with frameset-valid-p.
19585 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
19586 (frameset-name, frameset-description, frameset-properties)
19587 (frameset-states): Add docstring.
19588 (frameset-session-filter-alist, frameset-persistent-filter-alist)
19589 (frameset-filter-alist): Doc fixes.
19591 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19593 * frameset.el (frameset-p, frameset-prop): Doc fixes.
19595 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
19597 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
19598 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
19599 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
19600 (byte-compile-normal-call): Remove obsolescence check.
19602 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
19604 * frameset.el (frameset-restore): Doc fix.
19606 * register.el (frameset-frame-id, frameset-frame-with-id)
19607 (frameset-p, frameset-restore, frameset-save): Declare.
19608 (register-alist): Document framesets.
19609 (frameset-session-filter-alist): Declare.
19610 (frameset-to-register): New function.
19611 (jump-to-register): Implement jumping to framesets. Doc fix.
19612 (describe-register-1): Describe framesets.
19614 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
19616 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
19618 * desktop.el (desktop-save-frameset): Use new frameset-save args.
19619 Use lexical-binding.
19621 * frameset.el (frameset): Use type vector, not list (incompatible
19622 change). Do not declare a new constructor, use the default one.
19623 Upgrade suggested properties `app', `name' and `desc' to slots `app',
19624 `name' and `description', respectively, and add read-only slot
19625 `timestamp'. Doc fixes.
19626 (frameset-copy, frameset-persistent-filter-alist)
19627 (frameset-filter-alist, frameset-switch-to-gui-p)
19628 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
19629 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
19630 (frameset-filter-iconified, frameset-keep-original-display-p):
19632 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
19633 Rename from frameset-filter-(save|restore)-param. All callers changed.
19635 (frameset-p): Adapt to change to vector and be more thorough.
19636 Change arg name to OBJECT. Doc fix.
19637 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
19638 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
19639 All callers changed.
19640 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
19641 All callers changed.
19642 (frameset--record-minibuffer-relationships): Rename from
19643 frameset--process-minibuffer-frames. All callers changed.
19644 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
19645 Use new default constructor (again). Doc fix.
19646 (frameset--find-frame-if): Rename from `frameset--find-frame'.
19647 All callers changed.
19648 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
19649 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
19651 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
19652 PARAMETERS and WINDOW-STATE, respectively.
19653 (frameset-restore): Add new keyword argument PREDICATE.
19654 Reset frameset--target-display to nil. Doc fix.
19656 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
19658 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
19659 (bat-mode): Use it.
19660 (bat-mode-syntax-table): Mark \n as end-of-comment.
19661 (bat-font-lock-keywords): Remove comment rule.
19663 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
19664 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
19666 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
19667 (byte-compile-callargs-warn): Use `push'.
19668 (byte-compile-arglist-warn): Ignore higher-order "calls".
19669 (byte-compile-file-form-autoload): Use `pcase'.
19670 (byte-compile-function-form): If quoting a symbol, check that it exists.
19672 2013-08-07 Eli Zaretskii <eliz@gnu.org>
19674 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
19675 and add a few popular commands found in batch files.
19676 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
19677 (dos-mode): Doc fixes.
19679 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
19681 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
19682 (dos-mode): Use setq-local. Add space after "rem".
19683 (dos-mode-syntax-table): Don't use "w" for symbol chars.
19684 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
19686 2013-08-07 Arni Magnusson <arnima@hafro.is>
19688 * progmodes/dos.el: New file.
19689 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
19692 2013-08-06 Glenn Morris <rgm@gnu.org>
19694 * calendar/calendar.el: Add new faces, and day-header-array.
19695 (calendar-weekday-header, calendar-weekend-header)
19696 (calendar-month-header): New faces.
19697 (calendar-day-header-construct): New function.
19698 (calendar-day-header-width): Also :set calendar-day-header-array.
19699 (calendar-american-month-header, calendar-european-month-header)
19700 (calendar-iso-month-header): Use calendar- faces.
19701 (calendar-generate-month):
19702 Use calendar-day-header-array for day headers; apply faces to them.
19703 (calendar-mode): Check calendar-font-lock-keywords non-nil.
19704 (calendar-abbrev-construct): Add optional maxlen argument.
19705 (calendar-day-name-array): Doc fix.
19706 (calendar-day-name-array, calendar-abbrev-length)
19707 (calendar-day-abbrev-array):
19708 Also :set calendar-day-header-array, and maybe redraw.
19709 (calendar-day-header-array): New option. (Bug#15007)
19710 (calendar-font-lock-keywords): Set to nil and make obsolete.
19711 (calendar-day-name): Add option to use header array.
19713 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
19715 * net/shr.el (shr-render-td): Remove debugging.
19716 (shr-render-td): Make width computation consistent by defaulting
19717 all zero-width columns to 10 characters. This may not be optimal,
19718 but it's at least consistent.
19719 (shr-make-table-1): Redo last change to fix the real problem in
19722 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
19724 * files.el (cache-long-line-scans):
19725 Make obsolete alias to `cache-long-scans'.
19727 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
19729 * frameset.el (frameset, frameset-filter-alist)
19730 (frameset-filter-params, frameset-save, frameset--reuse-frame)
19731 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
19732 (frameset-compute-pos): Rename from frameset--compute-pos,
19734 (frameset-move-onscreen): Use frameset-compute-pos.
19735 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
19737 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
19738 Fix typos in docstrings.
19740 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
19742 * frame.el (get-other-frame): Tiny cleanup.
19744 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
19746 * vc/vc.el (vc-default-ignore-completion-table):
19747 Silence byte-compiler warning.
19749 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
19750 slot, which can indeed be nil.
19751 (frameset-live-filter-alist, frameset-persistent-filter-alist):
19752 Move entry for `left' from persistent to live filter alist.
19753 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
19755 (frameset-filter-params): When restoring a frame, copy items added to
19756 `filtered', to avoid unwittingly modifying the original parameters.
19757 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
19758 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
19760 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
19761 to use looking-at-p instead of looking-at. (Bug#15028)
19763 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
19765 Revert introduction of isearch-filter-predicates (bug#14714).
19766 Rely on add-function instead.
19767 * isearch.el (isearch-filter-predicates): Rename it back to
19768 isearch-filter-predicate.
19769 (isearch-message-prefix): Use advice-function-mapc and advice
19770 properties to get the isearch-message-prefix.
19771 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
19772 instead of run-hook-with-args-until-failure.
19773 (isearch-filter-visible): Not obsolete any more.
19774 * loadup.el: Preload nadvice.
19775 * replace.el (perform-replace): Revert to funcall
19776 instead of run-hook-with-args-until-failure.
19777 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
19778 * dired-aux.el (dired-isearch-filenames-mode): Rename from
19779 dired-isearch-filenames-toggle; make it into a proper minor mode.
19780 Use add/remove-function.
19781 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
19782 Call the minor-mode rather than add/remove-hook.
19783 (dired-isearch-filter-filenames):
19784 Remove isearch-message-prefix property.
19785 * info.el (Info--search-loop): New function, extracted from Info-search.
19786 Funcall isearch-filter-predicate instead of
19787 run-hook-with-args-until-failure isearch-filter-predicates.
19788 (Info-search): Use it.
19789 (Info-mode): Use isearch-filter-predicate instead of
19790 isearch-filter-predicates.
19792 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
19794 Do not call to `selected-window' where it is assumed by default.
19795 Affected functions are `window-minibuffer-p', `window-dedicated-p',
19796 `window-hscroll', `window-width', `window-height', `window-buffer',
19797 `window-frame', `window-start', `window-point', `next-window'
19798 and `window-display-table'.
19799 * abbrev.el (abbrev--default-expand):
19800 * bs.el (bs--show-with-configuration):
19801 * buff-menu.el (Buffer-menu-mouse-select):
19802 * calc/calc.el (calc):
19803 * calendar/calendar.el (calendar-generate-window):
19804 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
19805 (diary-make-entry):
19806 * comint.el (send-invisible, comint-dynamic-complete-filename)
19807 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
19808 * completion.el (complete):
19809 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
19810 * disp-table.el (describe-current-display-table):
19811 * doc-view.el (doc-view-insert-image):
19812 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
19813 * ehelp.el (with-electric-help):
19814 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
19815 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
19816 * emacs-lisp/helper.el (Helper-help-scroller):
19817 * emulation/cua-base.el (cua--post-command-handler-1):
19818 * eshell/esh-mode.el (eshell-output-filter):
19819 * ffap.el (ffap-gnus-wrapper):
19820 * help-macro.el (make-help-screen):
19821 * hilit-chg.el (highlight-compare-buffers):
19822 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
19823 * hl-line.el (global-hl-line-highlight):
19824 * icomplete.el (icomplete-simple-completing-p):
19825 * isearch.el (isearch-done):
19826 * jit-lock.el (jit-lock-stealth-fontify):
19827 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
19828 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
19829 * mpc.el (mpc-tagbrowser, mpc):
19830 * net/rcirc.el (rcirc-any-buffer):
19831 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
19832 * play/landmark.el (landmark-max-width, landmark-max-height):
19833 * play/zone.el (zone):
19834 * progmodes/compile.el (compilation-goto-locus):
19835 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
19836 * progmodes/etags.el (find-tag-other-window):
19837 * progmodes/fortran.el (fortran-column-ruler):
19838 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
19839 * progmodes/verilog-mode.el (verilog-point-text):
19840 * reposition.el (reposition-window):
19841 * rot13.el (toggle-rot13-mode):
19842 * server.el (server-switch-buffer):
19843 * shell.el (shell-dynamic-complete-command)
19844 (shell-dynamic-complete-environment-variable):
19845 * simple.el (insert-buffer, set-selective-display)
19846 (delete-completion-window):
19847 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
19848 (speedbar-recenter):
19849 * startup.el (fancy-splash-head):
19850 * textmodes/ispell.el (ispell-command-loop):
19851 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
19852 * tutorial.el (help-with-tutorial):
19853 * vc/add-log.el (add-change-log-entry):
19854 * vc/compare-w.el (compare-windows):
19855 * vc/ediff-help.el (ediff-indent-help-message):
19856 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
19857 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
19858 (ediff-setup-control-frame):
19859 * vc/emerge.el (emerge-position-region):
19860 * vc/pcvs-util.el (cvs-bury-buffer):
19861 * window.el (walk-windows, mouse-autoselect-window-select):
19862 * winner.el (winner-set-conf, winner-undo): Related users changed.
19864 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
19866 * frameset.el (frameset--set-id): Doc fix.
19867 (frameset-frame-id, frameset-frame-id-equal-p)
19868 (frameset-locate-frame-id): New functions.
19869 (frameset--process-minibuffer-frames, frameset--reuse-frame)
19870 (frameset-restore): Use them.
19872 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
19874 Do not call to `selected-frame' where it is assumed by default.
19875 Affected functions are `raise-frame', `redraw-frame',
19876 `frame-first-window', `frame-terminal' and `delete-frame'.
19877 * calendar/appt.el (appt-disp-window):
19878 * epg.el (epg-wait-for-completion):
19879 * follow.el (follow-delete-other-windows-and-split)
19880 (follow-avoid-tail-recenter):
19881 * international/mule.el (set-terminal-coding-system):
19882 * mail/rmail.el (rmail-mail-return):
19883 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
19884 * progmodes/f90.el (f90-add-imenu-menu):
19885 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
19886 * server.el (server-switch-buffer):
19887 * simple.el (delete-completion-window):
19889 * term/xterm.el (terminal-init-xterm-modify-other-keys)
19890 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
19891 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
19892 * vc/ediff.el (ediff-documentation): Related users changed.
19893 * frame.el (selected-terminal): Remove the leftover.
19895 2013-08-05 Glenn Morris <rgm@gnu.org>
19897 * calendar/calendar.el (calendar-generate-month):
19898 Fix for calendar-column-width != 1 + calendar-day-digit-width.
19899 (calendar-generate-month, calendar-font-lock-keywords):
19900 Fix for calendar-day-header-width > length of any day name.
19902 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
19904 * desktop.el (desktop-clear): Use new name of sort predicate.
19906 * frameset.el (frameset): Add docstring. Move :version property to its
19907 own `version' slot.
19908 (frameset-copy): Rename from copy-frameset.
19909 (frameset-p): Check more thoroughly.
19910 (frameset-prop): Do not check for :version, which is no longer a prop.
19911 (frameset-live-filter-alist, frameset-persistent-filter-alist):
19912 Use new :never value instead of t.
19913 (frameset-filter-alist): Expand and clarify docstring.
19914 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
19915 (frameset-filter-minibuffer, frameset-filter-save-param)
19916 (frameset-filter-restore-param, frameset-filter-iconified):
19917 Add pointer to docstring of frameset-filter-alist.
19918 (frameset-filter-params): Rename filter values to be more meaningful:
19919 :never instead of t, and reverse the meanings of :save and :restore.
19920 (frameset--process-minibuffer-frames): Clarify error message.
19921 (frameset-save): Avoid unnecessary and confusing call to framep.
19922 Use new BOA constructor for framesets.
19923 (frameset--reuse-list): Doc fix.
19924 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
19925 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
19926 (frameset-minibufferless-first-p): Doc fix.
19927 Rename from frameset-sort-frames-for-deletion.
19928 (frameset-restore): Doc fixes. Use new function names.
19929 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
19931 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19933 * desktop.el (desktop-restore-forces-onscreen)
19934 (desktop-restore-reuses-frames): Document :keyword constant values.
19935 (desktop-filter-parameters-alist): Remove, now identical to
19936 frameset-filter-alist.
19937 (desktop--filter-tty*): Remove, moved to frameset.el.
19938 (desktop-save-frameset, desktop-restore-frameset):
19939 Do not pass :filters argument.
19941 * frameset.el (frameset-live-filter-alist)
19942 (frameset-persistent-filter-alist): New variables.
19943 (frameset-filter-alist): Use them. Add autoload cookie.
19944 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
19945 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
19946 `frameset--id' (it's supposed to be internal to frameset.el).
19947 (frameset--process-minibuffer-frames): Ditto. Doc fix.
19948 (frameset--initial-params): New function.
19949 (frameset--get-frame): Use it. Doc fix.
19950 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
19951 Accept :all, not 'all.
19952 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
19953 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
19954 with fbound symbols. Fix frame id matching, and remove matching ids if
19955 the frame being restored is deleted. Obey :delete.
19957 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19959 * subr.el (macrop): New function.
19960 (text-clone--maintaining): New var.
19961 (text-clone--maintain): Rename from text-clone-maintain. Use it
19962 instead of inhibit-modification-hooks.
19964 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
19965 a proxy, so as handle autoloads and redefinitions of the target.
19966 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
19968 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
19969 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
19970 (pcase--mutually-exclusive-p): New function.
19971 (pcase--split-consp): Use it.
19972 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
19973 mutually exclusive with the current predicate.
19975 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
19976 (edebug-macrop): Remove. Use `macrop' instead.
19977 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
19979 * eshell/esh-cmd.el (eshell-macrop):
19980 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
19982 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19984 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
19985 (advice-mapc): New function, using it.
19986 (advice-function-member-p): New function.
19987 (advice--normalize): Store the cdr in advice--saved-rewrite since
19988 that's the part that will be changed.
19989 (advice--symbol-function): New function.
19990 (advice-remove): Handle removal before the function is defined.
19991 Adjust to new advice--saved-rewrite.
19992 (advice-member-p): Use advice-function-member-p and
19993 advice--symbol-function.
19995 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19997 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
19998 (frameset-filter-minibuffer): Doc fix.
19999 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
20000 (frameset--set-id, frameset--process-minibuffer-frames)
20001 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
20002 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
20004 * desktop.el (desktop-clear): Only delete frames when called
20005 interactively and desktop-restore-frames is non-nil. Doc fix.
20006 (desktop-read): Set desktop-saved-frameset to nil.
20008 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
20010 * vc/vc.el (vc-ignore): Rewrite.
20011 (vc-default-ignore-completion-table, vc--read-lines)
20012 (vc--add-line, vc--remove-regexp): New functions.
20014 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
20015 (vc-svn-ignore-completion-table): New function.
20017 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
20018 (vc-hg-ignore-completion-table)
20019 (vc-hg-find-ignore-file): New functions.
20021 * vc/vc-git.el (vc-git-ignore): Rewrite.
20022 (vc-git-ignore-completion-table)
20023 (vc-git-find-ignore-file): New functions.
20025 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
20027 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
20028 (vc-bzr-ignore-completion-table)
20029 (vc-bzr-find-ignore-file): New functions.
20031 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
20033 * frameset.el (frameset-prop): New function and setter.
20034 (frameset-save): Do not modify frame list passed by the caller.
20036 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
20038 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
20040 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
20042 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
20043 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
20045 * custom.el (custom-initialize-default, custom-initialize-set)
20046 (custom-initialize-reset, custom-initialize-changed): Affect the
20047 toplevel-default-value (bug#6275, bug#14586).
20048 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
20051 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
20053 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
20054 Add cl-def* expressions.
20056 * frameset.el (frameset-filter-params): Fix order of arguments.
20058 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
20060 Move code related to saving frames to frameset.el.
20061 * desktop.el: Require frameset.
20062 (desktop-restore-frames): Doc fix.
20063 (desktop-restore-reuses-frames): Rename from
20064 desktop-restoring-reuses-frames.
20065 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
20066 (desktop-clear): Clear frames too.
20067 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
20068 (desktop--filter-tty*, desktop-save, desktop-read):
20069 Use frameset functions.
20070 (desktop-before-saving-frames-functions, desktop--filter-*-color)
20071 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
20072 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
20073 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
20074 (desktop--process-minibuffer-frames, desktop-save-frames)
20075 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
20076 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
20077 (desktop--sort-states, desktop-restoring-frames-p)
20078 (desktop-restore-frames): Remove. Most code moved to frameset.el.
20079 (desktop-restoring-frameset-p, desktop-restore-frameset)
20080 (desktop--check-dont-save, desktop-save-frameset): New functions.
20081 (desktop--app-id): New constant.
20082 (desktop-first-buffer, desktop-buffer-ok-count)
20083 (desktop-buffer-fail-count): Move before first use.
20084 * frameset.el: New file.
20086 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
20088 * files.el: Use lexical-binding.
20089 (dir-locals-read-from-file): Remove unused `err' variable.
20090 (hack-dir-local-variables--warned-coding): New var.
20091 (hack-dir-local-variables): Use it to avoid repeated warnings.
20092 (make-backup-file-name--default-function): New function.
20093 (make-backup-file-name-function): Use it as default.
20094 (buffer-stale--default-function): New function.
20095 (buffer-stale-function): Use it as default.
20096 (revert-buffer-insert-file-contents--default-function): New function.
20097 (revert-buffer-insert-file-contents-function): Use it as default.
20098 (insert-directory): Avoid add-to-list.
20100 * autorevert.el (auto-revert-handler): Simplify.
20101 Use buffer-stale--default-function.
20103 2013-08-01 Tassilo Horn <tsdh@gnu.org>
20105 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
20107 * whitespace.el (whitespace-ensure-local-variables): New function.
20108 (whitespace-cleanup-region): Call it.
20109 (whitespace-turn-on): Call it.
20111 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
20113 Complete file name handlers.
20115 * net/tramp.el (tramp-handle-set-visited-file-modtime)
20116 (tramp-handle-verify-visited-file-modtime)
20117 (tramp-handle-file-notify-rm-watch): New functions.
20118 (tramp-call-process): Do not bind `default-directory'.
20120 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20121 Order alphabetically.
20122 <access-file, add-name-to-file, dired-call-process>:
20123 <dired-compress-file, file-acl, file-notify-rm-watch>:
20124 <file-ownership-preserved-p, file-selinux-context>:
20125 <make-directory-internal, make-symbolic-link, set-file-acl>:
20126 <set-file-selinux-context, set-visited-file-modtime>:
20127 <verify-visited-file-modtime>: Add handler.
20128 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
20130 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
20131 <file-notify-add-watch, file-notify-rm-watch>:
20132 <set-file-times, set-visited-file-modtime>:
20133 <verify-visited-file-modtime>: Add handler.
20134 (with-tramp-gvfs-error-message)
20135 (tramp-gvfs-handle-set-visited-file-modtime)
20136 (tramp-gvfs-fuse-file-name): Remove.
20137 (tramp-gvfs-handle-file-notify-add-watch)
20138 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
20139 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
20141 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
20142 Order alphabetically.
20143 <file-notify-rm-watch>: Use default Tramp handler.
20144 <executable-find>: Remove private handler.
20145 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
20146 `default-directory'.
20147 (tramp-sh-handle-executable-find)
20148 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
20149 (tramp-sh-file-gvfs-monitor-dir-process-filter)
20150 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
20151 Do not use `format' in `tramp-message'.
20153 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
20154 <file-notify-rm-watch, set-visited-file-modtime>:
20155 <verify-visited-file-modtime>: Add handler.
20156 (tramp-smb-call-winexe): Do not bind `default-directory'.
20158 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
20160 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
20162 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
20164 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
20166 (log-view-diff-changeset): Same.
20167 (log-view-diff-common): Call backend command `previous-revision'
20168 to find out the previous revision, in both cases. Swap the
20169 variables `to' and `fr', so that `fr' usually refers to the
20170 earlier revision (Bug#14989).
20172 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
20174 * ibuf-ext.el (ibuffer-filter-by-filename):
20175 Make it work with dired buffers too.
20177 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
20179 * emacs-lisp/re-builder.el (reb-color-display-p):
20180 * files.el (save-buffers-kill-terminal):
20181 * net/browse-url.el (browse-url):
20182 * server.el (server-save-buffers-kill-terminal):
20183 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
20184 Prefer nil to selected-frame for the first arg of frame-parameter.
20186 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
20188 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
20190 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
20192 * minibuffer.el (completion--twq-all): Try and preserve each
20193 completion's case choice (bug#14907).
20195 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
20197 * net/network-stream.el (open-network-stream): Mention the new
20198 :nogreeting parameter.
20199 (network-stream-open-starttls): Use the :nogreeting parameter
20202 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
20204 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
20205 more natural than popping.
20207 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
20208 (shr-urlify): Highlight under mouse.
20210 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
20212 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
20214 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
20216 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
20219 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
20220 point-min==1. Fix search string. Fix parentheses missing.
20222 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
20223 assume point-min==1. Fix search string. Fix parentheses missing.
20225 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
20227 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
20230 2013-07-29 Eli Zaretskii <eliz@gnu.org>
20232 * frame.el (frame-notice-user-settings): Avoid inflooping when the
20233 initial frame is minibuffer-less. (Bug#14841)
20235 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
20237 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
20240 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
20241 (tramp-maybe-open-connection): Use it.
20243 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
20245 * desktop.el (desktop--make-frame): Include `minibuffer' in the
20246 minimal set of parameters passed when creating a frame, because
20247 the minibuffer status of a frame cannot be changed later.
20249 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
20251 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
20252 replace-regexp-in-string and inadvertent omissions in previous change.
20253 (todo-filter-items): Ensure only file names are comma-separated in
20254 name of filtered items buffer.
20256 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
20258 * desktop.el: Optionally force offscreen frames back onscreen.
20259 (desktop-restoring-reuses-frames): New option.
20260 (desktop--compute-pos, desktop--move-onscreen): New functions.
20261 (desktop--make-frame): Use desktop--move-onscreen.
20263 2013-07-27 Alan Mackenzie <acm@muc.de>
20265 Fontify a Java generic method as a function.
20266 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
20269 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
20271 * calendar/todo-mode.el: Add command to rename todo files.
20272 (todo-rename-file): New command.
20273 (todo-key-bindings-t): Add key binding for it. Change the
20274 bindings of todo-filter-regexp-items(-multifile) to use `x'
20275 instead of `r', since the latter is better suited to the new
20278 2013-07-27 Alan Mackenzie <acm@muc.de>
20280 Make Java try-with-resources statement parse properly.
20281 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
20282 (c-block-stmt-1-2-key): New language constants/variables.
20283 * progmodes/cc-engine.el (c-beginning-of-statement-1)
20284 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
20285 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
20286 with c-block-stmt-1-2-key.
20288 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
20290 * desktop.el (desktop--make-frame): Apply most frame parameters after
20291 creating the frame to force (partially or totally) offscreen frames to
20292 be restored as such.
20294 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
20296 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
20299 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
20301 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
20302 `base' arg of backtrace-frame.
20304 2013-07-26 Eli Zaretskii <eliz@gnu.org>
20306 * simple.el (list-processes): Doc fix.
20308 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
20310 * desktop.el (desktop--select-frame):
20311 Try harder to reuse existing frames.
20313 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
20315 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
20316 (edebug-eval): Use backtrace-eval.
20317 (edebug--display, edebug--recursive-edit): Don't let-bind the
20318 edebug-outer-* vars that keep track of variables we locally let-bind.
20319 (edebug-outside-excursion): Don't restore outside values of locally
20321 (edebug--display): Use user-error.
20322 (cl-lexical-debug, cl-debug-env): Remove.
20324 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
20326 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
20327 are restored to be sure that they are visible before deleting any
20330 2013-07-26 Matthias Meulien <orontee@gmail.com>
20332 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
20333 vc-print-root-log. (Bug#14948)
20335 2013-07-26 Richard Stallman <rms@gnu.org>
20337 Add aliases for encrypting mail.
20338 * epa.el (epa-mail-aliases): New option.
20339 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
20340 Bind inhibit-read-only so read-only text doesn't ruin everything.
20341 (epa-mail-default-recipients): New subroutine broken out.
20342 Handle epa-mail-aliases.
20344 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
20346 Add support for lexical variables to the debugger's `e' command.
20347 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
20348 vars, except for debugger-outer-match-data.
20349 (debugger-frame-number): Move check for "on a function call" from
20350 callers into it. Add `skip-base' argument.
20351 (debugger-frame, debugger-frame-clear): Simplify accordingly.
20352 (debugger-env-macro): Only reset the state stored in non-variables,
20353 i.e. current-buffer and match-data.
20354 (debugger-eval-expression): Rewrite using backtrace-eval.
20355 * subr.el (internal--called-interactively-p--get-frame): Remove.
20356 (called-interactively-p):
20357 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
20358 `base' arg of backtrace-frame instead.
20360 2013-07-26 Glenn Morris <rgm@gnu.org>
20362 * align.el (align-regexp): Doc fix. (Bug#14857)
20363 (align-region): Explicit error if subexpression missing/does not match.
20365 * simple.el (global-visual-line-mode):
20366 Do not duplicate the mode lighter. (Bug#14858)
20368 2013-07-25 Martin Rudalics <rudalics@gmx.at>
20370 * window.el (display-buffer): In display-buffer bind
20371 split-window-keep-point to t, bug#14829.
20373 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
20375 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
20376 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
20377 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
20378 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
20379 Change accordingly.
20380 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
20381 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
20383 2013-07-25 Glenn Morris <rgm@gnu.org>
20385 * dired-x.el (dired-mark-extension): Convert comment to doc string.
20387 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
20389 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
20390 parameter to modify-frame-parameters if the value has not changed;
20391 this is a workaround for bug#14949.
20392 (desktop--make-frame): On cl-delete-if call, check parameter name,
20393 not full parameter.
20395 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
20397 * vc/vc.el (vc-ignore): New function.
20399 * vc/vc-svn.el (vc-svn-ignore): New function.
20401 * vc/vc-hg.el (vc-hg-ignore): New function.
20403 * vc/vc-git.el (vc-git-ignore): New function.
20405 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
20406 (vc-dir-ignore): New function.
20408 * vc/vc-cvs.el (vc-cvs-ignore): New function.
20409 (cvs-append-to-ignore): Move here from pcvs.el.
20411 * vc/vc-bzr.el (vc-bzr-ignore): New function.
20413 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
20415 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
20417 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
20418 (desktop-restore-frames): Warn when deleting an existing frame failed.
20420 2013-07-24 Glenn Morris <rgm@gnu.org>
20422 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
20424 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
20426 * filenotify.el (file-notify-supported-p):
20427 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
20430 * autorevert.el (auto-revert-use-notify)
20431 (auto-revert-notify-add-watch):
20432 * net/tramp.el (tramp-file-name-for-operation):
20433 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20434 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20435 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
20436 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
20437 Remove `file-notify-supported-p' entry.
20439 2013-07-24 Glenn Morris <rgm@gnu.org>
20441 * printing.el: Replace all uses of deleted ps-windows-system,
20442 ps-lp-system, ps-flatten-list with lpr- versions.
20444 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
20446 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
20447 checked with memq (bug#14935).
20449 * files.el (revert-buffer-function): Use a non-nil default.
20450 (revert-buffer-preserve-modes): Declare var to
20451 provide access to the `preserve-modes' argument.
20452 (revert-buffer): Let-bind it.
20453 (revert-buffer--default): New function, extracted from revert-buffer.
20455 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
20457 * lpr.el: Signal print errors more prominently.
20458 (print-region-function): Don't default to nil.
20459 (lpr-print-region): New function, extracted from print-region-1.
20460 Check lpr's return value and signal an error in case of problem.
20461 (print-region-1): Use it.
20462 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
20464 (ps-printer-name): Default to nil.
20465 (ps-printer-name-option): Default to lpr-printer-switch.
20466 (ps-print-region-function): Don't default to nil.
20467 (ps-postscript-code-directory): Simplify default.
20468 (ps-do-despool): Use lpr-print-region to properly check the outcome.
20469 (ps-string-list, ps-eval-switch, ps-flatten-list)
20470 (ps-flatten-list-1): Remove.
20471 (ps-multibyte-buffer): Avoid setq.
20472 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
20473 (print-region-function, ps-print-region-function): Don't set them here.
20475 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
20477 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
20478 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
20479 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
20480 (ido-decorations): Doc fix.
20482 * ansi-color.el: Fix old URL.
20484 2013-07-23 Michael R. Mauger <michael@mauger.com>
20486 * progmodes/sql.el: Version 3.3
20487 (sql-product-alist): Improve oracle :prompt-cont-regexp.
20488 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
20489 (sql-interactive-remove-continuation-prompt): Rewrite, use
20490 functions above. Fix continuation prompt and complete output line
20492 (sql-redirect-one, sql-execute): Use `read-only-mode' on
20493 redirected output buffer.
20494 (sql-mode): Restore deleted code (Bug#13591).
20496 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
20498 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
20500 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
20502 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
20504 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20505 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20506 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
20508 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
20510 * desktop.el (desktop-clear): Simplify; remove useless checks
20511 against invalid buffer names.
20512 (desktop-list*): Use cl-list*.
20513 (desktop-buffer-info, desktop-create-buffer): Simplify.
20515 2013-07-23 Leo Liu <sdl.web@gmail.com>
20517 * bookmark.el (bookmark-make-record): Restore NAME as a default
20520 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
20522 * emacs-lisp/autoload.el (autoload--setup-output): New function,
20523 extracted from autoload--insert-text.
20524 (autoload--insert-text): Remove.
20525 (autoload--print-cookie-text): New function, extracted from
20526 autoload--insert-cookie-text.
20527 (autoload--insert-cookie-text): Remove.
20528 (autoload-generate-file-autoloads): Adjust calls accordingly.
20530 * winner.el (winner-hook-installed-p): Remove.
20531 (winner-mode): Simplify accordingly.
20533 * subr.el (add-to-list): Fix compiler-macro when `append' is
20534 not constant. Don't use `cl-member' for the base case.
20536 * progmodes/subword.el: Fix boundary case (bug#13758).
20537 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
20539 (subword-backward-regexp): Make it a constant.
20540 (subword-forward-internal): Don't treat a trailing capital as the
20541 beginning of a word.
20543 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
20545 * emacs-lisp/package.el (package-menu-mode): Don't modify the
20546 global value of tabulated-list-revert-hook (bug#14930).
20548 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
20550 * desktop.el: Require 'cl-lib.
20551 (desktop-before-saving-frames-functions): New hook.
20552 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
20553 for frames being saved. Rename from desktop--save-minibuffer-frames.
20554 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
20555 Do not save frames with non-nil `desktop-dont-save' parameter.
20556 Filter out deleted frames.
20557 (desktop--find-frame): Use cl-find-if.
20558 (desktop--select-frame): Use cl-(first|second|third) to access values
20560 (desktop--make-frame): Use cl-delete-if.
20561 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
20562 (desktop-restore-frames): Use cl-(first|second|third) to access values
20563 of desktop-mini. Look for visible frame at the end, not while
20566 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
20567 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
20568 Use string-match-p, looking-at-p (bug#14927).
20570 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
20572 * desktop.el (desktop-saved-frame-states):
20573 Rename from desktop--saved-states; all users changed.
20574 (desktop-save-frames): Rename from desktop--save-frames.
20575 Do not save state to desktop file.
20576 (desktop-save): Save desktop-saved-frame-states to desktop file
20578 (desktop-restoring-frames-p): New function.
20579 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
20580 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
20581 buffer-lists when restoring frames. Suggested by Martin Rudalics.
20583 * desktop.el: Correctly restore iconified frames.
20584 (desktop--filter-iconified-position): New function.
20585 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
20587 2013-07-20 Glenn Morris <rgm@gnu.org>
20589 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
20590 Let `message' do the formatting.
20591 (def-gdb-preempt-display-buffer): Add explicit format.
20593 * image-dired.el (image-dired-track-original-file):
20594 Use with-current-buffer.
20595 (image-dired-track-thumbnail): Use with-current-buffer.
20596 Avoid changing point of wrong window.
20598 * image-dired.el (image-dired-track-original-file):
20599 Avoid changing point of wrong window. (Bug#14909)
20601 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
20603 * progmodes/gdb-mi.el (gdb-done-or-error):
20604 Guard against "%" in gdb output. (Bug#14127)
20606 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
20608 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
20611 * international/mule.el (coding-system-iso-2022-flags): Fix last
20614 2013-07-20 Kenichi Handa <handa@gnu.org>
20616 * international/mule.el (coding-system-iso-2022-flags):
20617 Add `8-bit-level-4'. (Bug#8522)
20619 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
20621 * net/shr.el (shr-mouse-browse-url): New command and keystroke
20624 * net/eww.el (eww-process-text-input): Allow inputting when the
20625 point is at the start of the line, as the properties aren't
20628 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
20631 2013-07-19 Richard Stallman <rms@gnu.org>
20633 * epa.el (epa-popup-info-window): Doc fix.
20635 * subr.el (split-string): New arg TRIM.
20637 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
20639 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
20640 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
20642 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
20644 * filenotify.el (file-notify--library): Rename from
20645 `file-notify-support'. Do not autoload. Adapt all uses.
20646 (file-notify-supported-p): New defun.
20648 * autorevert.el (auto-revert-use-notify):
20649 Use `file-notify-supported-p' instead of `file-notify-support'.
20651 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
20653 * net/tramp.el (tramp-file-name-for-operation):
20654 Add `file-notify-supported-p'.
20656 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
20658 (tramp-sh-file-name-handler-alist): Add it as handler for
20659 `file-notify-supported-p '.
20661 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20662 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20663 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
20664 Add `ignore' as handler for `file-notify-*' functions.
20666 2013-07-17 Eli Zaretskii <eliz@gnu.org>
20668 * simple.el (line-move-partial, line-move): Don't start vscroll or
20669 scroll-up if the current line is not taller than the window.
20672 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
20674 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
20675 highlight question marks in the method names as strings.
20676 (ruby-block-beg-keywords): Inline.
20677 (ruby-font-lock-keyword-beg-re): Extract from
20678 `ruby-font-lock-keywords'.
20680 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
20682 * frame.el (blink-cursor-blinks): New defcustom.
20683 (blink-cursor-blinks-done): New defvar.
20684 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
20685 (blink-cursor-timer-function): Check if number of blinks has been
20687 (blink-cursor-suspend, blink-cursor-check): New defuns.
20689 2013-07-15 Glenn Morris <rgm@gnu.org>
20691 * edmacro.el (edmacro-format-keys): Fix previous change.
20693 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
20695 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
20696 The hack didn't work outside English locales anyway.
20698 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
20700 * simple.el (define-alternatives): Rename from alternatives-define,
20701 per RMS' suggestion.
20703 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
20705 * desktop.el (desktop-restore-frames): Change default to t.
20706 (desktop-restore-in-current-display): Now offer more options.
20707 (desktop-restoring-reuses-frames): New customization option.
20708 (desktop--saved-states): Doc fix.
20709 (desktop-filter-parameters-alist): New variable, renamed and expanded
20710 from desktop--excluded-frame-parameters.
20711 (desktop--target-display): New variable.
20712 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
20713 (desktop--filter-tty*, desktop--filter-*-color)
20714 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
20715 (desktop--filter-save-desktop-parm)
20716 (desktop-restore-in-original-display-p): New functions.
20717 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
20718 (desktop--save-minibuffer-frames): New function, inspired by a similar
20719 function from Martin Rudalics.
20720 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
20721 (desktop--restore-in-this-display-p): Remove.
20722 (desktop--find-frame): Rename from desktop--find-frame-in-display
20723 and add predicate argument.
20724 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
20725 (desktop--reuse-list): New variable.
20726 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
20728 (desktop--restore-frames): Add support for "minibuffer-special" frames.
20730 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
20732 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
20734 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
20736 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
20737 Highlight conversion methods on Kernel.
20739 2013-07-13 Alan Mackenzie <acm@muc.de>
20741 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
20742 and comment it out. This out-commenting enables certain C++
20743 declarations to be parsed correctly.
20745 2013-07-13 Eli Zaretskii <eliz@gnu.org>
20747 * international/mule.el (define-coding-system): Doc fix.
20749 * simple.el (default-font-height): Don't call font-info if the
20750 frame's default font didn't change since the frame was created.
20753 2013-07-13 Leo Liu <sdl.web@gmail.com>
20755 * ido.el (ido-read-file-name): Guard against non-symbol value.
20757 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
20759 * progmodes/python.el (python-imenu--build-tree): Fix corner case
20762 2013-07-13 Leo Liu <sdl.web@gmail.com>
20764 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
20765 ido-set-matches call. (Bug#6852)
20767 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
20769 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
20770 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
20772 (ruby-font-lock-keywords): Distinguish calls to functions with
20773 module-like names from module references. Highlight character
20776 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
20778 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
20779 (gdb-send): Handle continued commands. (Bug#14847)
20781 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
20783 * desktop.el (desktop--v2s): Remove unused local variable.
20784 (desktop-save-buffer): Make defvar-local; adjust docstring.
20785 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
20786 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
20788 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
20790 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
20792 2013-07-12 Eli Zaretskii <eliz@gnu.org>
20794 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
20797 2013-07-12 Glenn Morris <rgm@gnu.org>
20799 * doc-view.el: Require cl-lib at runtime too.
20800 (doc-view-remove-if): Remove.
20801 (doc-view-search-next-match, doc-view-search-previous-match):
20804 * edmacro.el: Require cl-lib at runtime too.
20805 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
20806 (edmacro-mismatch, edmacro-subseq): Remove.
20808 * shadowfile.el: Require cl-lib.
20809 (shadow-remove-if): Remove.
20810 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
20813 * wid-edit.el: Require cl-lib.
20814 (widget-choose): Use cl-remove-if.
20815 (widget-remove-if): Remove.
20817 * progmodes/ebrowse.el: Require cl-lib at runtime too.
20818 (ebrowse-delete-if-not): Remove.
20819 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
20820 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
20821 Use cl-delete-if-not.
20823 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
20825 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
20826 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
20828 2013-07-12 Leo Liu <sdl.web@gmail.com>
20830 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
20832 2013-07-11 Glenn Morris <rgm@gnu.org>
20834 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
20835 (edebug-gensym-index, edebug-gensym):
20836 Remove reimplementation of cl-gensym.
20837 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
20839 * thumbs.el: Require cl-lib at run-time too.
20840 (thumbs-gensym-counter, thumbs-gensym):
20841 Remove reimplementation of cl-gensym.
20842 (thumbs-temp-file): Use cl-gensym.
20844 * emacs-lisp/ert.el: Require cl-lib at runtime too.
20845 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
20846 (ert--intersection, ert--set-difference, ert--set-difference-eq)
20847 (ert--union, ert--gensym-counter, ert--gensym-counter)
20848 (ert--coerce-to-vector, ert--remove*, ert--string-position)
20849 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
20850 (ert-make-test-unbound, ert--expand-should-1)
20851 (ert--expand-should, ert--should-error-handle-error)
20852 (should-error, ert--explain-equal-rec)
20853 (ert--plist-difference-explanation, ert-select-tests)
20854 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
20855 Use cl-lib functions rather than reimplementations.
20857 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
20859 * net/tramp.el (tramp-methods): Extend docstring.
20860 (tramp-connection-timeout): New defcustom.
20861 (tramp-error-with-buffer): Reset timestamp only when appropriate.
20862 (with-tramp-progress-reporter): Simplify.
20863 (tramp-process-actions): Improve messages.
20865 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
20866 * net/tramp-sh.el (tramp-maybe-open-connection):
20867 Use `tramp-connection-timeout'.
20868 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
20871 2013-07-11 Leo Liu <sdl.web@gmail.com>
20873 * ido.el (ido-read-file-name): Conform to the requirements of
20874 read-file-name. (Bug#11861)
20875 (ido-read-directory-name): Conform to the requirements of
20876 read-directory-name.
20878 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
20880 * subr.el (delay-warning): New function.
20882 2013-07-10 Eli Zaretskii <eliz@gnu.org>
20884 * simple.el (default-line-height): New function.
20885 (line-move-partial, line-move): Use it instead of computing the
20886 line height inline.
20887 (line-move-partial): Always compute ROWH. If the last line is
20888 partially-visible, but its text is completely visible, allow
20889 cursor to enter such a partially-visible line.
20891 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
20893 Improve error messages. (Bug#14808)
20895 * net/tramp.el (tramp-current-connection): New defvar, moved from
20897 (tramp-message-show-progress-reporter-message): Remove, not
20899 (tramp-error-with-buffer): Show message in minibuffer.
20900 Discard input before waiting. Reset connection timestamp.
20901 (with-tramp-progress-reporter): Improve messages.
20902 (tramp-process-actions): Use progress reporter. Delete process in
20903 case of error. Improve messages.
20905 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
20906 Call `tramp-error-with-buffer' with vector and buffer.
20907 (tramp-current-connection): Remove.
20908 (tramp-maybe-open-connection): The car of
20909 `tramp-current-connection' are the first 3 slots of the vector.
20911 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
20913 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
20914 inside continued strings.
20916 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
20918 Timestamp fixes for undo (Bug#14824).
20919 * files.el (clear-visited-file-modtime): Move here from fileio.c.
20921 2013-07-10 Leo Liu <sdl.web@gmail.com>
20923 * files.el (require-final-newline): Allow safe local value.
20926 2013-07-09 Leo Liu <sdl.web@gmail.com>
20928 * ido.el (ido-read-directory-name): Handle fallback.
20929 (ido-read-file-name): Update DIR to ido-current-directory.
20931 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
20933 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
20935 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
20936 "autoload". Remove "warn lower camel case" section, previously
20937 commented out. Highlight negation char. Do not highlight the
20938 target in singleton method definitions.
20940 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
20942 * faces.el (tty-setup-hook): Declare the hook.
20944 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
20945 and detect when a guard/pred depends on local vars (bug#14773).
20946 (pcase--u1): Adjust caller.
20948 2013-07-08 Eli Zaretskii <eliz@gnu.org>
20950 * simple.el (line-move-partial, line-move): Account for
20952 (line-move-partial): Avoid setting vscroll when the last
20953 partially-visible line in window is of default height.
20955 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
20957 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
20960 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
20962 * subr.el (read-quoted-char): Remove unused local variable `char'.
20964 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
20966 * vc/ediff.el (ediff-version): Version update.
20967 (ediff-files-command, ediff3-files-command, ediff-merge-command)
20968 (ediff-merge-with-ancestor-command, ediff-directories-command)
20969 (ediff-directories3-command, ediff-merge-directories-command)
20970 (ediff-merge-directories-with-ancestor-command): New functions.
20971 All are command-line interfaces to ediff: to facilitate calling
20972 Emacs with the appropriate ediff functions invoked.
20974 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
20976 (viper-save-kill-buffer): Check if buffer is modified.
20978 * emulation/viper.el (viper-version): Version update.
20979 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
20981 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
20983 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
20984 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
20985 (viper-intercept-ESC-key): Simplify.
20986 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
20988 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
20989 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
20990 (viper-setup-ESC-to-escape): New functions.
20991 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
20992 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
20994 2013-07-07 Eli Zaretskii <eliz@gnu.org>
20996 * simple.el (default-font-height, window-screen-lines):
20998 (line-move, line-move-partial): Use them instead of
20999 frame-char-height and window-text-height. This makes scrolling
21000 text smoother when the buffer's default face uses a font that is
21001 different from the frame's default font.
21003 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
21005 * files.el (write-file): Do not display confirm dialog for NS,
21006 it does its own dialog, which can't be canceled (Bug#14578).
21008 2013-07-06 Eli Zaretskii <eliz@gnu.org>
21010 * simple.el (line-move-partial): Adjust the row returned by
21011 posn-at-point for the current window-vscroll. (Bug#14567)
21013 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
21015 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
21016 (tramp-sh-file-inotifywait-process-filter): Handle file names with
21019 2013-07-06 Martin Rudalics <rudalics@gmx.at>
21021 * window.el (window-state-put-stale-windows): New variable.
21022 (window--state-put-2): Save list of windows without matching buffer.
21023 (window-state-put): Remove "bufferless" windows if possible.
21025 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
21027 * simple.el (alternatives-define): Remove leftover :group keyword.
21030 2013-07-06 Leo Liu <sdl.web@gmail.com>
21032 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
21033 (ido-enable-virtual-buffers): New variable.
21034 (ido-buffer-internal, ido-toggle-virtual-buffers)
21035 (ido-make-buffer-list): Use it.
21036 (ido-exhibit): Support turning on and off virtual buffers
21039 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
21041 * simple.el (alternatives-define): New macro.
21043 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
21045 * subr.el (read-quoted-char): Use read-key.
21046 (sit-for): Let read-event decode tty input (bug#14782).
21048 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
21050 * calendar/todo-mode.el: Add handling of file deletion, both by
21051 mode command and externally. Fix various related bugs.
21052 Clarify Commentary and improve some documentation strings and code.
21053 (todo-delete-file): New command.
21054 (todo-check-file): New function.
21055 (todo-show): Handle external deletion of the file we're trying to
21056 show (bug#14688). Replace called-interactively-p by an optional
21057 prefix argument to avoid problematic interaction with catch form
21058 when byte compiled (bug#14702).
21059 (todo-quit): Handle external deletion of the archive's todo file.
21060 Make sure the buffer that was visiting the archive file is still
21061 live before trying to bury it.
21062 (todo-category-completions): Handle external deletion of any
21063 category completion files.
21064 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
21065 of todo files, in case of external deletion.
21066 (todo-add-file): Replace unnecessary setq by let-binding.
21067 (todo-find-archive): Check whether there are any archives.
21068 Replace unnecessary setq by let-binding.
21069 (todo-archive-done-item): Use find-file-noselect to get the
21070 archive buffer whether or not the archive already exists.
21071 Remove superfluous code. Use file size instead of buffer-file-name to
21072 check if the archive is new; if it is, update list of archives.
21073 (todo-default-todo-file): Allow nil to be a valid value for when
21074 there are no todo files.
21075 (todo-reevaluate-default-file-defcustom): Use corrected definition
21076 of todo-default-todo-file.
21077 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
21078 (todo-delete-category, todo-show-categories-table)
21079 (todo-category-number): Clarify comment.
21080 (todo-filter-items): Clarify documentation string.
21081 (todo-show-current-file, todo-display-as-todo-file)
21082 (todo-reset-and-enable-done-separator): Tweak documentation string.
21083 (todo-done-separator): Make separator length window-width, since
21084 bug#2749 is now fixed.
21086 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
21088 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
21089 Support both "gvfs-monitor-dir" and "inotifywait".
21090 (tramp-sh-file-inotifywait-process-filter): Rename from
21091 `tramp-sh-file-notify-process-filter'.
21092 (tramp-sh-file-gvfs-monitor-dir-process-filter)
21093 (tramp-get-remote-gvfs-monitor-dir): New defuns.
21095 2013-07-05 Leo Liu <sdl.web@gmail.com>
21097 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
21099 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
21101 * frame.el (display-pixel-height, display-pixel-width)
21102 (display-mm-height, display-mm-width): Mention behavior on
21103 multi-monitor setups in docstrings.
21104 (w32-display-monitor-attributes-list): Declare function.
21105 (display-monitor-attributes-list): Use it.
21107 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
21109 * filenotify.el: New package.
21111 * autorevert.el (top): Require filenotify.el.
21112 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
21114 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
21115 (auto-revert-notify-handler): Use `file-notify-*' functions.
21117 * subr.el (file-notify-handle-event): Move function to filenotify.el.
21119 * net/tramp.el (tramp-file-name-for-operation):
21120 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
21122 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
21123 for `file-notify-add-watch' and `file-notify-rm-watch'.
21124 (tramp-process-sentinel): Improve trace.
21125 (tramp-sh-handle-file-notify-add-watch)
21126 (tramp-sh-file-notify-process-filter)
21127 (tramp-sh-handle-file-notify-rm-watch)
21128 (tramp-get-remote-inotifywait): New defuns.
21130 2013-07-03 Juri Linkov <juri@jurta.org>
21132 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
21133 call of `occur-read-primary-args' to interactive spec.
21135 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
21136 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
21138 2013-07-03 Matthias Meulien <orontee@gmail.com>
21140 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
21141 `Buffer-menu-multi-occur'. Add it to the menu.
21142 (Buffer-menu-mode): Document it in docstring.
21143 (Buffer-menu-multi-occur): New command. (Bug#14673)
21145 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
21147 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
21148 keywords and built-ins.
21150 2013-07-03 Glenn Morris <rgm@gnu.org>
21152 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
21154 Make info-xref checks case-sensitive by default
21155 * info.el (Info-find-node, Info-find-in-tag-table)
21156 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
21157 Add option for exact case matching of nodes.
21158 * info-xref.el (info-xref): New custom group.
21159 (info-xref-case-fold): New option.
21160 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
21162 2013-07-03 Leo Liu <sdl.web@gmail.com>
21164 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
21166 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
21168 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
21169 middle of block statement initially, lower the depth. Remove
21170 FIXME comment, not longer valid. Remove middle of block statement
21171 detection, no need to do that anymore since we've been using
21172 `ruby-parse-region' here.
21174 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
21176 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
21178 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
21180 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
21182 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
21184 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
21185 (desktop-restore-in-current-display): New customization option.
21186 (desktop--excluded-frame-parameters): Add `font'.
21187 (desktop--save-frames): Rename from desktop--save-windows.
21188 (desktop--restore-in-this-display-p): New function.
21189 (desktop--make-full-frame): Remove unwanted width/height from
21190 full(width|height) frames.
21191 (desktop--restore-frames): Rename from desktop--restore-windows.
21192 Obey desktop-restore-current-display. Do not delete old frames or
21193 select a new frame unless we were able to restore at least one frame.
21195 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
21197 * files.el (find-file-noselect): Simplify conditional expression.
21199 * textmodes/remember.el (remember-append-to-file):
21200 Don't mix `find-buffer-visiting' and `get-file-buffer'.
21202 Add `remember-notes' function to store random notes across Emacs
21204 * textmodes/remember.el (remember-data-file): Add :set callback to
21205 affect notes buffer (if any).
21206 (remember-notes): New command.
21207 (remember-notes-buffer-name, bury-remember-notes-on-kill):
21208 New defcustoms for the `remember-notes' function.
21209 (remember-notes-save-and-bury-buffer): New command.
21210 (remember-notes-mode-map): New variable.
21211 (remember-mode): New minor mode.
21212 (remember-notes--kill-buffer-query): New function.
21213 * startup.el (initial-buffer-choice): Add notes to custom type.
21215 2013-06-30 Eli Zaretskii <eliz@gnu.org>
21217 * bindings.el (right-char, left-char): Don't call sit-for, this is
21218 no longer needed. Use arithmetic comparison only for numerical
21221 * international/mule-cmds.el (select-safe-coding-system):
21222 Handle the case of FROM being a string correctly. (Bug#14755)
21224 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
21226 * net/shr.el (shr-make-table-1): Add a sanity check that allows
21227 progression on degenerate tables.
21228 (shr-rescale-image): ImageMagick animated images currently don't work.
21230 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
21232 Some fixes and improvements for desktop frame restoration.
21233 It is still experimental and disabled by default.
21234 * desktop.el (desktop--save-windows): Put the selected frame at
21235 the head of the list.
21236 (desktop--make-full-frame): New function.
21237 (desktop--restore-windows): Try to re-select the frame that was
21238 selected upon saving. Do not abort if some frames fail to restore,
21239 just show an error message and continue. Set up maximized frames
21240 so they have default non-maximized dimensions.
21242 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
21244 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
21245 Don't start heredoc inside a string or comment.
21247 2013-06-29 Eli Zaretskii <eliz@gnu.org>
21249 * bindings.el (visual-order-cursor-movement): New defcustom.
21250 (right-char, left-char): Provide visual-order cursor motion by
21251 calling move-point-visually. Update the doc strings.
21253 2013-06-28 Kenichi Handa <handa@gnu.org>
21255 * international/mule.el (define-coding-system): New coding system
21256 properties :inhibit-null-byte-detection,
21257 :inhibit-iso-escape-detection, and :prefer-utf-8.
21258 (set-buffer-file-coding-system): If :charset-list property of
21259 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
21260 appropriate for setting.
21262 * international/mule-cmds.el (select-safe-coding-system):
21263 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
21264 multibyte characters, return utf-8 (or one of its siblings).
21266 * international/mule-conf.el (prefer-utf-8): New coding system.
21267 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
21270 2013-06-28 Ivan Kanis <ivan@kanis.fr>
21272 * net/shr.el (shr-render-region): New function.
21274 * net/eww.el: Autoload `eww-browse-url'.
21276 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
21278 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21279 Adapt to `package-desc-version' being a list.
21280 Use `package--ac-desc-version' to retrieve version from a package
21283 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
21285 New experimental feature to save&restore window and frame setup.
21286 * desktop.el (desktop-save-windows): New defcustom.
21287 (desktop--saved-states): New var.
21288 (desktop--excluded-frame-parameters): New defconst.
21289 (desktop--filter-frame-parms, desktop--find-frame-in-display)
21290 (desktop--restore-windows, desktop--save-windows): New functions.
21291 (desktop-save): Call `desktop--save-windows'.
21292 (desktop-read): Call `desktop--restore-windows'.
21294 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
21296 * net/shr.el (add-face-text-property): Remove compat definition.
21298 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
21300 * info.el (Info-try-follow-nearest-node): Move search for footnote
21301 above search for node name to prevent missing a footnote (bug#14717).
21303 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
21305 * obsolete/otodo-mode.el: Add obsolescence info to file header.
21307 2013-06-27 Leo Liu <sdl.web@gmail.com>
21309 * net/eww.el (eww-read-bookmarks): Check file size.
21311 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
21313 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
21314 advice--pending if newdef is nil or an autoload (bug#13820).
21315 (advice-mapc): New function.
21317 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
21319 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
21321 (eww-mode-map): Add a menu bar.
21322 (eww-add-bookmark): New command.
21323 (eww-bookmark-mode): New mode and commands.
21324 (eww-add-bookmark): Remove newlines from the title.
21325 (eww-bookmark-browse): Don't bug out if it's the only window.
21327 2013-06-26 Glenn Morris <rgm@gnu.org>
21329 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
21330 (hfy-size): Handle ttys. (Bug#14668)
21332 * info-xref.el: Update for Texinfo 5 change in *note format.
21333 (info-xref-node-re, info-xref-note-re): New constants.
21334 (info-xref-check-buffer): Use info-xref-note-re.
21336 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
21338 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
21340 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
21341 nil terminate the loop (bug#14718).
21343 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21345 * net/eww.el: Rework history traversal. When going forward/back,
21346 put these actions into the history, too, so that they can be
21348 (eww-render): Move the history reset to the correct buffer.
21350 2013-06-25 Juri Linkov <juri@jurta.org>
21352 * files-x.el (modify-dir-local-variable): Change the header comment
21353 in the file with directory local variables. (Bug#14692)
21355 * files-x.el (read-file-local-variable-value): Add `default'.
21358 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21360 * net/eww.el (eww-make-unique-file-name): Create a unique file
21361 name before saving to entering `y' accidentally asynchronously.
21363 2013-06-25 Ivan Kanis <ivan@kanis.fr>
21365 * net/eww.el (eww-download): New command and keystroke.
21367 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21369 * net/eww.el (eww-copy-page-url): Change name of command.
21371 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
21372 be more consistent with Info and dired.
21374 * net/eww.el (eww-mode-map): Ditto.
21376 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
21378 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
21379 packages from archives.
21380 (package-archive-contents): Change format; include obsolete packages.
21381 (package-desc): Use `dir' to mark builtin packages.
21382 (package--from-builtin): Set the `dir' field to `builtin'.
21383 (generated-autoload-file, version-control): Declare.
21384 (package-compute-transaction): Change first arg and return value to be
21385 lists of package-descs. Adjust to new package-archive-contents format.
21386 (package--add-to-archive-contents): Adjust to new
21387 package-archive-contents format.
21388 (package-download-transaction): Arg is now a list of package-descs.
21389 (package-install): If `pkg' is a package name, pass it as
21390 a requirement, so it is subject to the usual (e.g. disabled) checks.
21391 (describe-package): Accept package-desc as well.
21392 (describe-package-1): Describe a specific package-desc. Add links to
21393 other package-descs for the same package name.
21394 (package-menu-describe-package): Pass the actual package-desc.
21395 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
21397 (package-desc-status): New function.
21398 (package-menu--refresh): New function, extracted
21399 from package-menu--generate.
21400 (package-menu--generate): Use it.
21401 (package-delete): Update package-alist.
21402 (package-menu-execute): Don't call package-initialize.
21404 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
21405 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
21406 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
21407 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
21408 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
21409 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
21411 2013-06-25 Martin Rudalics <rudalics@gmx.at>
21413 * window.el (window--state-get-1): Workaround for bug#14527.
21414 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
21416 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
21418 * net/eww.el (eww-back-url): Implement the history by stashing all
21419 the data into a list.
21420 (eww-forward-url): Allow going forward in the history, too.
21422 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
21424 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
21425 for values and use read--expression for expressions (bug#14710).
21426 (read-file-local-variable): Avoid setq.
21427 (read-file-local-variable-mode): Use minor-mode-list.
21429 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21431 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
21434 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21436 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
21437 Update imenu-support when dialect changes.
21439 2013-06-25 Leo Liu <sdl.web@gmail.com>
21441 * ido.el (ido-read-internal): Allow forward slash on windows.
21443 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
21445 * net/eww.el (eww): Start of strings is \\`, not ^.
21447 2013-06-24 Ivan Kanis <ivan@kanis.fr>
21449 * net/shr.el (shr-browse-url): Fix interactive spec.
21451 * net/eww.el (eww): Add a trailing slash to domain names.
21453 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
21455 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
21457 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
21459 * net/shr.el (shr-browse-url): Use an external browser if given a
21462 * net/eww.el (eww-external-browser): Move to shr.
21464 2013-06-24 Ivan Kanis <ivan@kanis.fr>
21466 * net/eww.el (eww): Work more correctly for file: URLs.
21467 (eww-detect-charset): Allow quoted charsets.
21468 (eww-yank-page-url): New command and keystroke.
21470 2013-06-24 Daiki Ueno <ueno@gnu.org>
21472 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
21473 file name of gpg executable.
21474 (epg-context-program): New function.
21475 (epg-context-home-directory): New function.
21476 (epg-context-set-program): New function.
21477 (epg-context-set-home-directory): New function.
21478 (epg--start): Use `epg-context-program' instead of
21480 (epg--list-keys-1): Likewise.
21482 2013-06-24 Leo Liu <sdl.web@gmail.com>
21484 * ido.el (ido-read-internal): Fix bug#14620.
21486 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
21488 * faces.el (face-documentation): Simplify.
21489 (read-face-attribute, tty-find-type, x-resolve-font-name):
21490 Use `string-match-p'.
21491 (list-faces-display): Use `string-match-p'. Simplify.
21492 (face-spec-recalc): Check face to avoid face alias loops.
21493 (read-color): Use `string-match-p' and non-capturing parenthesis.
21495 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
21497 * net/shr.el (shr-rescale-image): Use the new
21498 :max-width/:max-height functionality.
21500 2013-06-23 Ivan Kanis <ivan@kanis.fr>
21502 * net/eww.el (eww-search-prefix): New variable.
21504 (eww-external-browser): New variable.
21505 (eww-mode-map): New keystroke.
21506 (eww-browse-with-external-browser): New command.
21508 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
21510 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
21512 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
21513 Don't skip aligning the next header field when padding is 0;
21514 otherwise, field width is not respected unless the title is as
21517 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
21519 * emacs-lisp/package.el (package-el-version): Remove.
21520 (package-process-define-package): Fix inf-loop.
21521 (package-install): Allow symbols as arguments again.
21523 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
21525 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
21526 add some more keyword-like methods.
21527 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
21529 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
21531 * bs.el (bs-buffer-show-mark): Make defvar-local.
21532 (bs-mode): Use setq-local.
21534 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
21535 (emacs-lock--try-unlocking): Make defvar-local.
21537 2013-06-22 Glenn Morris <rgm@gnu.org>
21539 * play/cookie1.el (cookie-apropos): Minor simplification.
21541 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
21543 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
21545 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
21546 `regexp-opt', it breaks the build during dumping.
21548 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
21550 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
21551 Highlight keyword-like methods on Kernel and Module with
21552 font-lock-builtin-face.
21553 (auto-mode-alist): Consolidate different entries into one regexp
21554 and add more *file-s.
21556 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
21558 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
21560 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
21561 (diary-entry): Use it in the action of this button type instead of
21564 * calendar/todo-mode.el: New version.
21565 (todo-add-category): Append new category to end of file and give
21566 it the highest number, instead of putting it at the beginning and
21567 giving it 0. Incorporate noninteractive functionality.
21568 (todo-forward-category): Adapt to 1-based category numbering.
21569 Allow skipping over archived categories.
21570 (todo-backward-category): Derive from todo-forward-category.
21571 (todo-backward-item, todo-forward-item): Make noninteractive and
21572 delegate interactive part to new commands. Make sensitive to done items.
21573 (todo-categories): Make value an alist of category names and
21574 vectors of item counts.
21575 (todo-category-beg): Make a defconst.
21576 (todo-category-number): Use 1 instead of 0 as initial value.
21577 (todo-category-select): Make sensitive to overlays, optional item
21578 highlighting and done items.
21579 (todo-delete-item): Make sensitive to overlays and marked and done items.
21580 (todo-edit-item): Make sensitive to overlays and editing of
21581 date/time header optional. Add format checks.
21582 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
21583 no-op if point is not on an item. Advertise using todo-edit-quit.
21584 (todo-edit-mode): Make sensitive to new format, font-locking, and
21585 multiple todo files.
21586 (todo-insert-item, todo-insert-item-here): Derive from
21587 todo-basic-insert-item and extend functionality.
21588 (todo-item-end, todo-item-start): Make sensitive to done items.
21589 (todo-item-string): Don't return text properties. Restore point.
21590 (todo-jump-to-category): Make sensitive to multiple todo files and
21591 todo archives. Use extended category completion.
21592 (todo-lower-item, todo-raise-item): Rename to *-priority and
21593 derive from todo-set-item-priority.
21594 (todo-mode): Derive from special-mode. Make sensitive to new
21595 format, font-locking and multiple todo files. Make read-only.
21596 (todo-mode-map): Don't suppress digit keys, so they can supply
21597 prefix arguments. Add many new key bindings.
21598 (todo-prefix): Insert as an overlay instead of file text.
21599 Change semantics from diary date expression to purely visual mark.
21600 (todo-print): Rename to todo-print-buffer. Make buffer display
21601 features printable. Remove option to restrict number of items
21602 printed. Add option to print to file.
21603 (todo-print-function): Rename to todo-print-buffer-function.
21604 (todo-quit): Extend to handle exiting new todo modes.
21605 (todo-remove-item): Make sensitive to overlays.
21606 (todo-save): Extend to buffers of filtered items.
21607 (todo-show): Make sensitive to done items, multiple todo files and
21608 new todo modes. Offer to convert legacy todo file before creating
21609 first new todo file.
21610 (todo-show-priorities): Rename to todo-top-priorities.
21611 Change semantics of value 0.
21612 (todo-top-priorities): Rename to todo-filter-top-priorities,
21613 derive from todo-filter-items and extend functionality.
21614 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
21615 and extend functionality to other types of filtered items.
21616 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
21617 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
21618 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
21619 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
21620 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
21621 (todo-edit-mode-hook, todo-entry-prefix-function)
21622 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
21623 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
21624 (todo-initials, todo-insert-threshold, todo-item-string-start)
21625 (todo-line-string, todo-menu, todo-mode-hook)
21626 (todo-more-important-p, todo-previous-answer, todo-previous-line)
21627 (todo-print-priorities, todo-remove-separator)
21628 (todo-save-top-priorities-too, todo-string-count-lines)
21629 (todo-string-multiline-p, todo-time-string-format)
21630 (todo-tmp-buffer-name): Remove.
21631 (todo-add-file, todo-archive-done-item, todo-choose-archive)
21632 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
21633 (todo-edit-category-diary-inclusion)
21634 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
21635 (todo-edit-file, todo-edit-item-date-day)
21636 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
21637 (todo-edit-item-date-month, todo-edit-item-date-to-today)
21638 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
21639 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
21640 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
21641 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
21642 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
21643 (todo-filter-top-priorities-multifile, todo-find-archive)
21644 (todo-find-filtered-items-file, todo-go-to-source-item)
21645 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
21646 (todo-jump-to-archive-category, todo-lower-category)
21647 (todo-mark-category, todo-marked-item-p, todo-merge-category)
21648 (todo-move-category, todo-move-item, todo-next-button)
21649 (todo-next-item, todo-padded-string, todo-powerset)
21650 (todo-previous-button, todo-previous-item)
21651 (todo-print-buffer-to-file, todo-raise-category)
21652 (todo-rename-category, todo-repair-categories-sexp, todo-search)
21653 (todo-set-category-number, todo-set-item-priority)
21654 (todo-set-top-priorities-in-category)
21655 (todo-set-top-priorities-in-file, todo-show-categories-table)
21656 (todo-sort-categories-alphabetically-or-numerically)
21657 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
21658 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
21659 (todo-toggle-item-header, todo-toggle-item-highlighting)
21660 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
21661 (todo-toggle-view-done-items, todo-toggle-view-done-only)
21662 (todo-unarchive-items, todo-unmark-category): New commands.
21663 (todo-absolute-file-name, todo-add-to-buffer-list)
21664 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
21665 (todo-basic-insert-item, todo-category-completions)
21666 (todo-category-number, todo-category-string-matcher-1)
21667 (todo-category-string-matcher-2, todo-check-filtered-items-file)
21668 (todo-check-format, todo-clear-matches)
21669 (todo-comment-string-matcher, todo-convert-legacy-date-time)
21670 (todo-current-category, todo-date-string-matcher)
21671 (todo-define-insertion-command, todo-diary-expired-matcher)
21672 (todo-diary-goto-entry, todo-diary-item-p)
21673 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
21674 (todo-display-categories, todo-display-sorted, todo-done-item-p)
21675 (todo-done-item-section-p, todo-done-separator)
21676 (todo-done-string-matcher, todo-files, todo-filter-items)
21677 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
21678 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
21679 (todo-insert-category-line, todo-insert-item-from-calendar)
21680 (todo-insert-sort-button, todo-insert-with-overlays)
21681 (todo-insertion-command-name, todo-insertion-key-bindings)
21682 (todo-label-to-key, todo-longest-category-name-length)
21683 (todo-make-categories-list, todo-mode-external-set)
21684 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
21685 (todo-modes-set-3, todo-multiple-filter-files)
21686 (todo-nondiary-marker-matcher, todo-prefix-overlays)
21687 (todo-read-category, todo-read-date, todo-read-dayname)
21688 (todo-read-file-name, todo-read-time)
21689 (todo-reevaluate-category-completions-files-defcustom)
21690 (todo-reevaluate-default-file-defcustom)
21691 (todo-reevaluate-filelist-defcustoms)
21692 (todo-reevaluate-filter-files-defcustom)
21693 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
21694 (todo-reset-done-separator, todo-reset-done-separator-string)
21695 (todo-reset-done-string, todo-reset-global-current-todo-file)
21696 (todo-reset-highlight-item, todo-reset-nondiary-marker)
21697 (todo-reset-prefix, todo-set-categories)
21698 (todo-set-date-from-calendar, todo-set-show-current-file)
21699 (todo-set-top-priorities, todo-short-file-name)
21700 (todo-show-current-file, todo-sort, todo-time-string-matcher)
21701 (todo-total-item-counts, todo-update-buffer-list)
21702 (todo-update-categories-display, todo-update-categories-sexp)
21703 (todo-update-count, todo-validate-name, todo-y-or-n-p):
21705 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
21707 (todo-categories, todo-display, todo-edit, todo-faces)
21708 (todo-filtered): New defgroups.
21709 (todo-archived-only, todo-button, todo-category-string, todo-date)
21710 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
21711 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
21712 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
21713 (todo-add-item-if-new-category, todo-always-add-time-string)
21714 (todo-categories-align, todo-categories-archived-label)
21715 (todo-categories-category-label, todo-categories-diary-label)
21716 (todo-categories-done-label, todo-categories-number-separator)
21717 (todo-categories-todo-label, todo-categories-totals-label)
21718 (todo-category-completions-files, todo-completion-ignore-case)
21719 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
21720 (todo-done-separator-string, todo-done-string)
21721 (todo-files-function, todo-filter-done-items, todo-filter-files)
21722 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
21723 (todo-initial-category, todo-initial-file, todo-item-mark)
21724 (todo-legacy-date-time-regexp, todo-mode-line-function)
21725 (todo-nondiary-marker, todo-number-prefix)
21726 (todo-print-buffer-function, todo-show-current-file)
21727 (todo-show-done-only, todo-show-first, todo-show-with-done)
21728 (todo-skip-archived-categories, todo-top-priorities-overrides)
21729 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
21730 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
21732 (todo-category-done, todo-date-pattern, todo-date-string-start)
21733 (todo-diary-items-buffer, todo-done-string-start)
21734 (todo-filtered-items-buffer, todo-item-start)
21735 (todo-month-abbrev-array, todo-month-name-array)
21736 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
21737 (todo-top-priorities-buffer): New defconsts.
21738 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
21739 (todo-categories-with-marks, todo-category-string-face)
21740 (todo-comment-face, todo-comment-string, todo-current-todo-file)
21741 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
21742 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
21743 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
21744 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
21745 (todo-font-lock-keywords, todo-global-current-todo-file)
21746 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
21747 (todo-insertion-commands-args)
21748 (todo-insertion-commands-args-genlist)
21749 (todo-insertion-commands-names, todo-insertion-map)
21750 (todo-key-bindings-t, todo-key-bindings-t+a)
21751 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
21752 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
21753 (todo-nondiary-face, todo-print-buffer, todo-time-face)
21754 (todo-visited): New variables.
21756 2013-06-21 Glenn Morris <rgm@gnu.org>
21758 * play/cookie1.el (cookie-apropos): Add optional display argument.
21759 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
21760 (psychoanalyze-pinhead): Use cookie-doctor.
21762 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21764 * emacs-lisp/package.el (tar-get-file-descriptor)
21765 (tar--extract): Declare.
21767 2013-06-21 Eduard Wiebe <usenet@pusto.de>
21769 Extend flymake's warning predicate to be a function (bug#14217).
21770 * progmodes/flymake.el (flymake-warning-predicate): New.
21771 (flymake-parse-line): Use it.
21772 (flymake-warning-re): Make obsolete alias to
21773 `flymake-warning-predicate'.
21775 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
21777 * emacs-lisp/package.el (package-alist): Include obsolete packages.
21778 (package-obsolete-list): Remove.
21779 (package-activate): Remove min-version argument. Add `force' argument.
21780 Adjust to new package-alist format.
21781 (package-mark-obsolete): Remove.
21782 (package-unpack): Force reload of the package's autoloads.
21783 (package-installed-p): Check builtins if the installed package is not
21785 (package-initialize): Don't reset package-obsolete-list.
21786 Don't specify which package version to activate.
21787 (package-process-define-package, describe-package-1)
21788 (package-menu--generate): Adjust to new package-alist format.
21790 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21792 * allout-widgets.el (allout-widgets-mode-off)
21793 (allout-widgets-mode-on, allout-widgets-pre-command-business)
21794 (allout-widgets-post-command-business)
21795 (allout-widgets-after-copy-or-kill-function)
21796 (allout-widgets-after-undo-function, allout-test-range-overlaps)
21797 (allout-decorate-item-and-context)
21798 (allout-graphics-modification-handler): Fix typos in docstrings.
21799 (allout-get-or-create-parent-widget): Use `looking-at-p'.
21801 * cmuscheme.el (scheme-start-file): Doc fix.
21802 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
21803 (scheme-input-filter): Use `string-match-p'.
21805 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
21807 * dired-x.el: Use Dired consistently in docstrings.
21809 * dired.el: Use Dired consistently in docstrings.
21810 (dired-readin, dired-mode): Use `setq-local'.
21811 (dired-switches-alist): Make defvar-local.
21812 (dired-buffers-for-dir): Use `zerop'.
21813 (dired-safe-switches-p, dired-switches-escape-p)
21814 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
21815 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
21816 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
21817 (dired-goto-next-nontrivial-file): Use `string-match-p'.
21818 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
21819 (dired-toggle-marks, dired-mark-files-containing-regexp)
21820 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
21821 (dired-flag-auto-save-files, dired-flag-backup-files):
21822 Use `looking-at-p'.
21823 (dired-mark-files-regexp, dired-build-subdir-alist):
21824 Use `string-match-p', `looking-at-p'.
21826 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
21827 (direct-print-region-helper): Use `string-match-p'.
21829 2013-06-21 Leo Liu <sdl.web@gmail.com>
21831 * comint.el (comint-redirect-results-list-from-process):
21834 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
21836 * net/eww.el (eww-update-header-line-format): Quote % characters.
21838 2013-06-21 Glenn Morris <rgm@gnu.org>
21840 * play/cookie1.el (cookie): New custom group.
21841 (cookie-file): New option.
21842 (cookie-check-file): New function.
21843 (cookie): Make it interactive. Make start and end messages optional.
21844 Interactively, display the result. Default to cookie-file.
21845 (cookie-insert): Default to cookie-file.
21846 (cookie-snarf): Make start and end messages optional.
21847 Default to cookie-file. Use with-temp-buffer.
21848 (cookie-read): Rename from read-cookie.
21849 Make start and end messages optional. Default to cookie-file.
21850 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
21851 Do not autoload it.
21852 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
21853 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
21855 2013-06-21 Leo Liu <sdl.web@gmail.com>
21857 * progmodes/octave.el (octave-mode): Backward compatibility fix.
21859 2013-06-21 Glenn Morris <rgm@gnu.org>
21861 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
21863 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
21864 Daniel Hackney <dan@haxney.org>
21866 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
21867 Consolidate the single-file vs tarball code.
21868 (package-desc-suffix): New function.
21869 (package-desc-full-name): Don't bother inlining it.
21870 (package-load-descriptor): Return the new package-desc.
21871 (package-mark-obsolete): Remove unused arg `package'.
21872 (package-unpack): Make it work for single files as well.
21873 Make it update package-alist.
21874 (package--make-autoloads-and-stuff): Rename from
21875 package--make-autoloads-and-compile. Don't compile any more.
21876 (package--compile): New function.
21877 (package-generate-description-file): New function, extracted from
21878 package-unpack-single.
21879 (package-unpack-single): Remove.
21880 (package--with-work-buffer): Add indentation and debugging info.
21881 (package-download-single): Remove.
21882 (package-install-from-archive): Rename from package-download-tar, make
21883 it take a pkg-desc, and make it work for single files as well.
21884 (package-download-transaction): Simplify.
21885 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
21886 external tar program.
21887 (package-install-from-buffer): Remove `pkg-desc' argument.
21888 Use package-tar-file-info for tar-mode buffers.
21889 (package-install-file): Simplify accordingly.
21890 (package-archive-base): Change to take a pkg-desc.
21891 * tar-mode.el (tar--check-descriptor): New function, extracted from
21892 tar-get-descriptor.
21893 (tar-get-descriptor): Use it.
21894 (tar-get-file-descriptor): New function.
21895 (tar--extract): New function, extracted from tar-extract.
21896 (tar--extract): Use it.
21897 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
21898 case the summary uses non-ascii. Adjust to new calling convention of
21899 package-tar-file-info.
21901 2013-06-21 Leo Liu <sdl.web@gmail.com>
21903 * comint.el (comint-redirect-results-list-from-process):
21904 Fix random delay. (Bug#14681)
21906 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21908 * profiler.el (profiler-format-number): Use log, not log10.
21910 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21912 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
21914 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
21916 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
21917 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
21919 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
21920 (AUTOGENEL): ... here.
21921 * emacs-lisp/cl-macs.el (cl--sublis): New function.
21922 (cl--defsubst-expand): Use it.
21924 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
21926 * subr.el (log10): Move here from C code, and declare as obsolete.
21927 All uses of (log10 X) replaced with (log X 10).
21929 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21931 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
21932 Declare with `defvar-local'.
21933 (tabulated-list-use-header-line, tabulated-list-entries)
21934 (tabulated-list-padding, tabulated-list-printer)
21935 (tabulated-list-sort-key): Declare with `defvar-local'.
21936 (tabulated-list-init-header, tabulated-list-print-fake-header):
21939 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
21941 * arc-mode.el (archive-mode): Add `archive-write-file' to
21942 `write-contents-functions' also for remote files. (Bug#14652)
21944 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21946 * cus-edit.el (custom-commands): Fix typos.
21947 (custom-display): Fix tooltip text.
21948 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
21949 Fix typos in docstrings.
21950 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
21951 (custom-unlispify-menu-entry, custom-magic-value-create)
21952 (custom-add-see-also, custom-group-value-create): Use ?\s.
21953 (custom-guess-type, customize-apropos, editable-field)
21954 (custom-face-value-create): Use `string-match-p'.
21955 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
21957 * custom.el (custom-load-symbol): Use `string-match-p'.
21959 * ansi-color.el: Convert to lexical binding.
21960 (ansi-colors): Fix URL.
21961 (ansi-color-context, ansi-color-context-region): Use defvar-local.
21962 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
21963 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
21965 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21967 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
21969 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
21971 2013-06-19 Tom Tromey <tromey@redhat.com>
21973 * net/eww.el (eww-top-url): Remove.
21974 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
21975 (eww-render): Set new variables. Don't set eww-top-url.
21976 (eww-handle-link): Handle "prev", "home", and "contents".
21977 Downcase the rel text.
21978 (eww-top-url): Choose best top URL.
21980 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21982 * net/eww.el: Rewrite to implement form elements "by hand" instead of
21983 relying in widget.el. Using widget.el leads to too many
21984 user interface inconsistencies.
21985 (eww-self-insert): Implement entering commands in text fields.
21986 (eww-process-text-input): New function to make text input field editing
21988 (eww-submit): Rewrite to use the new-style form methods.
21989 (eww-select-display): Display the correct selected item.
21990 (eww-change-select): Implement changing the select value.
21991 (eww-toggle-checkbox): Implement radio/checkboxes.
21992 (eww-update-field): Fix compilation error.
21993 (eww-tag-textarea): Implement <textarea>.
21995 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
21996 we don't shadow mode-specific bindings.
21998 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
22001 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
22003 2013-06-19 Glenn Morris <rgm@gnu.org>
22005 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
22007 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
22009 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
22012 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
22014 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
22016 * net/browse-url.el (browse-url-browser-function):
22017 `eww-browse-url' has the right calling signature, `eww' does not.
22019 2013-06-19 Glenn Morris <rgm@gnu.org>
22021 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
22022 Only eval autoloaded macros.
22023 (byte-compile-autoload): Only give the macro warning for macros.
22025 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
22026 (ps-underlined-faces): Declare.
22028 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
22029 (speedbar-add-supported-extension): Declare.
22031 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
22032 Don't include a date stamp in the header of the generated file;
22033 it leads to needless differences between output files.
22035 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
22037 * net/secrets.el (secrets-struct-secret-content-type):
22038 Replace check of introspection data by a test call of "CreateItem".
22039 Some servers do not offer introspection.
22041 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
22043 * electric.el (electric-pair-mode): Improve interaction with
22044 electric-layout-mode.
22045 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
22046 (electric-pair-syntax): Use text-mode-syntax-table in comments
22048 (electric-pair--insert): New function.
22049 (electric-pair-post-self-insert-function): Use it and
22050 electric--after-char-pos.
22052 2013-06-19 Leo Liu <sdl.web@gmail.com>
22054 * progmodes/octave.el (octave-help): Fix regexp.
22056 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
22058 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
22059 (shr-table-horizontal-line): Allow nil as a value, and change the
22061 (shr-insert-table-ruler): Respect the nil value.
22063 2013-06-18 Tom Tromey <tromey@barimba>
22065 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
22067 (eww-open-file): New defun.
22068 (eww-render): Initialize new variables.
22069 (eww-display-html): Handle "link" and "a".
22070 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
22071 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
22072 (eww-back-url): Rename from eww-previous-url.
22073 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
22076 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
22078 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
22079 Distinguish ternary operator tokens from slash symbol and slash
22082 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
22084 Convert symbol prettification into minor mode and global minor mode.
22086 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
22087 `prog-prettify-symbols', and make a local defvar instead of defcustom.
22088 (prettify-symbols--keywords): Rename from
22089 `prog-prettify-symbols-alist' and make a local defvar.
22090 (prettify-symbols--compose-symbol): Rename from
22091 `prog--prettify-font-lock-compose-symbol'.
22092 (prettify-symbols--make-keywords): Rename from
22093 `prog-prettify-font-lock-symbols-keywords' and simplify.
22094 (prog-prettify-install): Remove.
22095 (prettify-symbols-mode): New minor mode, based on
22096 `prog-prettify-install'.
22097 (turn-on-prettify-symbols-mode): New function.
22098 (global-prettify-symbols-mode): New globalized minor mode.
22100 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
22101 * progmodes/cfengine.el (cfengine3-mode):
22102 * progmodes/perl-mode.el (perl-mode): Don't call
22103 `prog-prettify-install'; set `prettify-symbols-alist' instead.
22105 2013-06-18 Juri Linkov <juri@jurta.org>
22107 * files-x.el (modify-file-local-variable-message): New function.
22108 (modify-file-local-variable)
22109 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
22110 and call `modify-file-local-variable-message' when it's non-nil.
22111 (add-file-local-variable, delete-file-local-variable)
22112 (add-file-local-variable-prop-line)
22113 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
22114 and use it. (Bug#9820)
22116 2013-06-18 Juri Linkov <juri@jurta.org>
22118 * emulation/vi.el (vi-shell-op):
22119 * emulation/vip.el (vip-execute-com, ex-command):
22120 * emulation/viper-cmd.el (viper-exec-bang):
22121 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
22122 the call of `shell-command-on-region'. (Bug#14637)
22124 * simple.el (shell-command-on-region): Doc fix.
22126 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
22128 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
22131 2013-06-18 Glenn Morris <rgm@gnu.org>
22133 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
22135 * newcomment.el (comment-search-forward, comment-search-backward):
22136 Doc fix. (Bug#14376)
22138 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
22140 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
22141 (buffer-face-mode-invoke): Doc fix.
22143 2013-06-18 Matthias Meulien <orontee@gmail.com>
22145 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
22146 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
22148 2013-06-18 Glenn Morris <rgm@gnu.org>
22150 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
22151 Replace obsolete function generic-make-keywords with its expansion.
22153 * progmodes/python.el (ffap-alist): Declare.
22155 * textmodes/reftex.el (bibtex-mode-map): Declare.
22157 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
22159 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
22160 (package-unpack, package-unpack-single): Return the pkg-dir.
22161 (package-download-transaction): Use it to update package-alist.
22163 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
22165 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
22168 2013-06-17 Juri Linkov <juri@jurta.org>
22170 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
22172 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
22174 * emacs-lisp/package.el (package-load-descriptor):
22175 Remove `with-syntax-table' call, `read' doesn't need it.
22176 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
22178 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
22180 * startup.el (command-line): Expand package name returned by
22181 `package--description-file' (bug#14639).
22183 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
22185 * emacs-lisp/package.el (package-load-descriptor): Do not call
22186 `emacs-lisp-mode', just use its syntax table.
22188 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
22190 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
22191 `font-lock-extra-managed-props' if any prettifying keyword is added.
22192 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
22193 (prog-mode): Use `setq-local'.
22195 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
22197 * international/characters.el (standard-case-table): Set syntax of ?»
22198 and ?« to punctuation.
22200 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
22202 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
22203 Save relevant match data before calling `syntax-ppss' (bug#14595).
22205 2013-06-15 Juri Linkov <juri@jurta.org>
22207 * files-x.el (modify-file-local-variable-prop-line): Add local
22208 variables to the end of the existing comment on the first line.
22209 Use `file-auto-mode-skip' to skip interpreter magic line,
22210 and also skip XML declaration.
22212 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
22214 * startup.el (package--builtin-versions): New var.
22215 (package-subdirectory-regexp): Remove.
22216 (package--description-file): Hard code its value instead.
22218 * emacs-lisp/package.el: Don't activate packages older than builtin.
22219 (package-obsolete-list): Rename from package-obsolete-alist, and make
22220 it into a simple list of package-desc.
22221 (package-strip-version): Remove.
22222 (package-built-in-p): Use package--builtin-versions.
22223 (package-mark-obsolete): Simplify.
22224 (package-process-define-package): Mark it obsolete if older than the
22226 (package-handle-response): Use line-end-position.
22227 (package-read-archive-contents, package--download-one-archive):
22229 (package--add-to-archive-contents): Skip if older than the builtin or
22231 (package-menu-describe-package): Fix last change.
22232 (package-list-unversioned): New var.
22233 (package-menu--generate): Use it.
22235 * emacs-lisp/autoload.el: Manage package--builtin-versions.
22236 (autoload--insert-text, autoload--insert-cookie-text): New functions.
22237 (autoload-builtin-package-versions): New variable.
22238 (autoload-generate-file-autoloads): Use them.
22239 Remove the list of autoloaded functions/macros from the
22240 (autoload...) comments.
22242 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
22244 2013-06-15 Eli Zaretskii <eliz@gnu.org>
22246 * simple.el (line-move-partial): Don't jump to the next screen
22247 line as soon as it becomes visible. Instead, continue enlarging
22248 the vscroll until the portion of a tall screen line that's left on
22249 display is about the height of the frame's default font.
22252 2013-06-15 Glenn Morris <rgm@gnu.org>
22254 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
22255 compilation-error-regexp-alist void, or local while let-bound.
22257 * progmodes/make-mode.el (makefile-mode-syntax-table):
22258 Treat "=" as punctuation. (Bug#14614)
22260 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
22262 * help-fns.el (describe-variable):
22263 Add extra line for permanent-local variables.
22265 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
22267 * progmodes/scheme.el (scheme-font-lock-keywords-2):
22268 Add export, import, library. (Bug#9164)
22269 (library): Set indent function.
22271 2013-06-14 Glenn Morris <rgm@gnu.org>
22273 * term/xterm.el (xterm--query):
22274 Stop after first matching handler. (Bug#14615)
22276 2013-06-14 Ivan Kanis <ivan@kanis.fr>
22278 Add support for dired in saveplace.
22279 * dired.el (dired-initial-position-hook): New variable.
22280 (dired-initial-position): Call hook to place cursor position.
22281 * saveplace.el (save-place-to-alist): Add dired position.
22282 (save-place-dired-hook): New function.
22284 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
22286 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
22287 through a symbol rather than letrec.
22289 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
22290 (package-desc): Add `dir' field.
22291 (package-desc-full-name): New function.
22292 (package-load-descriptor): Combine the two arguments. Don't use `load'.
22293 (package-maybe-load-descriptor): Remove.
22294 (package-load-all-descriptors): Just call package-load-descriptor.
22295 (package--disabled-p): New function.
22296 (package-desc-vers, package-desc-doc): Remove aliases.
22297 (package--dir): Remove function.
22298 (package-activate): Check if a package is disabled.
22299 (package-process-define-package): New function, extracted from
22301 (define-package): Turn into a place holder.
22302 (package-unpack-single, package-tar-file-info):
22303 Use package--description-file.
22304 (package-compute-transaction): Use package--disabled-p.
22305 (package-download-transaction): Don't call
22306 package-maybe-load-descriptor since they're all loaded anyway.
22307 (package-install): Change argument to be a pkg-desc.
22308 (package-delete): Use a single pkg-desc argument.
22309 (describe-package-1): Use package-desc-dir instead of package--dir.
22310 Use package-desc property instead of package-symbol.
22311 (package-install-button-action): Adjust accordingly.
22312 (package--push): Rewrite.
22313 (package-menu--print-info): Adjust accordingly. Change the ID format
22315 (package-menu-describe-package, package-menu-get-status)
22316 (package-menu--find-upgrades, package-menu-mark-upgrades)
22317 (package-menu-execute, package-menu--name-predicate):
22318 Adjust accordingly.
22319 * startup.el (package--description-file): New function.
22320 (command-line): Use it.
22321 * emacs-lisp/package-x.el (package-upload-buffer-internal):
22322 Use package-desc-version.
22324 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
22325 (byte-compile-preprocess): Use it.
22326 (byte-compile-file-form-defalias): Try a bit harder to use macros we
22327 can't quite recognize.
22328 (byte-compile-add-to-list): Remove.
22329 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
22330 (cconv-closure-convert): Add assertion.
22332 * emacs-lisp/map-ynp.el: Use lexical-binding.
22333 (map-y-or-n-p): Remove unused vars `tail' and `object'.
22334 Factor out some repeated code.
22336 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
22338 * subr.el (with-eval-after-load): New macro.
22339 (eval-after-load): Allow form to be a function.
22340 take advantage of lexical-binding.
22341 (do-after-load-evaluation): Use dolist and adjust to new format.
22342 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
22344 2013-06-13 Juri Linkov <juri@jurta.org>
22346 * replace.el (perform-replace): Display "symbol " and other search
22347 modes from `isearch-message-prefix' in the *Help* buffer.
22349 * isearch.el (isearch-query-replace): Add " symbol" and other
22350 possible search modes from `isearch-message-prefix' to the prompt.
22351 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
22352 when reading a regexp to collect.
22354 2013-06-13 Juri Linkov <juri@jurta.org>
22356 * isearch.el (word-search-regexp): Match whitespace if the search
22357 string begins or ends in whitespace. The LAX arg is applied to
22358 both ends of the search string. Use `regexp-quote' and explicit
22359 \< and \> instead of \b. Use \` and \' instead of ^ and $.
22360 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
22361 boundaries are replaced with symbol boundaries, and characters
22362 between symbols match non-word non-symbol syntax. (Bug#14602)
22364 2013-06-13 Juri Linkov <juri@jurta.org>
22366 * isearch.el (isearch-del-char): Don't exceed the length of
22367 `isearch-string' by the prefix arg. (Bug#14563)
22369 2013-06-13 Juri Linkov <juri@jurta.org>
22371 * isearch.el (isearch-yank-word, isearch-yank-line)
22372 (isearch-char-by-name, isearch-quote-char)
22373 (isearch-printing-char, isearch-process-search-char):
22374 Add optional count prefix arg. (Bug#14563)
22376 * international/isearch-x.el
22377 (isearch-process-search-multibyte-characters):
22378 Add optional count prefix arg.
22380 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
22382 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
22383 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
22386 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
22388 * subr.el (set-temporary-overlay-map): Add on-exit argument.
22390 2013-06-13 Glenn Morris <rgm@gnu.org>
22392 * startup.el (tty-handle-args):
22393 Don't just discard "--" and anything after. (Bug#14608)
22395 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
22397 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
22399 Implement changes in Secret Service API. Make it backward compatible.
22400 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
22401 (secrets-create-item): Use it. Prefix properties with interface.
22403 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
22405 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
22406 (term-emulate-terminal): Respect term-suppress-hard-newline.
22408 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
22410 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
22411 Only remove a `thumb-file' overlay. (Bug#14548)
22413 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
22415 * mail/reporter.el (reporter-submit-bug-report):
22416 Handle missing package-name. (Bug#14600)
22418 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
22420 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
22421 (reftex-citation-prompt, reftex-default-bibliography)
22422 (reftex-bib-or-thebib, reftex-get-bibfile-list)
22423 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
22424 (reftex-bib-sort-author, reftex-bib-sort-year)
22425 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
22426 (reftex-extract-bib-entries-from-thebibliography)
22427 (reftex-get-bibkey-default, reftex-get-bib-names)
22428 (reftex-parse-bibtex-entry, reftex-get-bib-field)
22429 (reftex-format-bib-entry, reftex-parse-bibitem)
22430 (reftex-format-bibitem, reftex-do-citation)
22431 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
22432 (reftex-restrict-bib-matches, reftex-extract-bib-file)
22433 (reftex-insert-bib-matches, reftex-format-citation)
22434 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
22435 (reftex-create-bibtex-file): Add docstrings, mostly by converting
22436 existing comments into docstrings.
22438 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
22440 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
22442 2013-06-12 Andreas Schwab <schwab@suse.de>
22444 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
22445 for auto-save files.
22447 2013-06-12 Glenn Morris <rgm@gnu.org>
22449 * ido.el (ido-delete-ignored-files): Remove.
22450 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
22451 Go back to calling ido-ignore-item-p directly.
22453 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
22455 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
22457 * ido.el (ido-delete-ignored-files): New function,
22458 split from ido-make-file-list-1.
22459 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
22460 (ido-make-file-list-1): Use ido-delete-ignored-files.
22462 2013-06-12 Leo Liu <sdl.web@gmail.com>
22464 * progmodes/octave.el (inferior-octave-startup)
22465 (inferior-octave-completion-table)
22466 (inferior-octave-track-window-width-change)
22467 (octave-eldoc-function-signatures, octave-help)
22468 (octave-find-definition): Use single quoted strings.
22469 (inferior-octave-startup-args): Change default value.
22470 (inferior-octave-startup): Do not hard code "-i" and
22471 "--no-line-editing".
22472 (inferior-octave-resync-dirs): Add optional arg NOERROR.
22473 (inferior-octave-directory-tracker): Use it.
22474 (octave-goto-function-definition): Robustify.
22475 (octave-help): Support highlighting operators in 'See also'.
22476 (octave-find-definition): Find subfunctions only in Octave mode.
22478 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
22480 * help-fns.el (help-fns--compiler-macro): If the handler function is
22481 named, then put a link to it.
22482 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
22483 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
22484 (cl-typep): Use it.
22485 (cl-eval-when): Simplify debug spec.
22486 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
22487 compiler-macro function instead of setting `compiler-macro-file'.
22489 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
22491 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
22492 * vc/vc-hooks.el (vc-stay-local): Doc fix.
22494 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
22495 Daniel Hackney <dan@haxney.org>
22497 First part of Daniel Hackney's patch to package.el.
22498 * emacs-lisp/package.el: Use defstruct.
22499 (package-desc): New, main struct.
22500 (package--bi-desc, package--ac-desc): New structs, used to describe the
22501 format in external files.
22502 (package-desc-vers): Replace with package-desc-version accessor.
22503 (package-desc-doc): Replace with package-desc-summary accessor.
22504 (package-activate-1): Remove `package' arg since the pkg-vec now
22506 (define-package): Use package-desc-from-define.
22507 (package-unpack-single): Change file-name arg to be a symbol.
22508 (package--add-to-archive-contents): Use package-desc-create and new
22509 accessor functions to package--ac-desc.
22510 (package-buffer-info, package-tar-file-info): Return a package-desc.
22511 (package-install-from-buffer): Remove `type' argument. Change pkg-info
22512 arg to be a package-desc.
22513 (package-install-file): Adjust accordingly. Use \' to match EOS.
22514 (package--from-builtin): New function.
22515 (describe-package-1, package-menu--generate): Use it.
22516 (package--make-autoloads-and-compile): Change name arg to be a symbol.
22517 (package-generate-autoloads): Idem and return the name of the file.
22518 * emacs-lisp/package-x.el (package-upload-buffer-internal):
22519 Change pkg-info arg to be a package-desc.
22520 Use package-make-ac-desc.
22521 (package-upload-file): Use \' to match EOS.
22522 * finder.el (finder-compile-keywords): Use package-make-builtin.
22524 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
22526 * vc/vc.el (vc-deduce-fileset): Change error message.
22527 (vc-read-backend): New function.
22528 (vc-next-action): Use it.
22530 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
22532 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
22533 (prolog-font-lock-keywords): Use regexp-opt instead.
22534 Don't manually highlight strings.
22535 (prolog-mode-variables): Simplify comment-start-skip.
22536 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
22538 * emacs-lisp/generic.el (generic--normalise-comments)
22539 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
22540 (generic-mode-set-comments): Use them.
22541 (generic-bracket-support): Use setq-local.
22542 (generic-make-keywords-list): Declare obsolete.
22544 2013-06-11 Glenn Morris <rgm@gnu.org>
22546 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
22547 Prettify after setting font-lock-defaults. (Bug#14574)
22549 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
22551 * replace.el (query-replace, occur-read-regexp-defaults-function)
22553 * subr.el (declare-function, number-sequence, local-set-key)
22554 (substitute-key-definition, locate-user-emacs-file)
22555 (with-silent-modifications, split-string, eval-after-load):
22556 Fix typos, remove unneeded backslashes and reflow some docstrings.
22558 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
22560 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
22561 default for Elisp files.
22563 2013-06-11 Glenn Morris <rgm@gnu.org>
22565 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
22566 although define-derived-mode was doing this anyway. (Bug#14583)
22568 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
22570 * allout.el (allout-encryption-plaintext-sanitization-regexps):
22571 Fix make-variable-buffer-local call to refer to the correct variable.
22573 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
22575 * eshell/em-term.el (eshell-visual-commands)
22576 (eshell-visual-subcommands, eshell-visual-options):
22577 Add summary line to docstrings. Add cross-references.
22579 2013-06-10 Glenn Morris <rgm@gnu.org>
22581 * epa.el (epa-read-file-name): New function. (Bug#14510)
22582 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
22584 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
22586 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
22587 output redirection to be ignored with visual commands.
22589 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
22591 * eshell/em-term.el (eshell-visual-command-p): New function.
22592 (eshell-term-initialize): Move long lambda to separate function
22593 eshell-visual-command-p.
22594 * eshell/em-dirs.el (eshell-dirs-initialize):
22595 * eshell/em-script.el (eshell-script-initialize):
22596 Add missing #' to lambda.
22598 2013-06-08 Leo Liu <sdl.web@gmail.com>
22600 * progmodes/octave.el (octave-add-log-current-defun): New function.
22601 (octave-mode): Set add-log-current-defun-function.
22602 (octave-goto-function-definition): Do not move point if not found.
22603 (octave-find-definition): Enhance to try subfunctions first.
22605 2013-06-08 Glenn Morris <rgm@gnu.org>
22607 * emacs-lisp/bytecomp.el (byte-compile-char-before)
22608 (byte-compile-backward-char, byte-compile-backward-word):
22609 Improve previous change, to handle non-explicit nil.
22611 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
22613 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
22614 (smie--opener/closer-at-point): New function.
22615 (smie--matching-block-data): Use it. Don't match from right after an
22616 opener or right before a closer. Obey smie-blink-matching-inners.
22617 Don't signal a mismatch for repeated inners like "switch..case..case".
22619 2013-06-07 Leo Liu <sdl.web@gmail.com>
22621 * progmodes/octave.el (octave-mode): Set comment-use-global-state
22623 (octave-function-header-regexp): Fix. (Bug#14570)
22624 (octave-help-mode-finish-hook, octave-help-mode-finish):
22625 Remove. Just use temp-buffer-show-hook.
22627 * newcomment.el (comment-search-backward): Revert last change.
22630 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
22632 2013-06-07 Eli Zaretskii <eliz@gnu.org>
22634 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
22635 through xargs, to avoid failure due to MS-Windows limitations on
22636 command-line length.
22638 2013-06-06 Glenn Morris <rgm@gnu.org>
22640 * font-lock.el (lisp-font-lock-keywords-2):
22641 Treat user-error like error.
22643 * emacs-lisp/bytecomp.el (byte-compile-char-before)
22644 (byte-compile-backward-char, byte-compile-backward-word):
22645 Handle explicit nil arguments. (Bug#14565)
22647 2013-06-05 Alan Mackenzie <acm@muc.de>
22649 * isearch.el (isearch-allow-prefix): New user option.
22650 (isearch-other-meta-char): Don't exit isearch when a prefix
22651 argument is typed whilst `isearch-allow-prefix' is non-nil.
22654 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22656 * autorevert.el (auto-revert-notify-handler): Use memq.
22657 Hide assertion failure.
22659 * skeleton.el: Use cl-lib.
22660 (skeleton-further-elements): Use defvar-local.
22661 (skeleton-insert): Use cl-progv.
22663 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
22665 * progmodes/prog-mode.el (prog-prettify-symbols)
22666 (prog-prettify-install): Update docstrings.
22668 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22670 * simple.el: Move all the prog-mode code to prog-mode.el.
22671 * progmodes/prog-mode.el: New file.
22672 * loadup.el: Add prog-mode.el.
22674 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
22676 * simple.el (prog-prettify-symbols): Add version.
22677 (prog-prettify-install): Add convenience function to prettify symbols.
22679 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
22680 (perl--augmented-font-lock-keywords-1)
22681 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
22682 variables and use it.
22684 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
22685 (cfengine3-mode): Remove unneeded variable and use it.
22687 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
22688 (lisp--augmented-font-lock-keywords-1)
22689 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
22690 Remove unneeded variables and use it.
22692 2013-06-05 João Távora <joaotavora@gmail.com>
22694 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
22695 to point when opening the connection. (Bug#14380)
22697 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22699 * subr.el (load-history-regexp, load-history-filename-element)
22700 (eval-after-load, after-load-functions, do-after-load-evaluation)
22701 (eval-next-after-load, display-delayed-warnings)
22702 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
22703 definition of save-match-data.
22704 (overriding-local-map): Remove accidental obsolescence declaration.
22706 * emacs-lisp/edebug.el (edebug-result): Move before first use.
22708 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
22710 Generalize symbol prettify support to prog-mode and implement it
22711 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
22712 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
22713 (prog--prettify-font-lock-compose-symbol)
22714 (prog-prettify-font-lock-symbols-keywords): New variables and
22715 functions to support symbol prettification.
22716 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
22717 (lisp--augmented-font-lock-keywords-1)
22718 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
22719 (lisp--prettify-symbols-alist): Implement prettify of lambda.
22720 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
22721 (cfengine3--prettify-symbols-alist, cfengine3-mode):
22722 Implement prettify of -> => :: strings.
22723 * progmodes/perl-mode.el (perl-prettify-symbols)
22724 (perl--font-lock-compose-symbol)
22725 (perl--font-lock-symbols-keywords): Move to prog-mode.
22726 (perl--prettify-symbols-alist): Prettify -> => :: strings.
22727 (perl-font-lock-keywords-1)
22728 (perl-font-lock-keywords-2): Remove explicit prettify support.
22729 (perl--augmented-font-lock-keywords)
22730 (perl--augmented-font-lock-keywords-1)
22731 (perl--augmented-font-lock-keywords-2, perl-mode):
22732 Implement prettify support.
22734 2013-06-05 Leo Liu <sdl.web@gmail.com>
22736 Re-implement SMIE matching block highlight using
22737 show-paren-data-function. (Bug#14395)
22738 * emacs-lisp/smie.el (smie-matching-block-highlight)
22739 (smie--highlight-matching-block-overlay)
22740 (smie--highlight-matching-block-lastpos)
22741 (smie-highlight-matching-block)
22742 (smie-highlight-matching-block-mode): Remove.
22743 (smie--matching-block-data-cache): New variable.
22744 (smie--matching-block-data): New function.
22745 (smie-setup): Use smie--matching-block-data for
22746 show-paren-data-function.
22748 * progmodes/octave.el (octave-mode-menu): Fix.
22749 (octave-find-definition): Skip garbage lines.
22751 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22753 Fix compilation error with simultaneous dynamic+lexical scoping.
22754 Add warning when a defvar appears after the first let-binding.
22755 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
22756 (byte-compile-close-variables): Initialize it.
22757 (byte-compile--declare-var): New function.
22758 (byte-compile-file-form-defvar)
22759 (byte-compile-file-form-define-abbrev-table)
22760 (byte-compile-file-form-custom-declare-variable): Use it.
22761 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
22762 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
22763 (byte-compile-bind): Handle dynamic bindings that shadow
22765 (byte-compile-unbind): Make arg non-optional.
22766 (byte-compile-let): Simplify.
22767 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
22768 (cconv--analyse-function, cconv-analyse-form): Populate it.
22769 Protect byte-compile-bound-variables to limit the scope of defvars.
22770 (cconv-analyse-form): Add missing rule for (defvar <foo>).
22771 Remove unneeded rule for `declare'.
22773 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
22774 so as to avoid depending on cl-adjoin at run-time.
22775 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
22777 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
22778 (macroexp--warn-and-return): Use it.
22780 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
22782 * subr.el: Convert to lexical binding.
22783 (overriding-local-map): Make obsolete.
22784 (add-to-list): Doc fix. Add compiler macro.
22785 (read-key): Swap values of local maps.
22787 2013-06-05 Leo Liu <sdl.web@gmail.com>
22789 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
22791 2013-06-04 Leo Liu <sdl.web@gmail.com>
22793 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
22794 (compilation-auto-jump): Suppress the "Mark set" message to give
22795 way to exit message.
22797 2013-06-04 Alan Mackenzie <acm@muc.de>
22799 Remove faulty optimization from indentation calculation.
22800 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
22801 search limit based on 2000 characters back from indent-point.
22803 2013-06-03 Tassilo Horn <tsdh@gnu.org>
22805 * eshell/em-term.el (cl-lib): Require `cl-lib'.
22807 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
22809 * emacs-lisp/lisp.el: Use lexical-binding.
22810 (lisp--local-variables-1, lisp--local-variables): New functions.
22811 (lisp--local-variables-completion-table): New var.
22812 (lisp-completion-at-point): Use it complete let-bound vars.
22814 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
22815 eagerly (bug#14422).
22817 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
22819 * autorevert.el (auto-revert-notify-enabled)
22820 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
22821 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
22822 (auto-revert-notify-handler): Handle also gfilenotify.
22824 * subr.el (file-notify-handle-event): New defun. Replacing ...
22825 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
22828 2013-06-03 Juri Linkov <juri@jurta.org>
22830 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
22831 `M-s h .'. (Bug#14427)
22833 * hi-lock.el (highlight-symbol-at-point): New alias for the new
22834 command `hi-lock-face-symbol-at-point'.
22835 (hi-lock-face-symbol-at-point): New command.
22836 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
22837 (hi-lock-menu): Add `highlight-symbol-at-point'.
22838 (hi-lock-mode): Doc fix.
22840 * isearch.el (isearch-forward-symbol-at-point): New command.
22841 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
22842 (isearch-highlight-regexp): Add a regexp which matches
22843 words/symbols for word/symbol mode.
22845 * subr.el (find-tag-default-bounds): New function with the body
22846 mostly moved from `find-tag-default'.
22847 (find-tag-default): Move most code to `find-tag-default-bounds',
22848 call it and apply `buffer-substring-no-properties' afterwards.
22850 2013-06-03 Tassilo Horn <tsdh@gnu.org>
22852 * eshell/em-term.el (eshell-term-initialize):
22853 Use `cl-intersection' rather than `intersection'.
22855 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
22857 * vc/log-view.el: Doc fix.
22858 (log-view-mode-map): Copy keymap from `special-mode-map'.
22860 2013-06-02 Eric Ludlam <zappo@gnu.org>
22862 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
22863 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
22864 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
22865 (eieio-unbound, eieio-default-superclass)
22866 (eieio--define-field-accessors, method-static, method-before)
22867 (method-primary, method-after, method-num-lists)
22868 (method-generic-before, method-generic-primary)
22869 (method-generic-after, method-num-slots)
22870 (eieio-specialized-key-to-generic-key)
22871 (eieio--check-type, class-v, class-p)
22872 (eieio-class-name, define-obsolete-function-alias)
22873 (eieio-class-parents-fast, eieio-class-children-fast)
22874 (same-class-fast-p, class-constructor, generic-p)
22875 (generic-primary-only-p, generic-primary-only-one-p)
22876 (class-option-assoc, class-option, eieio-object-p)
22877 (class-abstract-p, class-method-invocation-order)
22878 (eieio-defclass-autoload-map, eieio-defclass-autoload)
22879 (eieio-class-un-autoload, eieio-defclass)
22880 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
22881 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
22882 (eieio--defgeneric-init-form, eieio-defgeneric-form)
22883 (eieio-defgeneric-reset-generic-form)
22884 (eieio-defgeneric-form-primary-only)
22885 (eieio-defgeneric-reset-generic-form-primary-only)
22886 (eieio-defgeneric-form-primary-only-one)
22887 (eieio-defgeneric-reset-generic-form-primary-only-one)
22888 (eieio-unbind-method-implementations)
22889 (eieio--defmethod, eieio--typep)
22890 (eieio-perform-slot-validation, eieio-validate-slot-value)
22891 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
22892 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
22893 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
22894 (eieio-slot-name-index, eieio-class-slot-name-index)
22895 (eieio-set-defaults, eieio-initarg-to-attribute)
22896 (eieio-attribute-to-initarg, eieio-c3-candidate)
22897 (eieio-c3-merge-lists, eieio-class-precedence-c3)
22898 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
22899 (eieio-class-precedence-list, eieio-generic-call-methodname)
22900 (eieio-generic-call-arglst, eieio-generic-call-key)
22901 (eieio-generic-call-next-method-list)
22902 (eieio-pre-method-execution-functions, eieio-generic-call)
22903 (eieio-generic-call-primary-only, eieiomt-method-list)
22904 (eieiomt-optimizing-obarray, eieiomt-install)
22905 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
22906 (eieio-generic-form, eieio-defmethod, make-obsolete)
22907 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
22908 (defclass): Remove `eval-and-compile' from macro.
22909 (call-next-method, shared-initialize): Instead of using
22910 `scoped-class' variable, use new eieio--scoped-class, and
22911 eieio--with-scoped-class.
22912 (initialize-instance): Rename local variable 'scoped-class' to
22913 'this-class' to remove ambiguitity from old global.
22915 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
22917 (eieio--scoped-class-stack): New variable.
22918 (eieio--scoped-class): New fcn.
22919 (eieio--with-scoped-class): New scoping macro.
22920 (eieio-defclass): Use pushnew instead of add-to-list.
22921 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
22922 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
22923 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
22924 `scoped-class' variable, use new eieio--scoped-class, and
22925 eieio--with-scoped-class.
22927 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
22929 2013-06-02 Tassilo Horn <tsdh@gnu.org>
22931 * eshell/esh-ext.el (eshell-external-command): Pass args to
22932 `eshell-find-interpreter'.
22933 (eshell-find-interpreter): Add new second parameter ARGS.
22935 * eshell/em-script.el (eshell-script-initialize): Add second arg
22936 to the function added as MATCH to `eshell-interpreter-alist'.
22938 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
22939 the function added as MATCH to `eshell-interpreter-alist'.
22941 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
22942 (eshell-visual-options): New defcustom.
22943 (eshell-escape-control-x): Adapt docstring.
22944 (eshell-term-initialize): Test `eshell-visual-subcommands' and
22945 `eshell-visual-options' in addition to `eshell-visual-commands'.
22946 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
22948 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
22950 * progmodes/python.el (python-indent-block-enders): Add break,
22951 continue and raise keywords.
22953 2013-06-01 Glenn Morris <rgm@gnu.org>
22955 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
22957 Plain (f)boundp silences compilation warnings since Emacs 22.1.
22958 * progmodes/cc-cmds.el (delete-forward-p):
22959 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
22960 * progmodes/cc-engine.el (buffer-syntactic-context):
22961 * progmodes/cc-fonts.el (face-property-instance):
22962 * progmodes/cc-mode.el (set-keymap-parents):
22963 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
22964 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
22965 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
22966 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
22967 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
22969 * progmodes/cc-vars.el (other): Emacs has this widget since
22970 at least 21.1, so don't (re)define it.
22972 * eshell/em-cmpl.el (eshell-cmpl-initialize):
22973 Replace the obsolete alias pcomplete-arg-quote-list.
22975 2013-06-01 Leo Liu <sdl.web@gmail.com>
22977 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
22978 punctuation syntax.
22979 (inferior-octave-minimal-columns)
22980 (inferior-octave-last-column-width): New variables.
22981 (inferior-octave-track-window-width-change): New function.
22982 (inferior-octave-mode): Adjust column width so that Octave output,
22983 for example from 'ls', can fit into the window nicely.
22985 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22987 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22988 Highlight expansions inside regexp literals.
22990 2013-05-31 Glenn Morris <rgm@gnu.org>
22992 * obsolete/sym-comp.el (symbol-complete):
22993 Replace obsolete completion-annotate-function.
22995 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
22997 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22999 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
23000 New function, checks if point is inside a literal that allows
23001 expression expansion.
23002 (ruby-syntax-propertize-expansion): Use it.
23003 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
23006 2013-05-30 Juri Linkov <juri@jurta.org>
23008 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
23010 (isearch-invisible): New variable.
23011 (isearch-forward): Doc fix.
23012 (isearch-mode): Set `isearch-invisible'
23013 to the value of `search-invisible'.
23014 (isearch-toggle-case-fold): Doc fix.
23015 (isearch-toggle-invisible): New command.
23016 (isearch-query-replace): Let-bind `search-invisible'
23017 to the value of `isearch-invisible'.
23018 (isearch-search): Use `isearch-invisible' instead of
23019 `search-invisible'. Let-bind `search-invisible'
23020 to the value of `isearch-invisible'. (Bug#11378)
23022 2013-05-30 Juri Linkov <juri@jurta.org>
23024 * replace.el (perform-replace): Avoid `isearch-range-invisible'
23025 call when `query-flag' is nil and `search-invisible' is non-nil.
23028 2013-05-30 Glenn Morris <rgm@gnu.org>
23030 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
23032 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
23033 (cc-require): Suppress spurious "noruntime" warnings.
23034 (cc-require-when-compile): Use fboundp, for sake of compiler.
23036 * progmodes/cc-mode.el: Move load of cc-vars before that of
23037 cc-langs (which in turn loads cc-vars), to quieten compiler.
23039 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
23041 * paren.el: Simplify the code.
23042 (show-paren-mode): Always start the timer.
23043 (show-paren--idle-timer): Rename from show-paren-idle-timer.
23044 (show-paren--overlay, show-paren--overlay-1): Rename from
23045 show-paren-overlay and show-paren-overlay-1, and initialize to an
23046 overlay rather than to nil.
23047 (show-paren-function): Misc cleanup and simplifications.
23049 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
23051 * paren.el (show-paren-data-function): New hook.
23052 (show-paren--default): New function, extracted from show-paren-function.
23053 (show-paren-function): Use show-paren-data-function.
23055 2013-05-30 Glenn Morris <rgm@gnu.org>
23057 * ielm.el (ielm-map, ielm-complete-symbol):
23058 Use completion-at-point rather than obsolete functions.
23059 (inferior-emacs-lisp-mode): Doc fix.
23060 Set completion-at-point-functions, rather than
23061 comint-dynamic-complete-functions.
23063 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
23064 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
23065 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
23067 * image.el (image-animated-p): Tweak definition.
23069 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
23070 (rlogin-process-connection-type): Tweak default. Add set-after.
23071 (rlogin-host): Doc fix.
23072 (rlogin): Tweak prompt.
23073 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
23075 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
23076 * progmodes/tcl.el (inferior-tcl-mode-map):
23077 Use completion-at-point rather than obsolete alias.
23079 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
23081 * minibuffer.el (read-file-name-completion-ignore-case):
23082 Move before completion--in-region, for eager macro expansion.
23084 2013-05-29 Juri Linkov <juri@jurta.org>
23086 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
23087 for total count of matching lines. Add `global-matches' for total
23088 count of matches. Rename `matches' to `lines' for count of
23089 matching lines. Add `matches' for count of matches.
23090 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
23091 to `prev-line' for line number of prev match endpt.
23092 Increment `matches' for every match. Print the number of
23093 matching lines in the header.
23094 (occur-context-lines): Rename `lines' to `curr-line'.
23095 Rename `prev-lines' to `prev-line'. (Bug#14017)
23097 2013-05-29 Juri Linkov <juri@jurta.org>
23099 * replace.el (perform-replace): Add `skip-read-only-count',
23100 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
23101 Increment them for corresponding conditions and report the number
23102 of skipped occurrences in the final message. (Bug#11746)
23103 (query-replace, query-replace-regexp, query-replace-regexp-eval)
23104 (replace-string, replace-regexp): Doc fix.
23106 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
23108 * emacs-lisp/trace.el (trace--read-args): Provide a default.
23110 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
23111 prog-mode-map (bug#14504).
23113 2013-05-29 Leo Liu <sdl.web@gmail.com>
23115 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
23116 (octave-help): Small simplification.
23118 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
23119 off the highlight first.
23121 2013-05-29 Glenn Morris <rgm@gnu.org>
23123 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
23124 Handle idlwave-last-system-routine-info-cons-cell being nil.
23126 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
23127 (idlwave-write-paths): Simplify via with-temp-buffer.
23129 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
23130 * emulation/cua-rect.el: Also load cua-base at run time.
23132 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
23133 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
23134 (cperl-imenu-on-info): Require imenu.
23136 2013-05-28 Alan Mackenzie <acm@muc.de>
23138 Handle "capitalised keywords" correctly.
23139 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
23141 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
23143 * eshell/em-unix.el: Add -r option to cp.
23145 2013-05-28 Glenn Morris <rgm@gnu.org>
23147 * vc/vc-arch.el (vc-exec-after): Declare.
23148 (vc-switches): Autoload.
23149 * vc/vc-bzr.el: No need to require vc when compiling.
23150 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
23151 (vc-resynch-buffer, vc-dir-refresh): Declare.
23152 (vc-setup-buffer, vc-switches): Autoload.
23153 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
23154 (vc-resynch-buffer): Declare.
23155 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
23156 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
23157 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
23158 (grep-read-regexp, grep-read-files, grep-expand-template)
23159 (vc-dir-refresh): Declare.
23160 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
23161 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
23162 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
23163 * vc/vc-mtn.el (vc-exec-after): Declare.
23164 (vc-switches): Autoload.
23165 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
23166 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
23167 (vc-file-tree-walk): Declare.
23168 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
23169 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
23170 (vc-tag-precondition, vc-rename-master): Autoload.
23171 * vc/vc-svn.el (vc-exec-after): Declare.
23172 (vc-switches, vc-setup-buffer): Autoload.
23173 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
23175 (vc-resynch-buffer): Declare.
23177 * obsolete/fast-lock.el (byte-compile-warnings):
23178 Don't warn about obsolete features in this obsolete file.
23180 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
23181 Move definition before use.
23183 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
23184 (dun-unix-verbs): Remove dun-zippy.
23185 (dun-zippy): Remove function.
23187 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
23189 2013-05-27 Juri Linkov <juri@jurta.org>
23191 * replace.el (replace-search): New function with code moved out
23192 from `perform-replace'.
23193 (replace-highlight, replace-dehighlight): Move function definitions
23194 up closer to `replace-search'. (Bug#11746)
23196 2013-05-27 Juri Linkov <juri@jurta.org>
23198 * replace.el (perform-replace): Ignore invisible matches.
23199 In addition to checking `query-replace-skip-read-only', also
23200 filter out matches by calling `run-hook-with-args-until-failure'
23201 on `isearch-filter-predicates', and also check `search-invisible'
23202 for t or call `isearch-range-invisible'.
23203 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
23205 2013-05-27 Juri Linkov <juri@jurta.org>
23207 * isearch.el (isearch-filter-predicates): Rename from
23208 `isearch-filter-predicate'. Doc fix. (Bug#11378)
23209 (isearch-message-prefix): Display text from the property
23210 `isearch-message-prefix' of the currently active filters.
23211 (isearch-search): Don't compare `isearch-filter-predicate' with
23212 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
23213 on `isearch-filter-predicates'. Also check `search-invisible' for t
23214 or call `isearch-range-invisible'.
23215 (isearch-filter-visible): Make obsolete.
23216 (isearch-lazy-highlight-search):
23217 Call `run-hook-with-args-until-failure' on
23218 `isearch-filter-predicates' and use `isearch-range-invisible'.
23220 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
23221 `isearch-filter-predicates' instead of `funcall'ing
23222 `isearch-filter-predicate'.
23223 (Info-mode): Set `Info-isearch-filter' to
23224 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
23226 * dired-aux.el (dired-isearch-filter-predicate-orig):
23228 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
23229 (dired-isearch-filenames-end): Add and remove
23230 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
23231 instead of changing the value of `isearch-filter-predicate'.
23232 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
23233 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
23234 Put property `isearch-message-prefix' to "filename " on
23235 `dired-isearch-filter-filenames'.
23237 * wdired.el (wdired-change-to-wdired-mode):
23238 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
23239 locally instead of changing `isearch-filter-predicate'.
23240 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
23242 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
23244 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
23245 return the commit hash (Bug#14459). Also set the
23246 `vc-git-detached' property.
23247 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
23248 (vc-git-mode-line-string): Use the same help-echo format whether
23249 in detached mode or not, because we know the actual revision now.
23250 When in detached mode, shorten the revision to 7 chars.
23252 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
23254 * emacs-lisp/easy-mmode.el (define-minor-mode):
23255 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
23256 mode hook and provide a docstring.
23258 2013-05-27 Alan Mackenzie <acm@muc.de>
23260 Remove spurious syntax-table text properties inserted by C-y.
23261 * progmodes/cc-mode.el (c-after-change): Also clear hard
23262 syntax-table property with value nil.
23264 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
23266 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
23267 when reading the events; the buffer layout shall not be changed.
23269 2013-05-27 Leo Liu <sdl.web@gmail.com>
23271 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
23273 (inferior-octave-directory-tracker): Automatically re-sync
23275 (octave-help): Improve handling of 'See also'.
23277 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
23279 * doc-view.el: Minor naming convention tweaks.
23280 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
23282 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
23283 even if there's no `display' property yet (bug#14435).
23285 2013-05-25 Eli Zaretskii <eliz@gnu.org>
23287 * subr.el (unmsys--file-name): Rename from reveal-filename.
23289 * Makefile.in (custom-deps, finder-data, autoloads)
23290 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
23291 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
23292 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
23294 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
23296 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
23297 error-completion on the first 2 args of condition-case (bug#14446).
23300 2013-05-25 Leo Liu <sdl.web@gmail.com>
23302 * comint.el (comint-previous-matching-input): Do not flood the
23303 *Messages* buffer with trivial messages.
23305 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
23307 * progmodes/flymake.el (flymake-nop): Don't return a string.
23308 (flymake-set-at): Fix typo.
23310 * simple.el (read--expression): New function, extracted from
23311 eval-expression. Set completion-at-point-functions (bug#14465).
23312 (eval-expression, eval-minibuffer): Use it.
23314 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
23316 * progmodes/flymake.el (flymake-save-buffer-in-file)
23317 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
23318 (flymake-selected-frame, flymake-log, flymake-ins-after)
23319 (flymake-set-at, flymake-get-buildfile-from-cache)
23320 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
23321 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
23322 Refine the doc string.
23323 (flymake-get-file-name-mode-and-masks): Reformat.
23324 (flymake-get-real-file-name-function): Fix a minor bug.
23326 2013-05-24 Juri Linkov <juri@jurta.org>
23328 * progmodes/grep.el (grep-mode-font-lock-keywords):
23329 Support =linenumber= format used by git-grep for lines with
23330 function names. (Bug#13549)
23332 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
23334 * progmodes/octave.el (octave-smie-rules): Return nil rather than
23335 0 after a semi-colon; it works better for smie-auto-fill.
23336 (octave--indent-new-comment-line): New function.
23337 (octave-indent-new-comment-line): Use it (indirectly).
23338 (octave-mode): Don't disable smie-auto-fill. Use add-function to
23339 modify comment-line-break-function.
23341 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
23342 (smie-setup): Use add-function to set it.
23344 2013-05-24 Sam Steingold <sds@gnu.org>
23346 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
23347 argument (before the `interactive' argument).
23349 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
23351 * image-mode.el (image-mode-winprops): Add winprops to
23352 image-mode-winprops-alist before running
23353 image-mode-new-window-functions.
23354 * doc-view.el (doc-view-new-window-function): Don't delay
23355 doc-view-goto-page via timers (bug#14435).
23357 2013-05-24 Tassilo Horn <tsdh@gnu.org>
23359 * doc-view.el: Integrate with desktop.el. (Bug#14435)
23360 (doc-view-desktop-save-buffer): New function.
23361 (doc-view-restore-desktop-buffer): New function.
23362 (desktop-buffer-mode-handlers):
23363 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
23365 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
23366 `desktop-save-buffer' function.
23368 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
23370 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
23371 (tramp-gvfs-file-name-handler): Raise a user error when
23372 `tramp-gvfs-enabled' is nil.
23373 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
23374 Do not raise a user error when loading package. (Bug#14447)
23376 * net/xesam.el: Move to obsolete/.
23378 2013-05-24 Glenn Morris <rgm@gnu.org>
23380 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
23382 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
23384 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
23385 (Info-find-node, Man-getpage-in-background): Declare.
23387 * mail/unrmail.el (unrmail):
23388 Replace obsolete detect-coding-with-priority.
23390 * net/socks.el (socks-split-string): Use this rather than split-string.
23391 (socks-nslookup-host): Update for above change.
23392 (dynamic-choice, s5-dynamic-choice-match)
23393 (s5-dynamic-choice-match-inline, s5-widget-value-create):
23394 Comment out unused code.
23396 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
23397 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
23398 (gud-tooltip-echo-area): Make obsolete.
23399 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
23401 * progmodes/js.el (js--optimize-arglist): Declare.
23403 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
23405 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
23406 (ediff-window-C): Declare.
23408 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
23409 Tweak requires to silence compiler.
23411 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
23412 (he-search-string, he-tried-table, he-expand-list)
23413 (he-init-string, he-string-member, he-substitute-string)
23414 (he-reset-string): Declare.
23416 * obsolete/options.el (list-options): Use custom-variable-p,
23417 rather than obsolete alias.
23419 2013-05-23 Sam Steingold <sds@gnu.org>
23421 * simple.el (shell-command-on-region): Pass the `replace' argument
23422 down to `call-process-region' to comply with the doc as reported on
23423 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
23425 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
23427 * emacs-lisp/smie.el (smie-indent-forward-token)
23428 (smie-indent-backward-token): Handle string tokens (bug#14381).
23430 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23432 * ielm.el (ielm-menu): New menu.
23433 (inferior-emacs-lisp-mode): Set comment-start.
23435 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23437 * textmodes/reftex.el (reftex-ref-style-toggle):
23438 Fix deactivate action.
23440 * textmodes/reftex-vars.el (reftex-ref-style-alist):
23441 Add cleveref macros.
23443 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
23444 Accept options for bibliography commands.
23445 * textmodes/reftex-vars.el (reftex-bibliography-commands):
23446 Add addbibresource. Basic Biblatex support.
23448 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
23450 * net/tramp-gvfs.el (top):
23451 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
23452 when loading package. (Bug#14447)
23454 2013-05-23 Glenn Morris <rgm@gnu.org>
23456 * progmodes/js.el: No need to load comint when compiling.
23457 (ring-insert, comint-send-string, comint-send-input)
23458 (comint-last-input-end, ido-chop): Declare.
23460 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
23461 * vc/ediff-mult.el: Adjust requires.
23462 (ediff-directories-internal, ediff-directory-revisions-internal)
23463 (ediff-patch-file-internal): Declare.
23464 * vc/ediff-ptch.el: Adjust requires.
23465 (ediff-use-last-dir, ediff-buffers-internal): Declare.
23466 (ediff-find-file): Autoload.
23467 * vc/ediff-util.el: No need to load ediff when compiling.
23468 (ediff-regions-internal): Declare.
23469 * vc/ediff-wind.el: Adjust requires.
23470 (ediff-compute-toolbar-width): Define when compiling.
23471 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
23472 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
23473 (dired-get-filename, dired-get-marked-files)
23474 (ediff-last-dir-patch, ediff-patch-default-directory)
23475 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
23476 (ediff-patch-buffer-internal): Declare.
23478 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
23479 (ispell-process, ispell-buffer-local-words, lm-summary)
23480 (lm-section-start, lm-section-end): Declare.
23481 (checkdoc-ispell-init): Simplify.
23483 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
23484 (he-string-member, he-reset-string, he-substitute-string): Declare.
23486 * eshell/em-ls.el: Adjust requires.
23487 (eshell-glob-regexp): Declare.
23488 * eshell/em-tramp.el: Adjust requires.
23489 (eshell-parse-command): Autoload.
23490 * eshell/em-xtra.el: Adjust requires.
23491 (eshell-parse-command): Autoload.
23492 * eshell/esh-ext.el: Adjust requires.
23493 (eshell-parse-command, eshell-close-handles): Autoload.
23494 * eshell/esh-io.el: Adjust requires.
23495 (eshell-output-filter): Autoload.
23496 * eshell/esh-util.el: No need to load tramp when compiling.
23497 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
23499 (eshell-parse-ange-ls): Require ange-ftp and tramp.
23500 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
23501 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
23502 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
23503 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
23504 * eshell/esh-opt.el, eshell/esh-proc.el:
23505 * eshell/esh-var.el: Adjust requires.
23506 * eshell/eshell.el: Do not require esh-util twice.
23507 (eshell-add-input-to-history): Declare.
23508 (eshell-command): Check history module is active before using it.
23510 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
23512 2013-05-22 Leo Liu <sdl.web@gmail.com>
23514 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
23516 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
23518 * autorevert.el (auto-revert-notify-add-watch)
23519 (auto-revert-notify-handler): Add `attrib' for the inotify case,
23520 it indicates changes in file modification time.
23522 2013-05-22 Glenn Morris <rgm@gnu.org>
23524 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
23525 Always delete the autoloaded function from the noruntime and
23526 unresolved functions lists.
23528 * allout.el: No need to load epa, epg, overlay when compiling.
23529 (epg-context-set-passphrase-callback, epg-list-keys)
23530 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
23531 (epg-key-user-id-list): Declare.
23533 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
23534 (viper-set-parsing-style-toggling-macro)
23535 (viper-set-emacs-state-searchstyle-macros):
23536 Use called-interactively-p on Emacs.
23537 (viper-looking-back): Make it an obsolete alias. Update callers.
23538 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
23539 Use looking-back rather than viper-looking-back.
23540 (viper-tmp-insert-at-eob, viper-enlarge-region)
23541 (viper-read-string-with-history, viper-register-to-point)
23542 (viper-append-to-register, viper-change-state-to-vi)
23543 (viper-backward-char-carefully, viper-forward-char-carefully)
23544 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
23545 (viper-change-state-to-emacs): Declare.
23546 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
23547 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
23548 * emulation/viper-mous.el: Do not load viper-cmd.
23549 (viper-backward-char-carefully, viper-forward-char-carefully)
23550 (viper-forward-word, viper-adjust-window): Declare.
23552 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
23554 * progmodes/idlw-help.el (idlwave-help-fontify):
23555 Use called-interactively-p.
23557 * term/w32console.el (w32-get-console-codepage)
23558 (w32-get-console-output-codepage): Declare.
23560 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
23561 Remove unnecessary declarations.
23562 (dframe-message): Doc fix.
23564 * info.el (dframe-select-attached-frame, dframe-current-frame):
23567 * speedbar.el (speedbar-message): Make it an obsolete alias.
23568 Update all callers.
23569 (speedbar-with-attached-buffer)
23570 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
23571 (speedbar-with-writable): Use backquote.
23572 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
23573 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
23574 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
23575 rather than speedbar- aliases.
23576 * mail/rmail.el: Load dframe rather than speedbar when compiling.
23577 (speedbar-make-specialized-keymap, speedbar-insert-button)
23578 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
23579 (speedbar-do-function-pointer): Declare.
23580 (rmail-speedbar-button, rmail-speedbar-find-file)
23581 (rmail-speedbar-move-message):
23582 Use dframe-with-attached-buffer rather than speedbar- alias.
23583 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
23584 (dframe-message, speedbar-make-specialized-keymap)
23585 (speedbar-add-expansion-list, speedbar-mode-functions-list)
23586 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
23587 (speedbar-insert-button, dframe-select-attached-frame)
23588 (dframe-maybee-jump-to-attached-frame)
23589 (speedbar-change-initial-expansion-list)
23590 (speedbar-previously-used-expansion-list-name): Declare.
23591 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
23592 Use dframe-message, dframe-with-attached-buffer rather than
23594 (gud-sentinel): Silence compiler.
23595 * progmodes/vhdl-mode.el (speedbar-refresh)
23596 (speedbar-do-function-pointer, speedbar-add-supported-extension)
23597 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
23598 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
23599 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
23600 (speedbar-file-lists, speedbar-make-tag-line)
23601 (speedbar-line-directory, speedbar-goto-this-file)
23602 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
23603 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
23604 (speedbar-make-button, speedbar-reset-scanners)
23605 (speedbar-files-item-info, speedbar-line-text)
23606 (speedbar-find-file-in-frame, speedbar-set-timer)
23607 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
23608 (speedbar-with-writable): Do not (re)define it.
23609 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
23610 rather than speedbar- alias.
23612 2013-05-21 Leo Liu <sdl.web@gmail.com>
23614 * progmodes/octave.el (octave-mode-menu): Update and re-organize
23616 (octave-mode): Tweak fill-nobreak-predicate.
23617 (inferior-octave-startup): Check process to avoid infinite loop.
23618 (inferior-octave): Pop to buffer first to show abornmal process
23621 2013-05-21 Glenn Morris <rgm@gnu.org>
23623 * printing.el (pr-menu-bar): Define when compiling.
23625 2013-05-21 Leo Liu <sdl.web@gmail.com>
23627 * progmodes/octave.el (octave-auto-fill): Remove.
23628 (octave-indent-new-comment-line): Improve.
23629 (octave-mode): Use auto fill mode through
23630 comment-line-break-function and fill-nobreak-predicate.
23631 (octave-goto-function-definition): Support DEFUN_DLD.
23632 (octave-beginning-of-defun): Small tweak.
23633 (octave-help): Show parent directory.
23635 2013-05-21 Glenn Morris <rgm@gnu.org>
23637 * files.el (dired-unmark):
23638 * progmodes/gud.el (gdb-input): Update declarations.
23640 * calculator.el (electric, ehelp): No need to load when compiling.
23641 (Electric-command-loop, electric-describe-mode): Declare.
23643 * doc-view.el (doc-view-current-converter-processes): Move before use.
23645 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
23646 Move MODE-set-explicitly definition before use.
23648 * international/mule-diag.el (mule-diag):
23649 Don't use obsolete window-system-version.
23651 * mail/feedmail.el (smtpmail): No need to load when compiling.
23652 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
23654 * mail/mail-utils.el (rfc822): No need to load when compiling.
23655 (rfc822-addresses): Autoload it.
23656 (mail-strip-quoted-names): Trivial simplification.
23658 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
23659 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
23661 * net/snmp-mode.el (tempo): Don't duplicate requires.
23663 * progmodes/prolog.el (info): No need to load when compiling.
23664 (comint): Require before shell requires it.
23665 (Info-goto-node): Autoload it.
23666 (Info-follow-nearest-node): Declare.
23667 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
23669 * textmodes/artist.el (picture-mode-exit): Declare.
23671 * textmodes/reftex-parse.el (reftex-parse-from-file):
23672 Trivial rewrite so the compiler can parse it better.
23674 2013-05-20 Leo Liu <sdl.web@gmail.com>
23676 * progmodes/octave.el (octave-help-mode-map)
23677 (octave-help-mode-finish-hook): New variables.
23678 (octave-help-mode, octave-help-mode-finish): New functions.
23679 (octave-help): Use octave-help-mode.
23681 2013-05-20 Glenn Morris <rgm@gnu.org>
23683 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
23685 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
23687 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
23688 start at point, so that expansion starting right after opening
23689 slash in a regexp is recognized.
23690 (ruby-syntax-before-regexp-re): New defvar, extracted from
23691 ruby-syntax-propertize-function. Since the value of this regexp
23692 is looked up at runtime now, we should be able to turn
23693 `ruby-syntax-methods-before-regexp' into a defcustom later.
23694 (ruby-syntax-propertize-function): Split regexp matching into two
23695 parts, for opening and closing slashes. That allows us to skip
23696 over string interpolations and support multiline regexps.
23697 Don't call `ruby-syntax-propertize-expansions', instead use another rule
23698 for them, which calls `ruby-syntax-propertize-expansion'.
23699 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
23700 call to `ruby-syntax-propertize-function'.
23701 (ruby-syntax-propertize-expansion): Extracted from
23702 `ruby-syntax-propertize-expansions'. Handles one expansion.
23703 (ruby-syntax-propertize-percent-literal): Leave point right after
23704 the percent symbol, so that the expression expansion rule can
23705 propertize the contents.
23706 (ruby-syntax-propertize-heredoc): Leave point at bol following the
23708 (ruby-syntax-propertize-expansions): Remove.
23710 2013-05-18 Juri Linkov <juri@jurta.org>
23712 * man.el (Man-default-man-entry): Remove `-' from the end
23713 of the default value. (Bug#14400)
23715 2013-05-18 Glenn Morris <rgm@gnu.org>
23717 * comint.el (comint-password-prompt-regexp):
23718 Allow "password for XXX" where XXX contains colons (eg https://...).
23720 2013-05-18 Leo Liu <sdl.web@gmail.com>
23722 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
23723 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
23724 (octave-source-directories): Don't check process.
23725 (octave-source-directories, octave-find-definition): Doc fix.
23727 2013-05-18 Glenn Morris <rgm@gnu.org>
23729 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
23730 Remove backspace/delete bindings. (Bug#14392)
23732 * cus-dep.el (custom-make-dependencies): Sort the output.
23733 (custom-versions-load-alist): Convert comment to doc.
23735 2013-05-17 Leo Liu <sdl.web@gmail.com>
23737 * newcomment.el (comment-search-backward): Stricter in finding
23738 comment start. (Bug#14303)
23740 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
23741 (octave-comment-start-skip): Properly anchored.
23743 2013-05-17 Leo Liu <sdl.web@gmail.com>
23745 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
23746 Clean up when turned off. (Bug#14395)
23747 (smie--highlight-matching-block-overlay): No longer buffer-local.
23748 (smie-highlight-matching-block): Adjust.
23750 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
23752 Doc string fix for "nanoseconds" (Bug#14406).
23753 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
23754 Fix doc string typo that had "nanoseconds" instead of "microseconds".
23756 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
23758 * calc/calc-units.el (math-extract-units): Preserve powers
23761 2013-05-17 Leo Liu <sdl.web@gmail.com>
23763 * subr.el (delete-consecutive-dups): New function.
23764 * ido.el (ido-set-matches-1): Use it.
23765 * progmodes/octave.el (inferior-octave-completion-table): Use it.
23766 * ido.el (ido-remove-consecutive-dups): Remove.
23768 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
23770 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
23771 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
23772 regexp-opt's `words'.
23774 2013-05-16 Leo Liu <sdl.web@gmail.com>
23776 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
23777 (smie--highlight-matching-block-overlay)
23778 (smie--highlight-matching-block-lastpos)
23779 (smie--highlight-matching-block-timer): New variables.
23780 (smie-highlight-matching-block): New function.
23781 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
23782 (smie-setup): Conditionally enable smie-blink-matching-open.
23784 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
23786 Sync with upstream verilog-mode r840.
23787 * progmodes/verilog-mode.el (verilog-mode-version)
23788 (verilog-mode-release-date): Update.
23789 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
23790 (verilog-sig-tieoff): Fix string error on
23791 AUTORESET with colon define, bug594. Reported by Andrew Hou.
23792 (verilog-read-decls): Fix parameters confusing
23793 AUTOINST interfaces, bug565. Reported by Leith Johnson.
23795 2013-05-16 Eli Zaretskii <eliz@gnu.org>
23797 * subr.el (reveal-filename): New function.
23799 * loadup.el: Compute Emacs executable versions on MS-Windows,
23800 where executables have the .exe extension. Add a hard link
23801 emacs-XX.YY.ZZ.exe on MS-Windows.
23803 * Makefile.in (XARGS_LIMIT): New variable.
23804 (custom-deps, finder-data, autoloads)
23805 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
23806 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
23807 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
23808 (compile-main): Limit xargs according to $(XARGS_LIMIT).
23810 2013-05-16 Leo Liu <sdl.web@gmail.com>
23812 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
23813 (octave-mode-menu, octave-mode-map): Remove its uses.
23815 2013-05-16 Reto Zimmermann <reto@gnu.org>
23817 Sync with upstream vhdl mode v3.34.2.
23818 * progmodes/vhdl-mode.el: Use `push' throughout.
23819 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
23820 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
23821 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
23822 (vhdl-actual-generic-name): New option to derive actual generic name.
23823 (vhdl-port-paste-signals): Replace formal by actual generics.
23824 (vhdl-beautify): New name for old group vhdl-align. Update users.
23825 (vhdl-beautify-options): New option.
23826 (vhdl-last-input-event): New compat alias. Use throughout.
23827 (vhdl-goto-line): Replace user level function `goto-line'.
23828 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
23829 vhdl-fix-statement-buffer.
23830 (vhdl-create-mode-menu): Add some entries.
23831 (vhdl-align-region-groups): Respect vhdl-beautify-options.
23832 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
23833 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
23834 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
23835 to force statements on one line.
23836 (vhdl-remove-trailing-spaces-region):
23837 New, split from vhdl-remove-trailing-spaces.
23838 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
23839 Respect vhdl-beautify-options.
23840 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
23841 (vhdl-update-sensitivity-list): Not add with index if exists without.
23842 Not include array index with signal. Ignore keywords in comments.
23843 (vhdl-get-visible-signals): Regexp tweaks.
23844 (vhdl-template-component-inst): Handle empty library.
23845 (vhdl-template-type): Add template for 'enum' type.
23846 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
23847 Use vhdl-replace-string.
23848 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
23849 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
23850 (vhdl-speedbar-initialize): Update for above name change.
23851 (vhdl-compose-wire-components): Fix in handling of constants.
23852 (vhdl-error-regexp-emacs-alist): New variable.
23853 (vhdl-error-regexp-add-emacs): New function;
23854 adds support for new compile.el (Emacs 22+)
23855 (vhdl-generate-makefile-1): Change target order for single lib. units.
23856 Allow use of absolute file names.
23858 2013-05-16 Leo Liu <sdl.web@gmail.com>
23860 * simple.el (prog-indent-sexp): Indent enclosing defun.
23862 2013-05-15 Glenn Morris <rgm@gnu.org>
23864 * cus-start.el (show-trailing-whitespace): Move to editing basics.
23865 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
23866 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
23867 (whitespace-highlight): Move to whitespace group.
23869 * comint.el (comint-source):
23870 * pcmpl-linux.el (pcmpl-linux):
23871 * shell.el (shell-faces):
23872 * eshell/esh-opt.el (eshell-opt):
23873 * international/ccl.el (ccl): Remove empty custom groups.
23875 * completion.el (dynamic-completion-mode):
23876 * jit-lock.el (jit-lock-debug-mode):
23877 * minibuffer.el (completion-in-region-mode):
23878 * type-break.el (type-break-mode-line-message-mode)
23879 (type-break-query-mode):
23880 * emulation/tpu-edt.el (tpu-edt-mode):
23881 * progmodes/subword.el (global-subword-mode, global-superword-mode):
23882 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
23883 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
23885 * term/xterm.el (xterm): Change parent group to terminals.
23887 * master.el (master): Remove empty custom group.
23888 (master-mode): Remove unused :group argument.
23889 * textmodes/refill.el (refill): Remove empty custom group.
23890 (refill-mode): Remove unused :group argument.
23892 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
23894 * cus-dep.el: Provide a feature.
23895 (custom-make-dependencies): Ignore dotfiles (dir-locals).
23896 Don't mistakenly ignore files whose basenames match a basename
23897 from preloaded-file-list (eg cedet/ede/simple.el).
23898 Add a fallback method for getting :group.
23900 2013-05-15 Juri Linkov <juri@jurta.org>
23902 * isearch.el (isearch-char-by-name): Rename from
23903 `isearch-insert-char-by-name'. Doc fix.
23904 (isearch-forward): Mention `isearch-char-by-name' in
23905 the docstring. (Bug#13348)
23907 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
23908 `exit-minibuffer' instead of
23909 `isearch-nonincremental-exit-minibuffer'.
23910 (isearch-edit-string): Remove mention of
23911 `isearch-nonincremental-exit-minibuffer' from docstring.
23912 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
23913 (isearch-forward-exit-minibuffer)
23914 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
23916 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23918 * loadup.el: Just use unversioned DOC.
23920 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
23921 literals as extending to EOB.
23922 (nxml-last-fontify-end): Remove unused variable.
23923 (nxml-after-change1): Use with-silent-modifications.
23924 (nxml-extend-after-change-region): Simplify.
23925 (nxml-extend-after-change-region1): Remove function.
23926 (nxml-after-change1): Don't adjust for dependent regions.
23927 (nxml-fontify-matcher): Simplify.
23928 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
23929 (xmltok-add-dependent): Remove function.
23930 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
23931 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
23932 (xmltok-scan-prolog-after-processing-instruction-open): Treat
23933 unclosed <[[, <?, comment, and other literals as extending to EOB.
23934 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
23935 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
23937 (rng-do-some-validation-1): Don't mark dependent regions.
23938 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
23939 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
23940 (nxml-clear-dependent-regions): Remove functions.
23941 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
23942 (nxml-ensure-scan-up-to-date):
23943 Don't clear&mark dependent regions.
23945 2013-05-15 Leo Liu <sdl.web@gmail.com>
23947 * progmodes/octave.el (octave-goto-function-definition):
23948 Improve and fix callers.
23950 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23952 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
23953 the setter (bug#14387).
23955 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
23956 surrounding group (bug#14402).
23958 2013-05-14 Juri Linkov <juri@jurta.org>
23960 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
23963 2013-05-14 Glenn Morris <rgm@gnu.org>
23965 * progmodes/f90.el (f90-imenu-generic-expression):
23966 Fix typo in 2013-05-08 change. (Bug#14402)
23968 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23970 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
23971 Remove signals for which replies are never received.
23973 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23975 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
23976 (gdb-handler-alist, gdb-handler-number): Remove variables.
23977 (gdb-handler-list): New variable.
23978 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
23979 (gdb-pending-handler-p, gdb-handle-reply)
23980 (gdb-remove-all-pending-triggers): New functions.
23981 (gdb-discard-unordered-replies): New defcustom.
23982 (gdb-handler): New defstruct.
23983 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
23984 instead of gdb-pending-triggers. Update docstring.
23985 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
23986 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
23987 (gdb-var-update-handler, def-gdb-auto-update-trigger)
23988 (def-gdb-auto-update-handler, gdb-get-changed-registers)
23989 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
23990 (gdb-frame-handler): Pending triggers are now automatically managed.
23991 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
23993 (gdb-input): Automatically handles pending triggers. Update docstring.
23994 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
23995 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
23997 (gdb-done-or-error): Now use gdb-handle-reply.
23999 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
24001 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
24004 2013-05-14 Glenn Morris <rgm@gnu.org>
24006 * subr.el (user-emacs-directory-warning): New option.
24007 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
24009 2013-05-14 Leo Liu <sdl.web@gmail.com>
24011 * progmodes/octave.el (octave-font-lock-keywords): Fix error
24013 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
24014 (octave-font-lock-texinfo-comment): Fix invalid search bound
24015 error: wrong side of point.
24017 2013-05-14 Glenn Morris <rgm@gnu.org>
24019 * progmodes/flymake.el (flymake-xml-program): New option.
24020 (flymake-xml-init): Use it.
24022 * term/xterm.el: Provide a feature.
24024 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
24026 2013-05-13 Glenn Morris <rgm@gnu.org>
24028 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
24029 Add compat aliases as a hack workaround. (Bug#14384)
24031 2013-05-13 Leo Liu <sdl.web@gmail.com>
24033 * progmodes/octave.el (octave-indent-comment): Fix indentation for
24035 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
24037 (octave-comment-start-skip): Include %!.
24038 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
24040 2013-05-12 Leo Liu <sdl.web@gmail.com>
24042 * progmodes/octave.el (inferior-octave-startup): Store the value
24043 of __octave_srcdir__ for octave-source-directories.
24044 (inferior-octave-check-process): New function refactored out of
24045 inferior-octave-send-list-and-digest.
24046 (octave-source-directories)
24047 (octave-find-definition-filename-function): New variables.
24048 (octave-source-directories)
24049 (octave-find-definition-default-filename): New functions.
24050 (octave-find-definition): Improve to find functions implemented in C++.
24052 2013-05-12 Glenn Morris <rgm@gnu.org>
24054 * calendar/diary-lib.el (diary-outlook-format-1):
24055 Don't include dayname in the output. (Bug#14349)
24057 2013-05-11 Glenn Morris <rgm@gnu.org>
24059 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
24061 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
24062 Treat cc-provide like provide.
24064 2013-05-11 Kevin Ryde <user42@zip.com.au>
24066 * cus-dep.el (custom-make-dependencies):
24067 Use generated-autoload-load-name for the sake of files such
24068 such cedet/semantic/bovine/c.el, where the base file name
24069 is not in load-path. (Bug#5277)
24071 2013-05-11 Glenn Morris <rgm@gnu.org>
24073 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
24076 2013-05-11 Leo Liu <sdl.web@gmail.com>
24078 * progmodes/octave.el (octave-indent-comment): Improve.
24079 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
24080 (octave-eldoc-function-signatures, octave-eldoc-function):
24082 (octave-mode, inferior-octave-mode): Add eldoc support.
24084 2013-05-11 Richard Stallman <rms@gnu.org>
24086 * epa.el (epa-decrypt-file): Take output file name as argument
24087 and read it using `interactive'.
24089 2013-05-11 Leo Liu <sdl.web@gmail.com>
24091 * progmodes/octave.el (octave-beginning-of-line)
24092 (octave-end-of-line): Check before using up-list because it jumps
24093 out of more syntactic contructs since moving to smie.
24094 (octave-indent-comment): New function.
24095 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
24096 (octave-begin-keywords, octave-end-keywords)
24097 (octave-reserved-words, octave-smie-bnf-table)
24098 (octave-smie-rules): Add new keywords from Octave 3.6.4.
24100 2013-05-11 Glenn Morris <rgm@gnu.org>
24102 * faces.el (internal-face-x-get-resource):
24103 * frame.el (ns-display-monitor-attributes-list):
24104 * calc/calc-aent.el (math-to-radians-2):
24105 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
24108 * calc/calc-menu.el: Make it loadable in isolation.
24110 * net/eudcb-bbdb.el: Make it loadable without bbdb.
24111 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
24112 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
24113 (eudc-bbdb-query-internal): Require 'bbdb.
24115 * lpr.el (lpr-headers-switches):
24116 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
24118 * progmodes/sql.el (sql-login-params): Fix and improve :type.
24120 * emulation/edt-mapper.el: In batch mode, error rather than hang.
24122 * term.el (term-set-escape-char): Make it idempotent.
24124 2013-05-10 Leo Liu <sdl.web@gmail.com>
24126 * progmodes/octave.el (inferior-octave-completion-table):
24127 No longer a function and all uses changed. Use cache to speed up
24128 completion due to bug#11906.
24129 (octave-beginning-of-defun): Re-write to be more general.
24131 2013-05-10 Glenn Morris <rgm@gnu.org>
24133 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
24135 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
24137 * comint.el (comint-redirect-send-command-to-process): Use :around
24138 rather than :override for comint-redirect-filter.
24139 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
24140 Call it instead of comint-redirect-original-filter-function (which
24141 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
24143 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
24145 * frame.el (display-monitor-attributes-list): Add NS case.
24146 (ns-display-monitor-attributes-list): Declare.
24148 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
24150 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
24152 2013-05-09 Glenn Morris <rgm@gnu.org>
24154 * international/fontset.el (vertical-centering-font-regexp):
24155 Set standard-value.
24157 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
24159 * bookmark.el (bookmark-search-delay):
24160 * cus-start.el (vertical-centering-font-regexp):
24161 * ps-mule.el (ps-mule-font-info-database-default):
24162 * ps-print.el (ps-default-fg, ps-default-bg):
24163 * type-break.el (type-break-good-break-interval):
24164 * whitespace.el (whitespace-indentation-regexp)
24165 (whitespace-space-after-tab-regexp):
24166 * emacs-lisp/testcover.el (testcover-1value-functions)
24167 (testcover-noreturn-functions, testcover-progn-functions)
24168 (testcover-prog1-functions):
24169 * emulation/viper-init.el (viper-emacs-state-cursor-color):
24170 * eshell/em-glob.el (eshell-glob-translate-alist):
24171 * play/tetris.el (tetris-tty-colors):
24172 * progmodes/cpp.el (cpp-face-default-list):
24173 * progmodes/flymake.el (flymake-allowed-file-name-masks):
24174 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
24175 (idlwave-help-browser-generic-args):
24176 * progmodes/make-mode.el (makefile-special-targets-list):
24177 * progmodes/python.el (python-shell-virtualenv-path):
24178 * progmodes/verilog-mode.el (verilog-active-low-regexp)
24179 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
24180 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
24181 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
24182 * textmodes/reftex-vars.el (reftex-format-label-function):
24183 * textmodes/remember.el (remember-diary-file): Fix custom types.
24185 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
24188 2013-05-09 Leo Liu <sdl.web@gmail.com>
24190 * progmodes/octave.el (inferior-octave-completion-at-point):
24191 Restore file completion. (Bug#14300)
24192 (inferior-octave-startup): Fix incorrect highlighting for the
24195 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
24197 * progmodes/ruby-mode.el: First cut at SMIE support.
24198 (ruby-use-smie): New var.
24199 (ruby-smie-grammar): New constant.
24200 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
24201 (ruby-smie--forward-token, ruby-smie--backward-token)
24202 (ruby-smie-rules): New functions.
24203 (ruby-mode-variables): Setup SMIE if applicable.
24205 2013-05-08 Eli Zaretskii <eliz@gnu.org>
24207 * simple.el (line-move-visual): Signal beginning/end of buffer
24208 only if vertical-motion moved less than it was requested. Avoids
24209 silly incorrect error messages when there are display strings with
24210 multiple newlines at EOL.
24212 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
24214 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
24215 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
24216 (prolog-char-quote-workaround):
24217 * progmodes/cperl-mode.el (cperl-under-as-char):
24218 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
24220 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
24222 (vhdl-mode-syntax-table-init): Remove.
24224 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
24227 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
24229 (ld-script-font-lock-keywords):
24230 Change regexps to use things like \_< and \_>.
24232 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
24233 Change all regexps to use things like \_< and \_>.
24235 * progmodes/autoconf.el (autoconf-definition-regexp)
24236 (autoconf-font-lock-keywords, autoconf-current-defun-function):
24237 Handle a _ with symbol syntax.
24238 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
24240 * progmodes/ada-mode.el (ada-mode-abbrev-table):
24241 Consolidate declaration.
24242 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
24244 (ada-create-syntax-table): Remove.
24245 (ada-capitalize-word): Don't mess with the syntax of "_" since it
24246 already has the right syntax nowadays.
24247 (ada-goto-next-word): Don't change the syntax of "_".
24249 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
24252 2013-05-08 Sam Steingold <sds@gnu.org>
24254 * thingatpt.el (thing-at-point): Accept optional second argument
24255 NO-PROPERTIES to strip the text properties from the return value.
24256 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
24257 to `thing-at-point' instead of stripping the properties ourselves.
24258 Also, when `thing-at-point' fails to find a url, prepend "http://"
24259 to the filename at point on the assumption that the user is
24260 pointing at something like gnu.org/gnu.
24262 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
24264 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
24265 * faces.el (crm-separator):
24266 Silence byte-compiler.
24268 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
24269 (tool-bar-map): Remove unneeded defvars.
24271 2013-05-08 Leo Liu <sdl.web@gmail.com>
24273 Re-work a fix for bug#10994 based on Le Wang's patch.
24274 * ido.el (ido-remove-consecutive-dups): New helper.
24275 (ido-completing-read): Use it.
24276 (ido-chop): Revert fix for bug#10994.
24278 2013-05-08 Adam Spiers <emacs@adamspiers.org>
24280 * cus-edit.el (custom-save-variables):
24281 Pretty-print long values. (Bug#14187)
24283 2013-05-08 Glenn Morris <rgm@gnu.org>
24285 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
24286 (m4-mode-syntax-table): Init in the defvar.
24287 (m4-mode-abbrev-table): Let define-derived-mode define it.
24289 2013-05-08 Tom Tromey <tromey@redhat.com>
24291 * progmodes/m4-mode.el (m4-mode-syntax-table):
24292 Do not treat "_" as word constituent. (Bug#14167)
24294 2013-05-07 Glenn Morris <rgm@gnu.org>
24296 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
24297 Remove explicit eshell-isearch-cancel-map.
24299 * progmodes/f90.el (f90-smart-end-names): New option.
24300 (f90-smart-end): Doc fix.
24301 (f90-end-block-optional-name): New constant.
24302 (f90-block-match): Respect f90-smart-end-names.
24304 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
24306 * progmodes/octave.el (octave-smie-forward-token): Be more careful
24307 about implicit semi-colons (bug#14218).
24309 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
24311 * frame.el (display-monitor-attributes-list)
24312 (frame-monitor-attributes): New functions.
24314 2013-05-06 Leo Liu <sdl.web@gmail.com>
24316 * progmodes/octave.el (octave-syntax-propertize-function): Change
24317 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
24318 (octave-font-lock-keywords): Use octave-operator-regexp.
24319 (octave-completion-at-point): Rename from
24320 octave-completion-at-point-function.
24321 (inferior-octave-directory-tracker): Robustify.
24322 (octave-text-functions): Remove and fix its uses. No such things
24325 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
24327 * emacs-lisp/trace.el (trace--display-buffer): New function.
24328 (trace-make-advice): Use it.
24330 2013-05-06 Juri Linkov <juri@jurta.org>
24332 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
24333 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
24335 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
24336 in the help string. (Bug#12985)
24338 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
24340 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
24342 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
24344 * progmodes/perl-mode.el: Add support for here documents.
24345 (perl-syntax-propertize-function): Match here-doc markers.
24346 (perl-syntax-propertize-special-constructs): Find their end.
24347 (perl-imenu-generic-expression): Use [:alnum:].
24349 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
24350 (advice--add-function): Refresh the advice if already present
24353 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
24355 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
24357 2013-05-06 Glenn Morris <rgm@gnu.org>
24359 * w32-fns.el (w32-charset-info-alist): Declare.
24361 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
24362 of its defcustom properties.
24363 (eshell-cmpl-initialize): No need to load pcomplete.
24365 * generic-x.el: No need to require comint when compiling.
24367 * net/eudc-export.el: Make it loadable without bbdb.
24368 (top-level): Use require rather than load-library.
24369 (eudc-create-bbdb-record, eudc-bbdbify-phone)
24370 (eudc-batch-export-records-to-bbdb)
24371 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
24374 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
24376 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
24377 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
24378 some tweaks, instead.
24380 2013-05-05 Leo Liu <sdl.web@gmail.com>
24382 * progmodes/octave.el (octave-font-lock-keywords)
24383 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
24384 (inferior-octave-send-list-and-digest): Improve error message.
24385 (octave-mode, inferior-octave-mode): Use setq-local.
24386 (octave-help): Set info-lookup-mode.
24388 2013-05-05 Richard Stallman <rms@gnu.org>
24390 * vc/compare-w.el (compare-windows-whitespace):
24391 Treat no-break space as whitespace.
24393 * mail/rmailsum.el (rmail-summary-rmail-update):
24394 Detect empty summary and don't change selected message.
24395 (rmail-summary-goto-msg): Likewise.
24397 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
24398 Doc fixes, rename args.
24400 2013-05-05 Alan Mackenzie <acm@muc.de>
24402 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
24404 2013-05-05 Juri Linkov <juri@jurta.org>
24406 * info.el (Info-read-subfile): Use (point-min) instead of (point)
24407 to not add the length of the summary segment to the return value.
24410 2013-05-05 Leo Liu <sdl.web@gmail.com>
24412 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
24413 (inferior-octave-output-filter): Remove.
24414 (octave-send-region, inferior-octave-startup): Fix callers.
24415 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
24416 (octave-binary-file-extensions): New user variable.
24417 (octave-find-definition): Confirm if opening binary files.
24418 (octave-help-file): Use octave-find-definition to get the binary
24420 (octave-help): Adjust for octave-help-file change.
24422 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
24424 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
24425 Merge the two entries that handle function definitions.
24426 (pascal--syntax-propertize): New const.
24427 (pascal-mode): Use it. Use setq-local.
24429 2013-05-04 Glenn Morris <rgm@gnu.org>
24431 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
24432 (diary-from-outlook): Respect diary-from-outlook-function.
24434 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
24436 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
24437 Move the declaration from C.
24438 (read-minibuffer, eval-minibuffer): Move from C.
24439 (completion-setup-function): Avoid minibuffer-completion-contents.
24441 2013-05-03 Leo Liu <sdl.web@gmail.com>
24443 * progmodes/octave.el (octave-font-lock-keywords): Do not
24444 dehighlight 'end' in comments or strings.
24445 (octave-completing-read, octave-goto-function-definition):
24447 (octave-help-buffer): New user variable.
24448 (octave-help-file, octave-help-function): New button types.
24449 (octave-help): New command and bind it to C-h ;.
24450 (octave-find-definition): New command and bind it to M-.
24451 (user-error): Alias to error if not defined.
24453 2013-05-02 Leo Liu <sdl.web@gmail.com>
24455 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
24457 (octave-font-lock-keywords): Include [ and {.
24459 2013-05-02 Leo Liu <sdl.web@gmail.com>
24461 * progmodes/octave.el (inferior-octave-startup-file): Change default.
24462 (inferior-octave): Remove calling comint-mode and return the buffer.
24463 (inferior-octave-startup): Cosmetic changes.
24465 2013-05-02 Leo Liu <sdl.web@gmail.com>
24467 * progmodes/octave.el (octave-syntax-propertize-function):
24468 Include the case when ' is at line beginning. (Bug#14336)
24470 2013-05-02 Glenn Morris <rgm@gnu.org>
24472 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
24473 * desktop.el (vc-dir-mode): Just autoload it here.
24475 2013-05-02 Alan Mackenzie <acm@muc.de>
24477 Eliminate variable c-standard-font-lock-fontify-region-function.
24478 * progmodes/cc-mode.el
24479 (c-standard-font-lock-fontify-region-function): Remove.
24480 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
24482 2013-05-01 Leo Liu <sdl.web@gmail.com>
24484 * progmodes/octave.el: Compatible with older emacs-24 releases.
24485 (inferior-octave-has-built-in-variables): Remove. Built-in
24486 variables were removed from Octave in 2007.
24487 (inferior-octave-startup): Fix uses.
24488 (comint-line-beginning-position): Remove compatibility code for
24491 2013-05-01 Juri Linkov <juri@jurta.org>
24493 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
24495 2013-05-01 Juri Linkov <juri@jurta.org>
24497 * comint.el (comint-previous-matching-input): Don't print message
24498 "History item: %d" when `isearch-mode' is active.
24499 (comint-history-isearch-message): Print message "History item: %d"
24500 when `comint-input-ring-index' is not empty and this function is
24501 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
24503 2013-05-01 Leo Liu <sdl.web@gmail.com>
24505 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
24506 definitions. Use completion-at-point to insert keywords.
24507 (octave-abbrev-start): Remove.
24508 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
24510 2013-04-30 Leo Liu <sdl.web@gmail.com>
24512 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
24515 2013-04-30 Alan Mackenzie <acm@muc.de>
24517 Handle arbitrarily long C++ member initialization lists.
24518 * progmodes/cc-engine.el (c-back-over-member-initializers):
24520 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
24521 (most) member init lists.
24523 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
24525 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
24528 2013-04-30 Leo Liu <sdl.web@gmail.com>
24530 * progmodes/octave.el (octave-variables): Remove. No builtin
24531 variables any more. All converted to functions.
24532 (octave-font-lock-keywords, octave-completion-at-point-function):
24534 (octave-font-lock-texinfo-comment): New user variable.
24535 (octave-texinfo-font-lock-keywords): New variable for texinfo
24537 (octave-function-comment-block): New face.
24538 (octave-font-lock-texinfo-comment): New function.
24539 (octave-mode): Font lock texinfo comment block.
24541 2013-04-29 Leo Liu <sdl.web@gmail.com>
24543 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
24544 indexing expression.
24545 (octave-continuation-string): Do not use \.
24546 (inferior-octave-complete-impossible): Remove.
24547 (inferior-octave-completion-table)
24548 (inferior-octave-completion-at-point): Remove its uses.
24549 (inferior-octave-startup): completion_matches was introduced to
24550 Octave in 1996 so safe to assume it.
24551 (octave-function-file-comment): Improve to follow how Octave does it.
24552 (octave-update-function-file-comment): Tweak.
24554 2013-04-29 Leo Liu <sdl.web@gmail.com>
24556 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
24557 (inferior-octave-startup): Remove inferior-octave-startup-hook.
24558 (octave-function-file-comment): Fix typo.
24559 (octave-sync-function-file-names): Use read-char-choice.
24561 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
24563 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
24564 to t for the less important warnings.
24566 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
24568 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
24570 2013-04-27 Glenn Morris <rgm@gnu.org>
24572 * vc/log-view.el (log-view-current-entry):
24573 Treat "---" separator lines as part of the following rev. (Bug#14169)
24575 2013-04-27 Juri Linkov <juri@jurta.org>
24577 * subr.el (read-number): Doc fix about using it by interactive
24578 code letter `n'. (Bug#14254)
24580 2013-04-27 Juri Linkov <juri@jurta.org>
24582 * desktop.el (desktop-auto-save-timeout): New option.
24583 (desktop-file-checksum): New variable.
24584 (desktop-save): Add optional arg `auto-save' and don't auto-save
24585 if nothing changed.
24586 (desktop-auto-save-timer): New variable.
24587 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
24588 (after-init-hook): Call `desktop-auto-save-set-timer'.
24589 Suggested by Reuben Thomas <rrt@sc3d.org> in
24590 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
24592 2013-04-27 Leo Liu <sdl.web@gmail.com>
24594 * progmodes/octave.el (octave-function-file-p)
24595 (octave-skip-comment-forward, octave-function-file-comment)
24596 (octave-update-function-file-comment): New functions.
24597 (octave-mode-map): Bind C-c ; to
24598 octave-update-function-file-comment.
24599 (octave-mode-menu): Add octave-update-function-file-comment.
24600 (octave-mode, inferior-octave-mode): Fix doc-string.
24601 (octave-insert-defun): Conform to Octave's coding convention.
24604 * files.el (basic-save-buffer): Don't let errors in
24605 before-save-hook prevent saving buffer.
24607 2013-04-20 Roland Winkler <winkler@gnu.org>
24609 * faces.el (read-face-name): Use completing-read if arg multiple
24612 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
24614 * ls-lisp.el (ls-lisp-insert-directory): If no files are
24615 displayed, move point to after the totals line.
24616 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
24619 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
24621 * emacs-lisp/package.el (package-autoload-ensure-default-file):
24622 Add current dir to the load-path.
24623 (package-generate-autoloads): Don't rely on
24624 autoload-ensure-default-file.
24626 2013-04-26 Reuben Thomas <rrt@sc3d.org>
24628 * textmodes/remember.el (remember-store-in-files): Document that
24629 the file name format is passed to `format-time-string'.
24631 2013-04-26 Leo Liu <sdl.web@gmail.com>
24633 * progmodes/octave.el (octave-sync-function-file-names): New function.
24634 (octave-mode): Use it in before-save-hook.
24636 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
24638 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
24641 * progmodes/octave.el (octave-smie-forward-token): Properly skip
24642 \n and comment, even if it's not an implicit ; (bug#14218).
24644 2013-04-26 Glenn Morris <rgm@gnu.org>
24646 * subr.el (read-number): Once more use `read' rather than
24647 `string-to-number', to trap non-numeric input. (Bug#14254)
24649 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
24651 * emacs-lisp/syntax.el (syntax-propertize-multiline):
24652 Use `syntax-multiline' text property consistently instead of
24653 `font-lock-multiline'. (Bug#14237)
24655 2013-04-26 Glenn Morris <rgm@gnu.org>
24657 * emacs-lisp/shadow.el (list-load-path-shadows):
24658 No longer necessary to check for duplicate simple.el, since
24659 2012-07-07 change to init_lread to not include installation lisp
24660 directories in load-path when running uninstalled. (Bug#14270)
24662 2013-04-26 Leo Liu <sdl.web@gmail.com>
24664 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
24665 (octave-mode, inferior-octave-mode): Use setq-local.
24666 (octave-not-in-string-or-comment-p): Rename to
24667 octave-in-string-or-comment-p.
24668 (octave-in-comment-p, octave-in-string-p)
24669 (octave-in-string-or-comment-p): Replace defsubst with defun.
24671 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
24673 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
24675 2013-04-25 Bastien Guerry <bzg@gnu.org>
24677 * textmodes/remember.el (remember-data-directory)
24678 (remember-directory-file-name-format): Fix custom types.
24680 2013-04-25 Leo Liu <sdl.web@gmail.com>
24682 * progmodes/octave.el (octave-completion-at-point-function):
24683 Make use of inferior octave process.
24684 (octave-initialize-completions): Remove.
24685 (inferior-octave-completion-table): New function.
24686 (inferior-octave-completion-at-point): Use it.
24687 (octave-completion-alist): Remove.
24689 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
24691 * progmodes/opascal.el: Use font-lock and syntax-propertize.
24692 (opascal-mode-syntax-table): New var.
24693 (opascal-literal-kind, opascal-is-literal-end)
24694 (opascal-literal-token-at): Rewrite.
24695 (opascal--literal-start-re, opascal-font-lock-keywords)
24696 (opascal--syntax-propertize): New constants.
24697 (opascal-font-lock-defaults): Adjust.
24698 (opascal-mode): Use them. Set comment-<foo> variables as well.
24699 (delphi-comment-face, opascal-comment-face, delphi-string-face)
24700 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
24701 (delphi-other-face, opascal-other-face): Remove face variables.
24702 (opascal-save-state): Remove macro.
24703 (opascal-fontifying-progress-step): Remove constant.
24704 (opascal--ignore-changes): Remove var.
24705 (opascal-set-token-property, opascal-parse-next-literal)
24706 (opascal-is-stable-literal, opascal-complete-literal)
24707 (opascal-is-literal-start, opascal-face-of)
24708 (opascal-parse-region, opascal-parse-region-until-stable)
24709 (opascal-fontify-region, opascal-after-change)
24710 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
24711 (opascal-debug-parse-region, opascal-debug-parse-window)
24712 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
24713 (opascal-debug-fontify-buffer): Remove.
24714 (opascal-debug-mode-map): Adjust accordingly.
24716 2013-04-25 Leo Liu <sdl.web@gmail.com>
24718 Merge octave-mod.el and octave-inf.el into octave.el with some
24720 * progmodes/octave.el: New file renamed from octave-mod.el.
24721 * progmodes/octave-inf.el: Merged into octave.el.
24722 * progmodes/octave-mod.el: Renamed to octave.el.
24724 2013-04-25 Tassilo Horn <tsdh@gnu.org>
24726 * textmodes/reftex-vars.el
24727 (reftex-label-ignored-macros-and-environments): New defcustom.
24729 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
24731 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
24733 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
24734 (smie-indent-keyword): Improve the check to ensure that the next
24735 comment is really on the same line.
24736 (smie-indent-comment): Don't align with a subsequent closer (or eob).
24738 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
24739 semi-colons if the line is not otherwise empty (bug#14218).
24741 2013-04-25 Glenn Morris <rgm@gnu.org>
24743 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
24745 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
24747 * progmodes/opascal.el (opascal-set-token-property): Rename from
24748 opascal-set-text-properties and only set `token' (bug#14134).
24749 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
24750 (opascal-literal-text-properties): Remove.
24751 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
24754 2013-04-24 Reuben Thomas <rrt@sc3d.org>
24756 * textmodes/remember.el (remember-handler-functions): Add an
24757 option for a new handler `remember-store-in-files'.
24758 (remember-data-directory, remember-directory-file-name-format):
24760 (remember-store-in-files): New function to store remember notes
24761 as separate files within a directory.
24763 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
24765 * progmodes/compile.el (compilation-next-error-function):
24766 Pass "formats" to compilation-find-file (bug#11777).
24768 2013-04-24 Glenn Morris <rgm@gnu.org>
24770 * vc/vc-bzr.el (vc-bzr-print-log):
24771 * vc/vc-hg.el (vc-hg-print-log):
24772 * vc/vc-svn.el (vc-svn-print-log):
24773 Fix START-REVISION with LIMIT != 1. (Bug#14168)
24775 * vc/vc-bzr.el (vc-bzr-print-log):
24776 * vc/vc-cvs.el (vc-cvs-print-log):
24777 * vc/vc-git.el (vc-git-print-log):
24778 * vc/vc-hg.el (vc-hg-print-log):
24779 * vc/vc-mtn.el (vc-mtn-print-log):
24780 * vc/vc-rcs.el (vc-rcs-print-log):
24781 * vc/vc-sccs.el (vc-sccs-print-log):
24782 * vc/vc-svn.el (vc-svn-print-log):
24783 * vc/vc.el (vc-print-log-internal): Doc fixes.
24785 2013-04-23 Glenn Morris <rgm@gnu.org>
24787 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
24788 Remove venerable code attempting to avoid substitute-command-keys.
24790 2013-04-23 Tassilo Horn <tsdh@gnu.org>
24792 * textmodes/reftex-vars.el (reftex-label-regexps):
24793 Call `reftex-compile-variables' after changes to this variable.
24795 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
24797 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
24798 Use lexical-binding.
24799 (jit-lock-force-redisplay): Use markers, check buffer's continued
24800 existence and beware narrowed buffers.
24801 (jit-lock-fontify-now): Adjust call accordingly.
24803 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
24805 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
24806 to avoid misleading the user.
24808 2013-04-22 Leo Liu <sdl.web@gmail.com>
24810 * info-look.el: Prefer latex2e.info. (Bug#14240)
24812 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
24814 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
24816 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
24817 * net/tramp.el (tramp-call-process): ... here.
24818 (tramp-set-completion-function, tramp-parse-putty):
24819 * net/tramp-adb.el (tramp-adb-execute-adb-command):
24820 * net/tramp-gvfs.el (tramp-gvfs-send-command):
24821 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
24822 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
24823 (tramp-call-local-coding-command): Use `tramp-call-process'
24824 instead of `tramp-compat-call-process'.
24826 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
24827 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
24828 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
24829 (tramp-find-inline-compress): Improve traces.
24830 (tramp-maybe-send-script): Check for Perl binary.
24831 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
24833 2013-04-22 Daiki Ueno <ueno@gnu.org>
24835 * epg.el (epg-context-pinentry-mode): New function.
24836 (epg-context-set-pinentry-mode): New function.
24837 (epg--start): Pass --pinentry-mode option to gpg command.
24839 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
24841 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
24842 `comint-dynamic-complete' is obsolete since 24.1, replaced by
24843 `completion-at-point'. (Bug#13774)
24845 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
24846 default key binding for `describe-distribution' has been moved to
24847 `C-h C-o'. (Bug#13970)
24849 2013-04-21 Glenn Morris <rgm@gnu.org>
24851 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
24853 (vc-print-log): Clarify interactive prompt.
24855 2013-04-20 Glenn Morris <rgm@gnu.org>
24857 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
24858 No longer include timestamp etc information.
24860 2013-04-20 Roland Winkler <winkler@gnu.org>
24862 * faces.el (read-face-name): Bug fix, return just one face if arg
24863 multiple is nil. (Bug#14209)
24865 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
24867 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
24868 (remove-function): Autoload.
24870 * comint.el (comint-redirect-original-filter-function): Remove.
24871 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
24872 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
24873 (vc-cvs-annotate-command):
24874 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
24875 * progmodes/prolog.el (prolog-consult-compile):
24876 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
24877 Use add/remove-function instead.
24878 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
24879 (gud-tooltip-process-output, gud-tooltip-tips):
24880 Use add/remove-function instead.
24881 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
24882 (scheme-interaction-mode, exit-scheme-interaction-mode):
24883 Use add/remove-function instead.
24885 * vc/vc-dispatcher.el: Use lexical-binding.
24886 (vc--process-sentinel): Rename from vc-process-sentinel.
24887 Change last arg to be the code to run. Don't use vc-previous-sentinel
24888 and vc-sentinel-commands any more.
24889 (vc-exec-after): Allow code to be a function. Use add/remove-function.
24890 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
24892 2013-04-19 Masatake YAMATO <yamato@redhat.com>
24894 * progmodes/sh-script.el (sh-imenu-generic-expression):
24895 Handle function names with a single character. (Bug#14111)
24897 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
24899 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
24900 for subroutines defined in an eval (bug#14182).
24902 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
24904 * bookmark.el (bookmark-completing-read): Improve handling of empty
24905 string (bug#14176).
24907 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
24909 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
24911 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
24913 New faster Imenu implementation (bug#14058).
24914 * progmodes/python.el (python-imenu-prev-index-position)
24915 (python-imenu-format-item-label-function)
24916 (python-imenu-format-parent-item-label-function)
24917 (python-imenu-format-parent-item-jump-label-function):
24919 (python-imenu-format-item-label)
24920 (python-imenu-format-parent-item-label)
24921 (python-imenu-format-parent-item-jump-label)
24922 (python-imenu--put-parent, python-imenu--build-tree)
24923 (python-imenu-create-index, python-imenu-create-flat-index)
24924 (python-util-popn): New functions.
24925 (python-mode): Set imenu-create-index-function to
24926 python-imenu-create-index.
24928 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24930 * winner.el (winner-active-region): Use region-active-p, activate-mark
24931 and deactivate-mark (bug#14225).
24933 * simple.el (deactivate-mark): Don't inline it.
24935 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
24937 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
24939 2013-04-18 Tassilo Horn <tsdh@gnu.org>
24941 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
24942 file extensions from the archive-mode entry in order to prefer
24943 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
24945 2013-04-18 Leo Liu <sdl.web@gmail.com>
24947 * bindings.el (help-event-list): Add ?\?.
24949 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24951 * subr.el (with-wrapper-hook): Declare obsolete.
24952 * simple.el (filter-buffer-substring-function): New hook.
24953 (filter-buffer-substring): Use it.
24954 (filter-buffer-substring-functions): Mark obsolete.
24955 * minibuffer.el (completion-in-region-function): New hook.
24956 (completion-in-region): Use it.
24957 (completion-in-region-functions): Mark obsolete.
24958 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
24959 * abbrev.el (abbrev-expand-function): New hook.
24960 (expand-abbrev): Use it.
24961 (abbrev-expand-functions): Mark obsolete.
24962 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
24963 and :filter-return.
24965 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24967 * progmodes/python.el (python-nav--syntactically): Fix cornercases
24968 and do not care about match data.
24970 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24972 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
24973 completion tables when completing error conditions and
24974 `declare' arguments.
24975 (lisp-complete-symbol, field-complete): Mark as obsolete.
24976 (check-parens): Unmatched parens are user errors.
24977 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
24979 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
24981 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
24982 command changed buffer (ie. `flyspell-pre-buffer' is not current
24983 buffer), which prevents making decisions based on invalid value of
24984 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
24985 cause an error when `flyspell-pre-point' was nil after switching
24987 (flyspell-post-command-hook): No longer needs to change buffers when
24988 checking pre-word. While at it remove unnecessary progn.
24990 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
24992 * textmodes/ispell.el (ispell-add-per-file-word-list):
24993 Fix `flyspell-correct-word-before-point' error when accepting
24994 words and `coment-padding' is an integer by using
24995 `comment-normalize-vars' (Bug #14214).
24997 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24999 New defun movement commands.
25000 * progmodes/python.el (python-nav--syntactically)
25001 (python-nav--forward-defun, python-nav-backward-defun)
25002 (python-nav-forward-defun): New functions.
25004 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
25006 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
25007 (python-syntax-context): Use named compiler-macro for backwards
25008 compatibility with Emacs 24.x.
25010 2013-04-17 Leo Liu <sdl.web@gmail.com>
25012 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
25013 octave-hide-process-buffer.
25015 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
25017 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
25020 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
25022 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
25023 Fix adjustment of offset when receiving incomplete responses from GDB
25026 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
25028 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
25029 python-mode-abbrev-table.
25030 (python-skeleton-define): Adjust accordingly.
25031 (python-mode-abbrev-table): New table that inherits from it so that
25032 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
25034 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
25035 (abbrev-symbol): Use it.
25036 (abbrev--before-point): Use it since we already handle inheritance.
25038 2013-04-16 Leo Liu <sdl.web@gmail.com>
25040 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
25041 binding to info-lookup-symbol.
25043 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
25045 * minibuffer.el (completion--twq-all):
25046 * term/ns-win.el (ns-initialize-window-system):
25047 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
25049 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
25051 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
25054 * doc-view.el (doc-view-start-process): Handle url-handler directories.
25056 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
25058 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
25059 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
25061 (ruby-end-of-defun): Remove the unused arg, change the docstring
25062 to reflect that this function is only used as the value of
25063 `end-of-defun-function'.
25064 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
25065 to reflect an earlier change that beginning/end-of-defun functions
25066 jump between methods in a class definition, as well as top-level
25069 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
25071 * minibuffer.el (minibuffer-complete): Don't just scroll
25072 a *Completions* that's been iconified.
25073 (minibuffer-force-complete): Make sure repetitions do cycle when going
25074 through completion-in-region -> minibuffer-complete.
25076 2013-04-15 Alan Mackenzie <acm@muc.de>
25078 Correct the placement of c-cpp-delimiters when there're #s not at
25081 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
25082 place a submatch around the #.
25083 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
25084 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
25087 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
25089 * emacs-lisp/nadvice.el: Properly test names when adding advice.
25090 (advice--member-p): New arg `name'.
25091 (advice--add-function, advice-member-p): Use it (bug#14202).
25093 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
25095 Reformulate java imenu-generic-expression.
25096 The old expression contained ill formed regexps.
25098 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
25099 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
25100 (cc-imenu-java-method-arg-regexp): New defconsts.
25101 (cc-imenu-java-build-type-args-regex): New defun.
25102 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
25103 handling of spaces in the regexp.
25105 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
25107 * textmodes/ispell.el (ispell-command-loop): Remove
25108 flyspell highlight of a word when ispell accepts it (bug #14178).
25110 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
25112 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
25113 uses code from the previous `ange-ftp-run-real-handler'.
25114 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
25115 only in case that function exist. This is needed for proper
25116 unloading of Tramp.
25118 2013-04-15 Tassilo Horn <tsdh@gnu.org>
25120 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
25122 * textmodes/reftex.el (reftex-compile-variables): Use it.
25124 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
25126 * files.el (normal-mode): Only use default major-mode if no other mode
25129 * emacs-lisp/trace.el (trace-values): New function.
25131 * files.el: Allow : in local variables (bug#14089).
25132 (hack-local-variable-regexp): New var.
25133 (hack-local-variables-prop-line, hack-local-variables): Use it.
25135 2013-04-13 Roland Winkler <winkler@gnu.org>
25137 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
25138 data before it gets modified by bibtex-beginning-of-entry.
25140 2013-04-13 Roland Winkler <winkler@gnu.org>
25142 * textmodes/bibtex.el (bibtex-url): Doc fix.
25144 2013-04-13 Roland Winkler <winkler@gnu.org>
25146 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
25147 does not visit a BibTeX file, exclude it from the list of buffers
25148 returned by bibtex-initialize.
25150 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
25152 * window.el (split-window): Remove interactive form, since as a
25153 command this function is a special case of split-window-below.
25154 Correct doc string.
25156 2013-04-12 Roland Winkler <winkler@gnu.org>
25158 * faces.el (read-face-name): Do not override value of arg default.
25159 Allow single faces and strings as default values. Remove those
25160 elements from return value that are not faces.
25161 (describe-face): Simplify.
25162 (face-at-point): New optional args thing and multiple so that this
25163 function can provide the same functionality previously provided by
25165 (make-face-bold, make-face-unbold, make-face-italic)
25166 (make-face-unitalic, make-face-bold-italic, invert-face)
25167 (modify-face, read-face-and-attribute): Use face-at-point.
25169 * cus-edit.el (customize-face, customize-face-other-window)
25170 * cus-theme.el (custom-theme-add-face)
25171 * face-remap.el (buffer-face-set)
25172 * facemenu.el (facemenu-set-face): Use face-at-point.
25174 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
25176 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
25178 2013-04-10 Tassilo Horn <tsdh@gnu.org>
25180 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
25181 off leading { and trailing } from field values.
25183 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
25185 * emacs-lisp/timer.el (timer--check): New function.
25186 (timer--time, timer-set-function, timer-event-handler): Use it.
25187 (timer-set-idle-time): Simplify.
25188 (timer--activate): CSE.
25189 (timer-event-handler): Give more info in error message.
25190 (internal-timer-start-idle): New function, moved from C.
25192 * mpc.el (mpc-proc): Add `restart' argument.
25193 (mpc-proc-cmd): Use it.
25194 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
25195 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
25198 2013-04-10 Masatake YAMATO <yamato@redhat.com>
25200 * progmodes/sh-script.el: Implement `sh-mode' own
25201 `add-log-current-defun-function' (bug#14112).
25202 (sh-current-defun-name): New function.
25203 (sh-mode): Use the function.
25205 2013-04-09 Bastien Guerry <bzg@gnu.org>
25207 * simple.el (choose-completion-string): Fix docstring (bug#14163).
25209 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
25211 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
25213 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
25216 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
25218 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
25221 2013-04-07 Leo Liu <sdl.web@gmail.com>
25223 * pcmpl-x.el: New file.
25225 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
25227 Do not set x-display-name until X connection is established.
25228 This is needed to prevent from weird situation described at
25229 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
25230 * frame.el (make-frame): Set x-display-name after call to
25231 window system initialization function, not before.
25232 * term/x-win.el (x-initialize-window-system): Add optional
25233 display argument and use it.
25234 * term/w32-win.el (w32-initialize-window-system):
25235 * term/ns-win.el (ns-initialize-window-system):
25236 * term/pc-win.el (msdos-initialize-window-system):
25237 Add compatible optional display argument.
25239 2013-04-06 Eli Zaretskii <eliz@gnu.org>
25241 * files.el (normal-backup-enable-predicate): On MS-Windows and
25242 MS-DOS compare truenames of temporary-file-directory and of the
25243 file, so that 8+3 aliases (usually found in $TEMP on Windows)
25244 don't fail comparison by compare-strings. Also, compare file
25245 names case-insensitively on MS-Windows and MS-DOS.
25247 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
25249 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
25250 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
25252 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
25254 * whitespace.el (whitespace-color-on, whitespace-color-off):
25255 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
25257 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
25259 * ispell.el (ispell-set-spellchecker-params):
25260 Really set `ispell-args' for all equivs.
25262 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
25264 * ido.el (ido-completions): Use extra elements of ido-decorations
25266 (ido-decorations): Update docstring.
25268 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
25270 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
25271 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
25272 nil during initialization, in order not to miss changes since the
25273 file was opened. (Bug#14140)
25275 2013-04-05 Leo Liu <sdl.web@gmail.com>
25277 * kmacro.el (kmacro-call-macro): Fix bug#14135.
25279 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
25281 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
25283 2013-04-04 Glenn Morris <rgm@gnu.org>
25285 * electric.el (electric-pair-inhibit-predicate): Add :version.
25287 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
25289 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
25290 when a package is required several times (bug#14082).
25292 2013-04-04 Roland Winkler <winkler@gnu.org>
25294 * faces.el (read-face-name): Behave as promised by the docstring.
25295 Assume that arg default is a list of faces.
25296 (describe-face): Call read-face-name with list of default faces.
25298 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
25300 * bookmark.el: Fix deletion of bookmarks (bug#13972).
25301 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
25302 (bookmark-bmenu-execute-deletions): Only skip first line if it's
25304 (bookmark-exit-hook-internal): Save even if list is empty.
25306 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
25308 * emacs-lisp/package.el (package-pinned-packages): New var.
25309 (package--add-to-archive-contents): Obey it (bug#14118).
25311 2013-04-03 Alan Mackenzie <acm@muc.de>
25313 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
25314 Also adapt to the new values of element 7 of a parse state.
25316 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
25317 parameter `not-in-delimiter'. Handle being inside comment opener.
25318 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
25319 character in case we're typing a '*' after a '/'.
25320 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
25321 instead by passing the parameter to c-state-pp-to-literal.
25323 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
25324 for elt. 7 of a parse state.
25326 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
25328 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
25329 * international/latin1-disp.el, international/mule-util.el:
25330 * language/cyril-util.el, language/european.el, language/ind-util.el:
25331 * language/lao-util.el, language/thai.el, language/tibet-util.el:
25332 * language/tibetan.el, language/viet-util.el:
25333 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
25335 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
25337 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
25338 (electric-pair-post-self-insert-function): Use it.
25339 (electric-pair-default-inhibit): New function, extracted from
25340 electric-pair-post-self-insert-function.
25342 2013-03-31 Roland Winkler <winkler@gnu.org>
25344 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
25346 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
25348 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
25350 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
25352 Un-indent after "pass" and "return" statements (Bug#13888)
25353 * progmodes/python.el (python-indent-block-enders): New var.
25354 (python-indent-calculate-indentation): Use it.
25356 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
25358 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
25359 defun. Defining it as defalias could introduce too eager
25360 byte-compiler optimization. (Bug#14030)
25362 2013-03-30 Chong Yidong <cyd@gnu.org>
25364 * iswitchb.el (iswitchb-read-buffer): Fix typo.
25366 2013-03-30 Leo Liu <sdl.web@gmail.com>
25368 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
25369 (kmacro-execute-from-register): Pass the keyboard macro to
25370 kmacro-call-macro or repeating won't work correctly.
25372 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
25374 * progmodes/subword.el: Back to using `forward-symbol'.
25376 * subr.el (forward-whitespace, forward-symbol)
25377 (forward-same-syntax): Move from thingatpt.el.
25379 2013-03-29 Leo Liu <sdl.web@gmail.com>
25381 * kmacro.el (kmacro-to-register): New command.
25382 (kmacro-execute-from-register): New function.
25383 (kmacro-keymap): Bind to 'x'. (Bug#14071)
25385 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
25387 * mpc.el: Use defvar-local and setq-local.
25388 (mpc--proc-connect): Connection failures are not bugs.
25389 (mpc-mode-map): `follow-link' only applies to the buffer's content.
25390 (mpc-volume-map): Bind to the up-events.
25392 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
25394 * progmodes/subword.el (superword-mode): Use `forward-sexp'
25395 instead of `forward-symbol'.
25397 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
25399 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
25400 (edebug--recursive-edit): Use it.
25401 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
25402 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
25404 2013-03-28 Leo Liu <sdl.web@gmail.com>
25406 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
25408 2013-03-27 Eli Zaretskii <eliz@gnu.org>
25410 * facemenu.el (list-colors-callback): New defvar.
25411 (list-colors-redisplay): New function.
25412 (list-colors-display): Install list-colors-redisplay as the
25413 revert-buffer-function. (Bug#14063)
25415 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
25417 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
25418 and suffixes don't overlap (bug#14061).
25420 * case-table.el: Use lexical-binding.
25421 (case-table-get-table): New function.
25422 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
25424 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
25426 * progmodes/subword.el: Add `superword-mode' to do word motion
25427 over symbol_words (parallels and leverages `subword-mode' which
25428 does word motion inside MixedCaseWords).
25430 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
25432 * eshell/em-unix.el: Move su and sudo to...
25433 * eshell/em-tramp.el: ...Eshell tramp module.
25435 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
25437 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
25438 Change return value to be a sexp. Delay `get-buffer' to after
25439 restoring the desktop (bug#13951).
25441 2013-03-26 Leo Liu <sdl.web@gmail.com>
25443 * register.el: Move semantic tag handling back to
25444 cedet/semantic/senator.el. (Bug#14052)
25446 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
25448 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
25449 into the prompt either (bug#13963).
25451 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
25453 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
25454 part of "(error-foo)".
25456 2013-03-24 Juri Linkov <juri@jurta.org>
25458 * replace.el (list-matching-lines-prefix-face): New defcustom.
25459 (occur-1): Pass `list-matching-lines-prefix-face' to the function
25460 `occur-engine' if `face-differs-from-default-p' returns t.
25461 (occur-engine): Add `,' inside backquote construct to evaluate
25462 `prefix-face'. Propertize the prefix with the `prefix-face' face.
25463 Pass `prefix-face' to the functions `occur-context-lines' and
25464 `occur-engine-add-prefix'.
25465 (occur-engine-add-prefix, occur-context-lines): Add optional arg
25466 `prefix-face' and propertize the prefix with `prefix-face'.
25469 2013-03-24 Leo Liu <sdl.web@gmail.com>
25471 * nxml/rng-valid.el (rng-validate-while-idle)
25472 (rng-validate-quick-while-idle): Guard against deleted buffer.
25475 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
25476 is the last entry in kill-buffer-hook.
25478 * files.el (kill-buffer-hook): Doc fix.
25480 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
25482 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
25483 Make it safe-local.
25485 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
25487 2013-03-23 Leo Liu <sdl.web@gmail.com>
25489 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
25492 * nxml/rng-valid.el (rng-validate-mode)
25493 (rng-after-change-function, rng-do-some-validation):
25494 * nxml/rng-maint.el (rng-validate-buffer):
25495 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
25496 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
25497 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
25498 (nxml-extend-after-change-region): Use with-silent-modifications.
25500 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
25503 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
25504 (rng-next-error-1, rng-previous-error-1): Do not let-bind
25505 timer-idle-list. (Bug#13999)
25507 2013-03-23 Juri Linkov <juri@jurta.org>
25509 * info.el (info-index-match): New face.
25510 (Info-index, Info-apropos-matches): Add a nested subgroup to the
25511 main pattern and add text properties with the new face to matches
25512 in index entries relative to the beginning of the index entry.
25515 2013-03-21 Eric Ludlam <zappo@gnu.org>
25517 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
25518 Inhibit read only while inserting objects.
25520 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
25522 * progmodes/cfengine.el: Update docs to mention
25523 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
25524 symbol motion. Remove "_" from the word syntax.
25526 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
25528 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
25529 syntax for both `cfengine2-mode' and `cfengine3-mode'.
25531 2013-03-20 Juri Linkov <juri@jurta.org>
25533 * info.el (Info-next-reference-or-link)
25534 (Info-prev-reference-or-link): New functions.
25535 (Info-next-reference, Info-prev-reference): Use them.
25536 (Info-try-follow-nearest-node): Handle footnote navigation.
25537 (Info-fontify-node): Fontify footnotes. (Bug#13989)
25539 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
25541 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
25542 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
25544 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
25546 Suppress unnecessary non-ASCII chatter during build process.
25547 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
25548 (batch-skkdic-convert): Suppress most of the chatter.
25549 It's not needed so much now that machines are faster,
25550 and its non-ASCII component was confusing; see Dmitry Gutov in
25551 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
25553 2013-03-20 Leo Liu <sdl.web@gmail.com>
25555 * ido.el (ido-chop): Fix bug#10994.
25557 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
25559 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
25561 (whitespace-color-on, whitespace-color-off):
25562 Use `font-lock-fontify-buffer' (Bug#13817).
25564 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
25566 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
25567 remapping in mode-line.
25568 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
25570 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
25572 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
25573 value for `whitespace-line' face (Bug#13875).
25574 (whitespace-font-lock-keywords): Change description.
25575 (whitespace-color-on): Don't save `font-lock-keywords' value, save
25576 the constructed keywords instead.
25577 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
25579 2013-03-19 Leo Liu <sdl.web@gmail.com>
25581 * progmodes/compile.el (compilation-display-error): New command.
25582 (compilation-mode-map, compilation-minor-mode-map): Bind it to
25585 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
25587 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
25589 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
25591 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
25593 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
25595 * net/tramp-compat.el (tramp-compat-user-error): New defun.
25597 * net/tramp-adb.el (tramp-adb-handle-shell-command):
25598 * net/tramp-gvfs.el (top):
25599 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
25600 (tramp-handle-shell-command): Use it.
25601 (tramp-dissect-file-name): Raise an error when hostname is a
25602 method name, and neither method nor user is specified.
25604 * net/trampver.el: Update release number.
25606 2013-03-18 Leo Liu <sdl.web@gmail.com>
25608 Make sure eldoc can be turned off properly.
25609 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
25611 (eldoc-display-message-p): Revert last change.
25612 (eldoc-display-message-no-interference-p)
25613 (eldoc-print-current-symbol-info): Tweak.
25615 2013-03-18 Tassilo Horn <tsdh@gnu.org>
25617 * doc-view.el (doc-view-new-window-function): Check the new window
25618 overlay's display property instead the char property of the
25619 buffer's first char. Use `with-selected-window' instead of
25620 `save-window-excursion' with `select-window'.
25621 (doc-view-document->bitmap): Check the current doc-view overlay's
25622 display property instead the char property of the buffer's first char.
25624 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
25626 Automate the build of ja-dic.el (Bug#13984).
25627 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
25628 from the input, rather than assume that it's been done for us by the
25629 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
25630 the current date into a ja-dic.el comment, as that complicates
25631 regression testing.
25633 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
25635 * whitespace.el: Fix double evaluation.
25636 (whitespace-space, whitespace-hspace, whitespace-tab)
25637 (whitespace-newline, whitespace-trailing, whitespace-line)
25638 (whitespace-space-before-tab, whitespace-indentation)
25639 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
25641 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
25642 (whitespace-color-on): Use a single font-lock-add-keywords call.
25643 Fix double-evaluation of face variables.
25645 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
25647 * net/tramp-adb.el (tramp-adb-parse-device-names):
25648 Use `start-process' instead of `call-process'. Otherwise, the
25649 function might be blocked under MS Windows. (Bug#13299)
25651 2013-03-17 Leo Liu <sdl.web@gmail.com>
25653 Extend eldoc to display info in the mode-line. (Bug#13978)
25654 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
25655 (eldoc-mode-line-string): New variable.
25656 (eldoc-minibuffer-message): New function.
25657 (eldoc-message-function): New variable.
25658 (eldoc-message): Use it.
25659 (eldoc-display-message-p)
25660 (eldoc-display-message-no-interference-p):
25661 Support eldoc-post-insert-mode.
25663 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
25664 (eval-expression): Run it.
25666 2013-03-17 Roland Winkler <winkler@gnu.org>
25668 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
25669 strings in the list of return values.
25671 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
25673 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
25674 radix before checking for HMS forms.
25676 2013-03-16 Leo Liu <sdl.web@gmail.com>
25678 * progmodes/scheme.el: Add indentation and font-locking for λ.
25681 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
25683 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
25684 token before point (bug#13942).
25686 2013-03-16 Leo Liu <sdl.web@gmail.com>
25688 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
25690 2013-03-16 Eli Zaretskii <eliz@gnu.org>
25692 * startup.el (command-line-normalize-file-name): Fix handling of
25693 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
25694 <xfq.free@gmail.com> in
25695 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
25697 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
25699 Sync with Tramp 2.2.7.
25701 * net/trampver.el: Update release number.
25703 2013-03-14 Tassilo Horn <tsdh@gnu.org>
25705 * doc-view.el: Fix bug#13887.
25706 (doc-view-insert-image): Don't modify overlay associated to
25707 non-live windows, and implement horizontal centering of image in
25708 case it's smaller than the window.
25709 (doc-view-new-window-function): Force redisplay of new windows on
25712 2013-03-13 Karl Fogel <kfogel@red-bean.com>
25714 * saveplace.el (save-place-alist-to-file): Don't sort
25715 `save-place-alist', just pretty-print it (bug#13882).
25717 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
25719 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
25720 Check whether `default-file-name-coding-system' is bound.
25721 It isn't in XEmacs.
25723 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
25725 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
25726 backquotes for `obsolete' (bug#13929).
25728 * international/mule.el (find-auto-coding): Include file name in
25729 obsolescence warning (bug#13922).
25731 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
25733 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
25734 for CFEngine 3-specific indentation.
25735 (cfengine3-indent-line): Use it. Fix up category regex.
25736 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
25738 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
25740 * type-break.el (type-break-file-name):
25741 * textmodes/remember.el (remember-data-file):
25742 * strokes.el (strokes-file):
25743 * shadowfile.el (shadow-initialize):
25744 * saveplace.el (save-place-file):
25745 * ps-bdf.el (bdf-cache-file):
25746 * progmodes/idlwave.el (idlwave-config-directory):
25747 * net/quickurl.el (quickurl-url-file):
25748 * international/kkc.el (kkc-init-file-name):
25749 * ido.el (ido-save-directory-list-file):
25750 * emulation/viper.el (viper-custom-file-name):
25751 * emulation/vip.el (vip-startup-file):
25752 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
25753 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
25755 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
25757 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
25758 * language/thai-word.el: Switch to UTF-8.
25760 See ChangeLog.16 for earlier changes.
25762 ;; Local Variables:
25766 Copyright (C) 2011-2015 Free Software Foundation, Inc.
25768 This file is part of GNU Emacs.
25770 GNU Emacs is free software: you can redistribute it and/or modify
25771 it under the terms of the GNU General Public License as published by
25772 the Free Software Foundation, either version 3 of the License, or
25773 (at your option) any later version.
25775 GNU Emacs is distributed in the hope that it will be useful,
25776 but WITHOUT ANY WARRANTY; without even the implied warranty of
25777 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
25778 GNU General Public License for more details.
25780 You should have received a copy of the GNU General Public License
25781 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.