1 2015-02-23 Magnus Henoch <magnus.henoch@gmail.com>
3 * net/sasl.el (sasl-mechanism-alist): Refer to sasl-scram-rfc
4 instead of sasl-scram-sha-1, as the former is the name that can be
7 * net/sasl-scram-rfc.el (sasl-scram-sha-1-steps)
8 (sasl-scram-sha-1-client-final-message)
9 (sasl-scram-sha-1-authenticate-server): Move to end of file.
11 2015-02-23 Paul Eggert <eggert@cs.ucla.edu>
13 Fix the desired binding for comment-line
14 * bindings.el (ctl-x-map): Use [?\C-\;] to get the desired binding.
17 2015-02-23 Stefan Monnier <monnier@iro.umontreal.ca>
19 * emacs-lisp/macroexp.el (macroexp-parse-body): Handle cl-declare
20 and :documentation. Change return value format accordingly.
21 * emacs-lisp/cl-generic.el (cl--generic-lambda):
22 * emacs-lisp/pcase.el (pcase-lambda): Adjust accordingly.
23 * emacs-lisp/cl-macs.el (cl--transform-lambda): Use macroexp-parse-body.
25 2015-02-23 Dmitry Gutov <dgutov@yandex.ru>
27 Introduce `xref-etags-mode'.
28 * progmodes/xref.el (xref-etags-mode--saved): New variable.
29 (xref-etags-mode): New minor mode. (Bug#19466)
31 2015-02-22 Lars Magne Ingebrigtsen <larsi@gnus.org>
33 * dom.el (dom-previous-sibling): New function.
35 2015-02-21 Paul Eggert <eggert@cs.ucla.edu>
37 * bindings.el (ctl-x-map): There is no 'C-;'.
38 For now, make do with 'M-;'; this allows 'make bootstrap' to work.
39 Perhaps some other binding should be chosen.
42 2015-02-21 Artur Malabarba <bruce.connor.am@gmail.com>
44 * bindings.el (ctl-x-map): Fix `comment-line' binding. (Bug#19826)
46 2015-02-21 Michael Albinus <michael.albinus@gmx.de>
48 * autorevert.el (auto-revert-notify-add-watch)
49 (auto-revert-notify-handler, auto-revert-buffers): Handle also
50 buffers without an associated file, like dired buffers. (Bug#16112)
52 2015-02-21 Dima Kogan <dima@secretsauce.net>
54 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
55 (global-auto-revert-mode): Remove (let (auto-revert-use-notify) ... )
56 wrappers. Call (auto-revert-buffers) consequently in order to
59 2015-02-21 Wilson Snyder <wsnyder@wsnyder.org>
61 Sync with upstream verilog-mode revision 0d6420b.
62 * progmodes/verilog-mode.el (verilog-mode-version): Update.
63 (vector-skip-list): Remove.
64 (verilog-auto-inst-port, verilog-auto-inst-port-list)
65 (verilog-auto-inst, verilog-auto-inst-param):
66 Use arguments rather than vector-skip.
67 (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
68 modport if signal attachment is itself a modport. Reported by
71 2015-02-21 Reto Zimmermann <reto@gnu.org>
73 Sync with upstream vhdl mode v3.37.1. Add VHDL'08 support.
74 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp)
75 (vhdl-doc-release-notes): Update.
76 (vhdl-standard): Add VHDL'08 option.
77 (vhdl-sensitivity-list-all): New option.
78 (vhdl-directive-keywords): Add psl.
79 (vhdl-offsets-alist-default, vhdl-mode-abbrev-table-init)
80 (vhdl-template-construct-alist-init, vhdl-create-mode-menu):
81 (vhdl-imenu-generic-expression): Add context, directive.
82 (vhdl-offsets-alist, vhdl-mode, vhdl-doc-keywords): Doc fixes.
83 (vhdl-template-map-init): Add vhdl-template-context.
84 (vhdl-mode-syntax-table): Support VHDL'08 block comments.
85 (vhdl-create-mode-menu): Add some entries.
86 (vhdl-08-keywords, vhdl-08-types, vhdl-08-attributes)
87 (vhdl-08-functions, vhdl-08-packages, vhdl-08-directives):
89 (vhdl-directives): New variable.
90 (vhdl-words-init, vhdl-template-process)
91 (vhdl-template-replace-header-keywords): Support VHDL'08.
92 (vhdl-abbrev-list-init): Add vhdl-directives.
93 (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
94 (vhdl-forward-syntactic-ws, vhdl-get-syntactic-context)
95 (vhdl-lineup-comment): Handle block comments and directives.
96 (vhdl-beginning-of-directive, vhdl-template-context)
97 (vhdl-template-context-hook): New functions.
98 (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
99 (vhdl-corresponding-begin, vhdl-get-library-unit, vhdl-regress-line)
100 (vhdl-align-declarations, vhdl-beginning-of-block, vhdl-end-of-block)
101 (vhdl-font-lock-keywords-2, vhdl-get-end-of-unit)
102 (vhdl-scan-context-clause): Add context.
104 2015-02-20 Glenn Morris <rgm@gnu.org>
106 * calendar/solar.el (solar-sunrise-sunset-string):
107 Shorten message a little.
108 (sunrise-sunset): Use message rather than a window. (Bug#19859)
110 * progmodes/f90.el (f90-keywords-re, f90-procedures-re)
111 (f90-font-lock-keywords-2): Some F2008 additions.
113 2015-02-19 Dima Kogan <dima@secretsauce.net>
115 * autorevert.el (auto-revert-buffers-counter)
116 (auto-revert-buffers-counter-lockedout): New variables.
117 (auto-revert-buffers): Increase `auto-revert-buffers-counter'.
118 (auto-revert-notify-handler): Apply `auto-revert-handler' if not
119 suppressed by lockout. (Bug#18958)
121 2015-02-19 Stefan Monnier <monnier@iro.umontreal.ca>
123 * emacs-lisp/eieio-opt.el (eieio-help-class): `eieio-class-parents'
124 returns classes, not class names (bug#19891).
126 * emacs-lisp/cl-macs.el (cl-struct-slot-value): Handle a nil type.
128 * emacs-lisp/smie.el (smie-prec2->grammar): Fix corner case problem.
130 2015-02-18 Kelly Dean <kelly@prtime.org>
132 * register.el (jump-to-register):
133 * emacs-lisp/lisp.el (check-parens):
134 Push mark before goto-char so user doesn't lose his previous place.
136 2015-02-18 Kelly Dean <kelly@prtime.org>
138 * rect.el (rectangle-mark-mode):
139 Suppress superfluous "Mark set" message from push-mark.
141 2015-02-18 Kelly Dean <kelly@prtime.org>
143 * help-mode.el (help-go-back, help-go-forward, help-follow):
144 * simple.el (yank-pop, pop-to-mark-command, exchange-point-and-mark):
145 * winner.el (winner-redo):
146 * windmove.el (windmove-do-window-select):
147 * register.el (jump-to-register, increment-register, insert-register)
148 (append-to-register, prepend-to-register):
149 * files.el (find-alternate-file, abort-if-file-too-large, write-file)
150 (set-visited-file-name):
151 * emacs-lisp/lisp.el (kill-backward-up-list):
152 Use user-error instead of error. (Bug#14480)
154 2015-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
156 * emacs-lisp/checkdoc.el (checkdoc-show-diagnostics): Don't make bogus
157 assumptions about window ordering.
159 2015-02-16 Kelly Dean <kelly@prtime.org>
161 * files.el (insert-file-contents-literally): Fix docstring typo.
163 2015-02-16 Kelly Dean <kelly@prtime.org>
165 * emacs-lisp/easy-mmode.el (define-minor-mode): Process macro
166 arguments correctly. (Bug#19685)
167 (define-minor-mode): Clarify docstring.
168 Clarify mode switch messages for minor modes. (Bug#19690)
170 2015-02-16 Kelly Dean <kelly@prtime.org>
172 * emacs-lisp/package-x.el (package-upload-buffer-internal):
173 Create valid tar files. (Bug#19536)
175 2015-02-16 Kelly Dean <kelly@prtime.org>
177 * desktop.el (desktop-read): Conditionally re-enable desktop autosave.
180 2015-02-16 Kelly Dean <kelly@prtime.org>
182 * help-mode.el (help-do-xref): Prevent duplicated display of Info
183 buffer, and prevent interference with existing buffer. (Bug#13190)
185 2015-02-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
187 python.el: Do not deactivate mark on shell fontification. (Bug#19871)
189 * progmodes/python.el (python-shell-font-lock-post-command-hook):
190 Do not deactivate mark on fontification.
192 2015-02-16 Ivan Shmakov <ivan@siamics.net>
194 * net/eww.el: Fix desktop support. (Bug#19226)
195 (eww-mode): Add autoload cookie.
196 (eww-restore-desktop): Use inhibit-read-only.
198 * net/eww.el (eww-suggest-uris): Add autoload cookie, so that
199 add-hook works correctly even if the file is not yet loaded.
201 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
203 * emacs-lisp/eieio.el (defclass): Use make-instance rather than
205 (set-slot-value): Mark as obsolete.
206 (eieio-object-class-name): Improve call to eieio-class-name.
207 (eieio-slot-descriptor-name, eieio-class-slots): New functions.
208 (object-slots): Use it. Declare obsolete.
209 (eieio-constructor): Merge it with `make-instance'.
210 (initialize-instance): Use `dolist'.
211 (eieio-override-prin1, eieio-edebug-prin1-to-string):
212 Use eieio--class-print-name.
214 * emacs-lisp/eieio-core.el (eieio--class-print-name): New function.
215 (eieio-class-name): Make it do what the docstring claims.
216 (eieio-defclass-internal): Simplify since `prots' isn't used any more.
217 (eieio--slot-name-index): Simplify accordingly.
218 (eieio-barf-if-slot-unbound): Pass the class object rather than its
219 name to `slot-unbound'.
221 * emacs-lisp/eieio-base.el (make-instance): Add a method here rather
222 than on eieio-constructor.
224 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
226 * emacs-lisp/cl-macs.el (cl-defstruct): Keep type=nil by default.
227 * emacs-lisp/cl-preloaded.el (cl-struct-define): Add sanity checks
228 about relationship between `type', `named', and `slots'.
229 * emacs-lisp/cl-generic.el (cl--generic-struct-tagcode): Adjust to new
230 value of `cl-struct-type' property.
232 2015-02-15 Jérémy Compostella <jeremy.compostella@gmail.com>
234 * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
235 with PAGER=cat. (Bug#19870)
237 2015-02-14 Artur Malabarba <bruce.connor.am@gmail.com>
239 * emacs-lisp/package.el (package-read-all-archive-contents):
240 Don't build the compatibility table.
241 (package-refresh-contents, package-initialize): Do build the
243 (package--build-compatibility-table): New function.
244 (describe-package-1): Describe why a package is incompatible.
246 2015-02-14 Stefan Monnier <monnier@iro.umontreal.ca>
248 * emacs-lisp/cl-preloaded.el (cl-struct-define): Register as children
250 (cl--assertion-failed): New function.
251 (cl-assertion-failed): Move in from cl-lib.el.
253 * emacs-lisp/cl-macs.el (cl-defstruct): Don't generate code to register
254 as children of its parents.
255 (cl--make-type-test, cl--compiler-macro-typep): Remove functions.
256 (cl-typep): Reimplement using define-inline.
257 (cl-assert): Use cl--assertion-failed.
258 (cl-struct-slot-value): Use define-inline.
260 * emacs-lisp/cl-lib.el: Move autoloaded code to cl-preload.
262 * textmodes/flyspell.el (flyspell-word): Defvar (bug#19844).
263 (flyspell-generic-check-word-p): Mark as obsolete.
265 2015-02-13 Artur Malabarba <bruce.connor.am@gmail.com>
267 * emacs-lisp/package.el (package--compatibility-table): New var.
268 (package--add-to-compatibility-table): New function.
269 (package-read-all-archive-contents): Populate compatibility table.
270 (package--incompatible-p): Also look in dependencies.
271 (describe-package-1): Fix "incompat" handling.
273 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
275 * net/rfc2104.el: Moved here from lisp/gnus.
277 2015-02-13 Magnus Henoch <magnus.henoch@gmail.com>
279 * net/sasl-scram-rfc.el: New file.
281 * net/sasl.el (sasl-mechanisms): Remove SCRAM-MD5.
282 Add SCRAM-SHA-1 first.
283 (sasl-mechanism-alist): Remove SCRAM-MD5 entry. Add SCRAM-SHA-1
286 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
288 * net/shr.el (shr-tag-li): Speed up rendering pages with lots of
291 2015-02-12 Oleh Krehel <ohwoeowho@gmail.com>
293 * progmodes/gdb-mi.el (gdb-display-io-nopopup): New defcustom.
294 (gdb-inferior-filter): Don't pop up the buried output buffer when
295 `gdb-display-io-nopopup' is non-nil.
297 2015-02-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
299 python.el: Allow killing shell buffer if process is dead. (Bug#19823)
301 * progmodes/python.el (python-shell-font-lock-kill-buffer):
302 Don't require a running process.
303 (python-shell-font-lock-post-command-hook): Fontify only if the
304 shell process is running.
306 2015-02-11 Stefan Monnier <monnier@iro.umontreal.ca>
308 * hi-lock.el (hi-lock-unface-buffer): Don't call
309 font-lock-remove-keywords if not needed (bug#19737).
311 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
313 * emacs-lisp/package.el (package-install): Invert the second
314 argument, for better backwards compatibility.
315 (package-install-button-action, package-reinstall)
316 (package-menu-execute): Account for the change.
318 2015-02-11 Nicolas Petton <nicolas@petton.fr>
320 * emacs-lisp/seq.el (seq-reverse): Add a backward-compatible
321 version of seq-reverse that works on sequences in Emacs 24.
322 Bump seq.el version to 1.2.
324 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
326 * emacs-lisp/package.el (package--incompatible-p): New function.
327 Return non-nil if PKG has no chance of being installable.
328 (package--emacs-version-list): New variable.
329 (describe-package-1, package-desc-status)
330 (package-menu--print-info, package-menu--status-predicate):
331 Account for the "incompat" status.
333 2015-02-11 Martin Rudalics <rudalics@gmx.at>
335 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
336 Rename frame parameter `maximized' to `fullscreen-restore'.
337 Restore fullwidth/-height after fullboth state. Update doc-strings.
339 2015-02-11 Lars Ingebrigtsen <larsi@gnus.org>
341 * net/shr.el (shr-insert): Make sure the space inserted has the
342 right font (for width).
343 (shr-fill-line): Preserve background colours when indenting/folding.
344 (shr-ensure-paragraph): Don't insert a new paragraph as the first
347 2015-02-10 Lars Ingebrigtsen <larsi@gnus.org>
349 * net/shr.el (shr-use-fonts): New variable.
350 (shr-fill-text): Rename from "fold".
351 (shr-pixel-column, shr-pixel-region, shr-string-pixel-width):
353 (shr-insert): Just insert, don't fill the text. Filling is now
354 done afterwards per display unit.
355 (shr-fill-lines, shr-fill-line): New functions to fill text on a
357 (shr-find-fill-point): Take a "beginning" parameter.
358 (shr-indent): Indent using the :width display parameter when using
360 (shr-parse-style): Ignore "inherit" values, since we already do that.
361 (shr-tag-img): Remove the insertion states.
362 (shr-tag-blockquote): New-style filling.
365 (shr-mark-fill): New function to mark lines that need filling.
366 (shr-tag-h1): Use a larger font.
367 (shr-tag-table-1): Get the natural and suggested widths in one
369 (shr-tag-table): Create the "fixed" version of the table only once
370 so that we can cache data in the table.
371 (shr-insert-table): Get colspan calculations right by having
372 zero-width columns after colspan ones.
373 (shr-expand-alignments): New function to make :align-to specs work
374 right when rendered in one buffer and displayed in another one.
375 (shr-insert-table-ruler): Use :align-to to get the widths right.
376 (shr-make-table): Cache more.
377 (shr-make-table-1): Use the new <td> data layout.
378 (shr-pixel-buffer-width): New function.
379 (shr-render-td): Add a caching layer.
380 (shr-dom-max-natural-width): New function.
381 (shr-tag-h1): Don't use variable-pitch fonts on fontless rendering.
382 (shr-tag-tt): New function.
383 (shr-tag-hr): Compute the right length when using fonts.
384 (shr-table-widths): Off-by-one error in width computation.
385 (shr-expand-newlines): Remove dead code.
386 (shr-insert-table): Extend background colors to the end of the column.
387 (shr-insert-table): Only copy the background, not underline and
389 (shr-face-background): New function.
391 2015-02-10 Fabián Ezequiel Gallina <fgallina@gnu.org>
393 python.el: Improved shell font lock respecting markers. (Bug#19650)
395 * progmodes/python.el
396 (python-shell-font-lock-get-or-create-buffer): Use special buffer name.
397 (python-shell-font-lock-with-font-lock-buffer): Enable font lock.
398 (python-shell-font-lock-post-command-hook): Fontify by copying text
399 properties from fontified buffer to shell, keeping markers unchanged.
400 (python-shell-font-lock-turn-off): Fix typo.
401 (python-util-text-properties-replace-name): Delete function.
403 2015-02-09 Nicolas Petton <nicolas@petton.fr>
405 * emacs-lisp/seq.el (seq-group-by): Improves seq-group-by to
406 return sequence elements in correct order.
408 2015-02-09 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
410 * textmodes/css-mode.el (css-smie-rules): Fix paren indent (bug#19815).
412 2015-02-09 Stefan Monnier <monnier@iro.umontreal.ca>
414 * emacs-lisp/cl-generic.el (cl--generic-lambda): Use macroexp-parse-body.
416 * emacs-lisp/eieio-core.el (eieio-oset-default): Catch the unexpected
417 case where the default value would be re-interpreted as a form!
419 2015-02-09 Christopher Genovese <genovese@cmu.edu> (tiny change)
421 * help-fns.el (help-fns--signature): Keep doc for keymap.
423 2015-02-09 Kelly Dean <kelly@prtime.org>
425 * desktop.el: Save mark-ring less verbosely.
426 (desktop-var-serdes-funs): New var.
427 (desktop-buffer-info, desktop-create-buffer): Use it.
428 (desktop-file-version): Update to 208.
430 2015-02-09 Leo Liu <sdl.web@gmail.com>
432 * emacs-lisp/pcase.el (pcase-lambda): New Macro. (Bug#19814)
434 * emacs-lisp/lisp-mode.el (el-kws-re): Include `pcase-lambda'.
436 * emacs-lisp/macroexp.el (macroexp-parse-body): New function.
438 2015-02-08 Paul Eggert <eggert@cs.ucla.edu>
440 Port to platforms lacking test -a and -o
441 * Makefile.in (compile-clean):
442 * net/tramp-sh.el (tramp-find-executable):
443 Prefer '&&' and '||' to 'test -a' and 'test -o'.
445 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
447 * newcomment.el (comment-line): Fix missing paren.
449 2015-02-08 Ulrich Müller <ulm@gentoo.org>
451 * play/gamegrid.el: Update comment to reflect that the
452 'update-game-score' helper program is now setgid by default.
454 2015-02-08 David Kastrup <dak@gnu.org>
456 * subr.el (apply-partially): Use lexical binding here.
458 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
460 * newcomment.el (comment-line): New command.
462 * bindings.el (ctl-x-map): Bind to `C-x C-;'.
464 2015-02-08 Oleh Krehel <ohwoeowho@gmail.com>
466 * outline.el (outline-show-entry): Fix one invisible char for the
467 file's last outline. Fixes Bug#19493.
469 2015-02-08 Stefan Monnier <monnier@iro.umontreal.ca>
471 * subr.el (indirect-function): Change advertised calling convention.
473 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
475 python.el: Fix completion-at-point. (Bug#19667)
477 * progmodes/python.el
478 (python-shell-completion-native-get-completions): Force process buffer.
479 (python-shell-completion-at-point): Handle case where call is not
482 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
484 python.el: Fix shell font-lock multiline input. (Bug#19744)
486 * progmodes/python.el
487 (python-shell-font-lock-post-command-hook): Handle multiline input.
489 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
491 python.el: Make shell font-lock respect markers. (Bug#19650)
493 * progmodes/python.el (python-shell-font-lock-cleanup-buffer):
495 (python-shell-font-lock-comint-output-filter-function):
497 (python-shell-font-lock-post-command-hook): Respect markers on
500 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
502 python.el: Keep eldoc visible while typing args. (Bug#19637)
504 * progmodes/python.el (python-eldoc--get-symbol-at-point):
505 New function based on Carlos Pita <carlosjosepita@gmail.com> patch.
506 (python-eldoc--get-doc-at-point, python-eldoc-at-point): Use it.
508 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
510 Fix hideshow integration. (Bug#19761)
512 * progmodes/python.el
513 (python-hideshow-forward-sexp-function): New function based on
514 Carlos Pita <carlosjosepita@gmail.com> patch.
515 (python-mode): Make `hs-special-modes-alist` use it and initialize
516 the end regexp with the empty string to avoid skipping parens.
518 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
520 * progmodes/python.el (python-check-custom-command): Do not use
521 defvar-local for compat with Emacs<24.3.
523 2015-02-07 Martin Rudalics <rudalics@gmx.at>
525 * frame.el (frame-notice-user-settings):
526 Update `frame-size-history'.
527 (make-frame): Update `frame-size-history'.
528 Call `frame-after-make-frame'.
529 * faces.el (face-set-after-frame-default): Remove call to
530 frame-can-run-window-configuration-change-hook.
532 2015-02-06 Dmitry Gutov <dgutov@yandex.ru>
534 * vc/vc-cvs.el (vc-cvs-dir-status-files): Don't pass DIR to
535 `vc-cvs-command' (bug#19732).
537 2015-02-06 Nicolas Petton <nicolas@petton.fr>
539 * emacs-lisp/seq.el (seq-mapcat, seq-partition, seq-group-by): New functions.
540 * emacs-lisp/seq.el (seq-drop-while, seq-take-while, seq-count)
541 (seq--drop-list, seq--take-list, seq--take-while-list): Better docstring.
543 2015-02-06 Artur Malabarba <bruce.connor.am@gmail.com>
545 * doc-view.el (doc-view-kill-proc-and-buffer): Obsolete. Use
546 `image-kill-buffer' instead.
548 2015-02-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
550 * net/ldap.el (ldap-search-internal): Fix docstring.
552 2015-02-06 Lars Ingebrigtsen <larsi@gnus.org>
554 * subr.el (define-error): The error conditions may be constant
555 lists, so use `append' to concatenate them.
557 2015-02-06 Wolfgang Jenkner <wjenkner@inode.at>
559 * net/network-stream.el (network-stream-open-tls): Respect the
560 :end-of-capability setting.
562 2015-02-05 Artur Malabarba <bruce.connor.am@gmail.com>
564 * emacs-lisp/package.el (package--sort-by-dependence):
565 New function. Return PACKAGE-LIST sorted by dependencies.
566 (package-menu-execute): Use it to delete packages in order.
567 (package--sort-deps-in-alist): New function.
568 (package-menu-mark-install): Can mark dependencies.
569 (package--newest-p): New function.
570 (package-delete): Don't deselect when deleting an older version of
573 * emacs-lisp/package.el: Add missing (require 'subr-x)
575 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
577 * textmodes/css-mode.el (scss-smie--not-interpolation-p): Vars can be
578 hyphenated (bug#19263).
580 * textmodes/css-mode.el (css-fill-paragraph): Fix filling in presence
581 of variable interpolation (bug#19751).
583 2015-02-05 Era Eriksson <era+emacs@iki.fi>
585 * json.el (json-end-of-file): New error (bug#19768).
586 (json-pop, json-read): Use it.
588 2015-02-05 Kelly Dean <kelly@prtime.org>
590 * help-mode.el (help-xref-interned): Pass BUFFER and FRAME to
593 * help-fns.el (describe-function-or-variable): New function.
595 * help.el (help-map): Bind `describe-function-or-variable' to o.
596 (help-for-help-internal): Document o key.
598 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
600 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use new
601 special (:documentation ...) feature.
602 * emacs-lisp/eieio-core.el (eieio-make-class-predicate)
603 (eieio-make-child-predicate): Same.
604 (eieio-copy-parents-into-subclass): Remove unused arg.
605 (eieio-defclass-internal): Adjust call accordingly and remove redundant
607 (eieio--slot-name-index): Remove unused arg `obj' and adjust all
610 * emacs-lisp/cconv.el (cconv--convert-function):
611 Add `docstring' argument.
612 (cconv-convert): Use it to handle the new (:documentation ...) form.
613 (cconv-analyze-form): Handle the new (:documentation ...) form.
615 * emacs-lisp/bytecomp.el:
616 (byte-compile-initial-macro-environment): Use macroexp-progn.
617 (byte-compile-cl-warn): Don't silence use of cl-macroexpand-all.
618 (byte-compile-file-form-defvar-function): Rename from
619 byte-compile-file-form-define-abbrev-table.
620 (defvaralias, byte-compile-file-form-custom-declare-variable): Use it.
621 (byte-compile): Use byte-compile-top-level rather than
622 byte-compile-lambda so we can compile non-values.
623 (byte-compile-form): Add warnings for failed uses of lexical vars via
625 (byte-compile-unfold-bcf): Improve message for failed inlining.
626 (byte-compile-make-closure): Handle new format of internal-make-closure
627 for dynamically-generated docstrings.
629 * delsel.el: Deprecate the `kill' option. Use lexical-binding.
630 (open-line): Delete like all other commands, instead of killing.
631 (delete-active-region): Don't define any return any value.
633 * progmodes/python.el: Try to preserve compatibility with Emacs-24.
634 (python-mode): Don't assume eldoc-documentation-function has a non-nil
637 2015-02-04 Sam Steingold <sds@gnu.org>
639 * progmodes/python.el (python-indent-calculate-indentation):
640 Avoid the error when computing top-level indentation.
642 2015-02-04 Stefan Monnier <monnier@iro.umontreal.ca>
644 * emacs-lisp/cl-generic.el (cl--generic-member-method): Fix paren typo.
646 * textmodes/flyspell.el: Use lexical-binding and cl-lib.
647 (mail-mode-flyspell-verify): Fix last change.
648 (flyspell-external-point-words, flyspell-large-region):
649 Avoid add-to-list on local vars.
651 2015-02-04 Tassilo Horn <tsdh@gnu.org>
653 * emacs-lisp/package.el (package-installed-p): Fix typo causing
656 2015-02-04 Artur Malabarba <bruce.connor.am@gmail.com>
658 * image-mode.el (image-kill-buffer): New command.
659 (image-mode-map): Bind it to k.
661 * emacs-lisp/package.el (package-delete): Remove package from
662 `package-selected-packages' even if it can't be deleted.
663 (package-installed-p): Accept package-desc objects.
664 (package-install): Can be used to mark dependencies as
665 selected. When given a package-desc object which is already
666 installed, the package is not downloaded again, but it is marked
667 as selected (if it wasn't already).
668 (package-reinstall): Accept package-desc objects.
670 2015-02-03 Artur Malabarba <bruce.connor.am@gmail.com>
672 * emacs-lisp/package.el (package-delete): Document NOSAVE.
673 (package--get-deps): delete-dups when ONLY is nil.
674 (package-autoremove): Warn the user if `package-selected-packages'
677 (package--user-selected-p): New function.
678 (package-delete, package-install, package-install-from-buffer):
680 (package-selected-packages): Mention it.
682 (package-initialize): Don't populate `package-selected-packages'.
683 (package-install-user-selected-packages, package-autoremove):
684 Special handling for empty `package-selected-packages'.
685 (package-install): Fix when PKG is a package-desc.
687 (package-desc-status): Add "dependency" status to the Package
689 (package-menu--status-predicate, package-menu--print-info)
690 (package-menu-mark-delete, package-menu--find-upgrades)
691 (package-menu--status-predicate, describe-package-1): Use it
693 (package--removable-packages): New function.
694 (package-autoremove): Use it.
695 (package-menu-execute): Offer to remove unneeded packages.
697 (package--read-pkg-desc, package-tar-file-info): Fix reference to
700 2015-02-03 Thierry Volpiatto <thierry.volpiatto@gmail.com>
702 * emacs-lisp/package.el (package-reinstall): Don't change package's selected status.
703 (package-delete): New NOSAVE argument.
705 2015-02-03 Michael Albinus <michael.albinus@gmx.de>
707 * net/tramp-sh.el (tramp-histfile-override): Fix docstring.
708 (tramp-open-shell, tramp-maybe-open-connection): Set also
709 HISTFILESIZE and HISTSIZE when needed. (Bug#19731)
711 2015-02-02 Artur Malabarba <bruce.connor.am@gmail.com>
713 * emacs-lisp/package.el (package--find-non-dependencies):
715 (package-initialize): Use it to populate `package-selected-packages'.
716 (package-menu-execute): Clean unnecessary `and'.
717 (package--get-deps): Fix returning duplicates.
719 2015-02-02 Michael Albinus <michael.albinus@gmx.de>
721 * net/tramp-sh.el (tramp-histfile-override): Add another choice t.
723 (tramp-open-shell, tramp-maybe-open-connection): Support it.
726 2015-02-02 Thierry Volpiatto <thierry.volpiatto@gmail.com>
728 * emacs-lisp/package.el (package-delete): Remove package from
729 package-selected-packages.
730 (package-autoremove): Remove unneeded variable.
732 2015-02-01 Artur Malabarba <bruce.connor.am@gmail.com>
734 * emacs-lisp/package.el (package-selected-packages): Fix :type
735 (package-install): Rename ARG to MARK-SELECTED.
736 (package--get-deps): Fix for indirect dependencies.
737 (package-used-elsewhere-p): Rename to
738 (package--used-elsewhere-p): New function.
739 (package-reinstall, package-user-selected-packages-install)
740 (package-autoremove): Use sharp-quote.
741 (package-user-selected-packages-install): Reindent and rename to
742 (package-install-user-selected-packages): New function.
744 2015-02-01 Thierry Volpiatto <thierry.volpiatto@gmail.com>
746 * emacs-lisp/package.el: Don't allow deleting dependencies.
748 (package-used-elsewhere-p): New function.
749 (package-delete): Use it, return now an error when trying to
750 delete a package used as dependency by another package.
752 Add a reinstall package command.
753 (package-reinstall): New function.
755 Add a package-autoremove command.
756 (package-selected-packages): New user var.
757 (package-install): Add an optional arg to notify interactive use.
758 Fix docstring. Save installed package to
759 packages-installed-directly.
760 (package-install-from-buffer): Same.
761 (package-user-selected-packages-install): Allow installing all
762 packages in packages-installed-directly at once.
763 (package--get-deps): New function.
764 (package-autoremove): New function.
765 (package-install-button-action): Call package-install with
767 (package-menu-execute): Same but only for only for not installed
770 2015-01-31 Stefan Monnier <monnier@iro.umontreal.ca>
772 * emacs-lisp/eieio.el (defclass): Use new eieio-make-class-predicate
773 and eieio-make-child-predicate.
774 (eieio-class-parents): Use eieio--class-object.
775 (slot-boundp, find-class, eieio-override-prin1): Avoid class-p.
776 (slot-exists-p): Use find-class.
778 * emacs-lisp/eieio-opt.el (eieio-help-class, eieio-help-constructor):
779 Use find-lisp-object-file-name, help-fns-short-filename and new calling
780 convention for eieio-class-def.
781 (eieio-build-class-list): Remove function, unused.
782 (eieio-method-def): Remove button type, unused.
783 (eieio-class-def): Inherit from help-function-def.
784 (eieio--defclass-regexp): New constant.
785 (find-function-regexp-alist): Use it.
786 (eieio--specializers-apply-to-class-p): Handle eieio--static as well.
787 (eieio-help-find-method-definition, eieio-help-find-class-definition):
790 * emacs-lisp/eieio-core.el (eieio--check-type): Remove.
791 Use cl-check-type everywhere instead.
792 (eieio-class-object): Remove, use find-class instead when needed.
793 (class-p): Don't inline.
794 (eieio-object-p): Check more thoroughly, so we don't treat cl-structs,
795 such as eieio classes, as objects. Don't inline.
796 (object-p): Mark as obsolete.
797 (eieio-defclass-autoload, eieio-defclass-internal, eieio-oref)
798 (eieio--generic-tagcode): Avoid `class-p'.
799 (eieio-make-class-predicate, eieio-make-child-predicate): New functions.
800 (eieio-defclass-internal): Use current-load-list rather than
803 * emacs-lisp/cl-generic.el (cl--generic-search-method): Fix regexp.
805 2015-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
807 * emacs-lisp/backquote.el (backquote-delay-process): Don't reuse `s'
808 since it may be "equivalent" in some sense, yet different (bug#19734).
810 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
812 * outline.el (outline-font-lock-face): Add docstring.
813 (outline-invisible-p): Improve docstring.
814 (outline-invent-heading): Add docstring.
815 (outline-promote): Improve docstring.
816 (outline-demote): Improve docstring.
817 (outline-head-from-level): Improve docstring.
818 (outline-end-of-heading): Add docstring.
819 (outline-next-visible-heading): Improve docstring.
820 (outline-previous-visible-heading): Improve docstring.
821 (outline-hide-region-body): Improve docstring.
822 (outline-flag-subtree): Add docstring.
823 (outline-end-of-subtree): Add docstring.
824 (outline-headers-as-kill): Improve docstring.
826 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
828 * outline.el (outline-hide-entry): Rename from `hide-entry'.
829 (hide-entry): Declare as obsolete.
830 (outline-show-entry): Rename from `show-entry'.
831 (show-entry): Declare as obsolete.
832 (outline-hide-body): Rename from `hide-body'.
833 (hide-body): Declare as obsolete.
834 (outline-hide-region-body): Rename from `hide-region-body'.
835 (hide-region-body): Declare as obsolete.
836 (outline-show-all): Rename from `show-all'.
837 (show-all): Declare as obsolete.
838 (outline-hide-subtree): Rename from `hide-subtree'.
839 (hide-subtree): Declare as obsolete.
840 (outline-hide-leaves): Rename from `hide-leaves'.
841 (hide-leaves): Declare as obsolete.
842 (outline-show-subtree): Rename from `show-subtree'.
843 (show-subtree): Declare as obsolete.
844 (outline-hide-sublevels): Rename from `hide-sublevels'.
845 (hide-sublevels): Declare as obsolete.
846 (outline-hide-other): Rename from `hide-other'.
847 (hide-other): Declare as obsolete.
848 (outline-show-children): Rename from `show-children'.
849 (show-children): Declare as obsolete.
850 (outline-show-branches): Rename from `show-branches'.
851 (show-branches): Declare as obsolete.
853 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
855 * outline.el (outline-mode): Clean up docstring.
856 (font-lock-warning-face): Remove obsolete declaration.
857 (outline-font-lock-face): Remove obsolete comment.
859 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
861 * lisp/custom.el (defface): Set `indent' to 1.
863 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
865 * emacs-lisp/easy-mmode.el (define-minor-mode): Set `indent' to 1.
867 2015-01-30 Michal Nazarewicz <mina86@mina86.com>
869 * lisp/files.el (save-buffers-kill-emacs): If `confirm-kill-emacs'
870 is set, but user has just been asked whether they really want to
871 kill Emacs (for example with a ‘Modified buffers exist; exit
872 anyway?’ prompt), do not ask them for another confirmation.
874 2015-01-29 Jay Belanger <jay.p.belanger@gmail.com>
876 * lisp/calc/calc-units.el (calc-convert-exact-units): New function.
877 (calc-convert-units): Check for missing units.
878 (math-consistent-units-p): Strengthen the test for consistent units.
880 * lisp/calc/calc-ext.el (calc-init-extensions): Autoload
881 `calc-convert-exact-units' and assign it a keybinding.
883 * lisp/calc/calc-help (calc-u-prefix-help): Add help for the
886 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
888 * emacs-lisp/cl.el (cl--function-convert): Simplify.
890 2015-01-28 Tassilo Horn <tsdh@gnu.org>
892 * textmodes/reftex.el (reftex-syntax-table-for-bib): Give ( and )
893 punctuation syntax since to allow bibtex fields with values such
894 as {Test 1) and 2)} (bug#19205, bug#19707).
895 (reftex--prepare-syntax-tables): New function.
896 (reftex-mode): Use it.
898 2015-01-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
900 python.el: New non-global state dependent indentation engine.
901 (Bug#18319, Bug#19595)
902 * progmodes/python.el (python-syntax-comment-or-string-p):
903 Accept PPSS as argument.
904 (python-syntax-closing-paren-p): New function.
905 (python-indent-current-level)
906 (python-indent-levels): Mark obsolete.
907 (python-indent-context): Return more context cases.
908 (python-indent--calculate-indentation)
909 (python-indent--calculate-levels): New functions.
910 (python-indent-calculate-levels): Use them.
911 (python-indent-calculate-indentation, python-indent-line):
912 (python-indent-line-function): Rewritten to use new API.
913 (python-indent-dedent-line): Simplify logic.
914 (python-indent-dedent-line-backspace): Use `unless`.
915 (python-indent-toggle-levels): Delete function.
917 2015-01-28 Daniel Koning <dk@danielkoning.com> (tiny change)
919 * subr.el (posnp): Correct docstring of `posnp'.
920 (posn-col-row): Make it work with all mouse position objects.
921 * textmodes/artist.el (artist-mouse-draw-continously):
922 Cancel timers if an error occurs during continuous drawing. (Bug#6130)
924 2015-01-28 Eli Zaretskii <eliz@gnu.org>
926 * button.el (button-activate, push-button): Doc fix. (Bug#19628)
928 2015-01-28 Michael Albinus <michael.albinus@gmx.de>
930 * filenotify.el (file-notify-descriptors, file-notify-handle-event):
932 (file-notify--descriptor): New defun.
933 (file-notify-callback, file-notify-add-watch, file-notify-rm-watch):
934 Adapt docstring. Handle multiple values for
935 `file-notify-descriptors' entries. (Bug#18880)
937 * net/tramp.el (tramp-handle-file-notify-rm-watch): Do not check
938 `file-notify-descriptors', the implementation has been changed.
940 2015-01-28 Eli Zaretskii <eliz@gnu.org>
942 * net/net-utils.el (net-utils-run-program, net-utils-run-simple):
943 On MS-Windows, bind coding-system-for-read to the console output
944 codepage. (Bug#19458)
946 2015-01-28 Dmitry Gutov <dgutov@yandex.ru>
948 Unbreak `mouse-action' property in text buttons.
949 * button.el (push-button): Fix regression from 2012-12-06.
951 2015-01-28 Glenn Morris <rgm@gnu.org>
953 * progmodes/sh-script.el (sh-mode): Doc fix.
954 (sh-basic-indent-line): Handle electric newline. (Bug#18756)
956 2015-01-28 Paul Eggert <eggert@cs.ucla.edu>
958 Fix dired quoting bug with "Hit`N`Hide". Fixes Bug#19498.
959 * files.el (shell-quote-wildcard-pattern): Also quote "`".
961 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
963 Tighten up the tagcode used for eieio and cl-struct objects.
964 * loadup.el: Load cl-preloaded.
965 * emacs-lisp/eieio-core.el (eieio-defclass-internal): Set the function
966 slot of the tag symbol to :quick-object-witness-check.
967 (eieio-object-p): Use :quick-object-witness-check.
968 (eieio--generic-tagcode): Use cl--generic-struct-tag.
969 * emacs-lisp/cl-preloaded.el: New file.
970 * emacs-lisp/cl-macs.el (cl--bind-inits): Remove, unused.
971 (cl--transform-lambda, cl-destructuring-bind): Remove cl--bind-inits.
972 (cl--make-usage-args): Strip away &aux args.
973 (cl-case, cl-typecase, cl--parse-loop-clause): Use macroexp-let2.
974 (cl-the, cl-check-type): Use macroexp-let2 and cl-typep.
975 (cl-defstruct): Use `declare' and cl-struct-define.
976 * emacs-lisp/cl-generic.el (cl--generic-struct-tag): New function.
977 (cl--generic-struct-tagcode): Use it to tighten the tagcode.
979 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
981 * emacs-lisp/cl.el (cl--function-convert):
982 Merge cache that cl--labels-convert adds (bug#19699).
984 2015-01-27 Ivan Shmakov <ivan@siamics.net>
986 * tar-mode.el: Allow for adding new archive members. (Bug#19274)
987 (tar-new-regular-file-header, tar--pad-to, tar--put-at)
988 (tar-header-serialize): New functions.
989 (tar-current-position): Split from tar-current-descriptor.
990 (tar-current-descriptor): Use it.
991 (tar-new-entry): New command.
992 (tar-mode-map): Bind it.
994 2015-01-27 Sam Steingold <sds@gnu.org>
996 * progmodes/python.el (python-check-custom-command): Buffer local
997 because it usually includes the buffer name.
998 (python-check-command): Set to epylint when pyflakes is not available.
1000 2015-01-27 Artur Malabarba <bruce.connor.am@gmail.com>
1002 * isearch.el (isearch-process-search-char): Add docstring.
1004 2015-01-27 Oleh Krehel <ohwoeowho@gmail.com>
1006 * emacs-lisp/derived.el (define-derived-mode): Declare indent 3.
1008 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
1010 * emacs-lisp/cl.el (cl--function-convert): Run cl--labels-convert
1011 for the case cl-flet or cl-labels form is wrapped with lexical-let
1014 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
1016 * emacs-lisp/cl-generic.el (cl--generic-method): New struct.
1017 (cl--generic): The method-table is now a (list-of cl--generic-method).
1018 (cl--generic-member-method): New function.
1019 (cl-generic-define-method): Use it.
1020 (cl--generic-build-combined-method, cl--generic-cache-miss):
1021 Adapt to new method-table.
1022 (cl--generic-no-next-method-function): Add `method' argument.
1023 (cl-generic-call-method): Adapt to new method representation.
1024 (cl--generic-cnm-sample, cl--generic-nnm-sample): Adjust.
1025 (cl-find-method, cl-method-qualifiers): New functions.
1026 (cl--generic-method-info): Adapt to new method representation.
1027 Return a string for the qualifiers.
1028 (cl--generic-describe):
1029 * emacs-lisp/eieio-opt.el (eieio-help-class): Adjust accordingly.
1030 (eieio-all-generic-functions, eieio-method-documentation):
1031 Adjust to new method representation.
1033 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use cl-find-method.
1035 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
1037 * emacs-lisp/cl-generic.el: Add a method-combination hook.
1038 (cl-generic-method-combination-function): New var.
1039 (cl--generic-lambda): Remove `with-cnm' arg.
1040 (cl-defmethod): Change accordingly.
1041 (cl-generic-define-method): Don't check qualifiers validity.
1042 Preserve all qualifiers in `method-table'.
1043 (cl-generic-call-method): New function.
1044 (cl--generic-nest): Remove (morph into cl-generic-call-method).
1045 (cl--generic-build-combined-method): Adjust to new format of method-table
1046 and use cl-generic-method-combination-function.
1047 (cl--generic-standard-method-combination): New function, extracted from
1048 cl--generic-build-combined-method.
1049 (cl--generic-cnm-sample): Adjust to new format of method-table.
1051 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use () qualifiers
1052 instead of :primary.
1054 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke):
1055 Remove obsolete function.
1057 2015-01-26 Lars Ingebrigtsen <larsi@gnus.org>
1059 * net/shr.el (shr-make-table-1): Fix colspan typo.
1060 (shr-make-table-1): Add comments.
1061 (shr-make-table-1): Make colspan display more sensibly.
1063 * net/eww.el (eww-add-bookmark): Fix prompt and clean up the code
1066 2015-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1068 * emacs-lisp/cl-generic.el (cl--generic-no-next-method-function): New fun.
1069 (cl--generic-build-combined-method, cl--generic-nnm-sample): Use it
1071 (cl--generic-typeof-types): Add support for `sequence'.
1072 (cl-defmethod): Add non-keywords in the qualifiers.
1074 2015-01-25 Dmitry Gutov <dgutov@yandex.ru>
1076 * emacs-lisp/find-func.el (find-function-regexp): Don't match
1077 `defgroup' (regression from the previous change here).
1079 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1081 * net/ldap.el (ldap-search-internal): Mention binddn in invalid
1082 credentials error message.
1084 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1086 * net/ldap.el (ldap-password-read): Validate password before
1088 (ldap-search-internal): Handle ldapsearch error conditions.
1090 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1092 * net/ldap.el (ldap-password-read): Handle password-cache being nil.
1094 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1096 * net/eudc.el (eudc-expand-inline): Always restore former server
1099 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1101 * net/eudcb-ldap.el: Don't nag the user in case a default base is
1102 provided by the LDAP system configuration file.
1104 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1106 * net/eudc.el (eudc-format-query): Preserve the
1107 eudc-inline-query-format ordering of attributes in the returned list.
1108 * net/eudcb-ldap.el (eudc-ldap-format-query-as-rfc1558):
1109 Append the LDAP wildcard character to the last attribute value.
1111 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1113 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple):
1114 Downcase field names of LDAP results.
1115 (eudc-ldap-cleanup-record-filtering-addresses): Likewise.
1117 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1119 * net/ldap.el (ldap-ldapsearch-password-prompt): New defcustom.
1120 (ldap-search-internal): Send password to ldapsearch through a pipe
1121 instead of via the command line.
1123 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1125 * net/ldap.el: Require password-cache.
1126 (ldap-password-read): New function.
1127 (ldap-search-internal): Call ldap-password-read when it is
1128 configured to be called.
1130 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1132 * net/eudc-vars.el (eudc-expansion-overwrites-query):
1133 Change default to nil.
1135 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1137 * net/eudc.el (eudc-expand-inline): Ignore text properties of
1140 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1142 * net/eudc-vars.el (eudc-inline-expansion-format): Default to a
1143 format that includes first name and surname.
1145 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1147 * net/eudc-vars.el (eudc-inline-query-format): Change default to
1148 query email and first name instead of surname.
1150 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1152 * net/ldap.el (ldap-search-internal): Support new-style LDAP URIs.
1154 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1156 * net/eudc-vars.el (eudc-server): Adjust docstring to mention
1157 eudc-server-hotlist.
1158 (eudc-server-hotlist): Move from eudc.el and make defcustom.
1159 * net/eudc.el (eudc-server-hotlist): Move to eudc-vars.el.
1160 (eudc-set-server): Allow setting protocol to nil.
1161 (eudc-expand-inline): Support hotlist-only expansions when server
1164 2015-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
1166 * emacs-lisp/cl-generic.el (cl-no-primary-method): New fun and error.
1167 (cl--generic-build-combined-method): Use it.
1169 2015-01-22 Paul Eggert <eggert@cs.ucla.edu>
1171 Don't downcase system diagnostics' first letters
1172 * emacs-lisp/bytecomp.el (byte-compile-file):
1173 * ffap.el (find-file-at-point):
1174 * files.el (insert-file-1):
1175 * net/ange-ftp.el (ange-ftp-barf-if-not-directory)
1176 (ange-ftp-copy-file-internal):
1177 * progmodes/etags.el (visit-tags-table):
1178 Keep diagnostics consistent with system's.
1179 * ffap.el (ffap-machine-p):
1180 Ignore case while comparing diagnostics.
1182 2015-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
1184 * help.el (help-make-usage): Don't turn a "_" arg into an empty-string
1186 * emacs-lisp/cl-generic.el (cl--generic-lambda): Don't confuse a string
1187 body with a docstring.
1189 2015-01-22 Dmitry Gutov <dgutov@yandex.ru>
1191 * progmodes/xref.el (xref-location-marker, xref-location-group):
1192 Use `cl-defgeneric' and `cl-defmethod' instead of the EIEIO
1195 * progmodes/etags.el (xref-location-marker): Same.
1197 * progmodes/xref.el (xref--current): Rename from `xref--selected'.
1198 (xref--inhibit-mark-current): Rename from
1199 `xref--inhibit-mark-selected'. Update the usages.
1200 (xref-quit): Reword the docstring. Kill buffers after quitting
1201 windows instead of before.
1202 (xref--insert-xrefs): Tweak help-echo.
1203 (xref--read-identifier-history, xref--read-pattern-history):
1205 (xref--read-identifier, xref-find-apropos): Use them.
1207 2015-01-21 Ulrich Müller <ulm@gentoo.org>
1209 * play/gamegrid.el (gamegrid-add-score-with-update-game-score):
1210 Allow the 'update-game-score' helper program to run suid or sgid.
1212 2015-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
1214 * emacs-lisp/eieio.el: Use cl-defmethod.
1215 (defclass): Generate cl-defmethod calls; use setf methods for :accessor.
1216 (eieio-object-name-string): Declare as obsolete.
1218 * emacs-lisp/eieio-opt.el: Adapt to cl-generic.
1219 (eieio--specializers-apply-to-class-p): New function.
1220 (eieio-all-generic-functions): Use it.
1221 (eieio-method-documentation): Use it as well as cl--generic-method-info.
1222 Change format of return value.
1223 (eieio-help-class): Adapt accordingly.
1225 * emacs-lisp/eieio-compat.el (eieio--defmethod): Avoid no-next-method
1226 errors when there's a `before' but no `primary' (bug#19645).
1227 (next-method-p): Return nil rather than signal an error.
1228 (eieio-defgeneric): Remove bogus (fboundp 'method).
1230 * emacs-lisp/eieio-speedbar.el:
1231 * emacs-lisp/eieio-datadebug.el:
1232 * emacs-lisp/eieio-custom.el:
1233 * emacs-lisp/eieio-base.el: Use cl-defmethod.
1235 * emacs-lisp/cl-generic.el (cl-defgeneric): Add support for `declare'.
1236 (cl--generic-setf-rewrite): Setup the setf expander right away.
1237 (cl-defmethod): Make sure the setf expander is setup before we expand
1239 (cl-defmethod): Silence byte-compiler warnings.
1240 (cl-generic-define-method): Shuffle code to change return value.
1241 (cl--generic-method-info): New function, extracted from
1242 cl--generic-describe.
1243 (cl--generic-describe): Use it.
1245 2015-01-21 Dmitry Gutov <dgutov@yandex.ru>
1247 * progmodes/xref.el (xref--xref-buffer-mode-map): Define before
1248 the major mode. Remap `quit-window' to `xref-quit'.
1249 (xref--xref-buffer-mode): Inherit from special-mode.
1251 xref: Keep track of temporary buffers (bug#19466).
1252 * progmodes/xref.el (xref--temporary-buffers, xref--selected)
1253 (xref--inhibit-mark-selected): New variables.
1254 (xref--mark-selected): New function.
1255 (xref--show-location): Maybe add the buffer to
1256 `xref--temporary-buffers', add `xref--mark-selected' to
1257 `buffer-list-update-hook' there.
1258 (xref--window): Add docstring.
1259 (xref-quit): Rename from `xref--quit'. Update both references.
1260 Add KILL argument. When it's non-nil, kill the temporary buffers
1261 that haven't been selected by the user.
1262 (xref--show-xref-buffer): Change the second argument to alist,
1263 extract the values for `xref--window' and
1264 `xref--temporary-buffers' from it. Add `xref--mark-selected' to
1265 `buffer-list-update-hook' to each buffer in the list.
1266 (xref--show-xrefs): Move the logic of calling `xref-find-function'
1267 here. Save the difference between buffer lists before and after
1268 it's called as "temporary buffers", and `pass it to
1269 `xref-show-xrefs-function'.
1270 (xref--find-definitions, xref-find-references)
1271 (xref-find-apropos): Update accordingly.
1273 2015-01-20 Artur Malabarba <bruce.connor.am@gmail.com>
1275 * emacs-lisp/package.el (package-dir-info): Fix `while' logic.
1277 2015-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
1279 * emacs-lisp/eieio-generic.el: Remove.
1280 (defgeneric, defmethod): Move to eieio-compat.el. Mark obsolete.
1281 * emacs-lisp/eieio-compat.el: New file.
1282 * emacs-lisp/eieio.el: Don't require eieio-generic any more.
1283 * emacs-lisp/eieio-core.el (eieio--slot-originating-class-p):
1284 Remove unused function.
1285 (eieio-defclass): Move to eieio-compat.el.
1286 * emacs-lisp/macroexp.el (macroexp-macroexpand): New function.
1287 (macroexp--expand-all): Use it.
1288 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): Here too.
1290 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1292 * emacs-lisp/eldoc.el (eldoc-documentation-function): Describe how
1293 major modes should use `add-function' to alter value of the variable.
1294 * hexl.el (hexl-mode):
1295 * ielm.el (inferior-emacs-lisp-mode):
1296 * progmodes/cfengine.el (cfengine3-mode):
1297 * progmodes/elisp-mode (emacs-lisp-mode):
1298 * progmodes/octave.el (octave-mode):
1299 * progmodes/python.el (python-mode):
1300 * simple.el (read--expression): Set `eldoc-documentation-function'
1301 using `add-function' so the default value is always used.
1303 * descr-text.el (describe-char-eldoc): New function returning
1304 basic Unicode codepoint information (e.g. name) about character
1305 at point. It is meant to be used as a default value of the
1306 `eldoc-documentation-function' variable.
1307 (describe-char-eldoc--format, describe-char-eldoc--truncate):
1308 New helper functions for `describe-char-eldoc' function.
1310 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1312 * textmodes/paragraphs.el (sentence-end-base): Include an
1313 ellipsis (…) and interrobang (‽) characters as end of a sentence,
1314 and a closing single quote (’) as an end of a quote.
1316 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1318 * textmodes/tildify.el (tildify-double-space-undos): A new
1319 variable specifying whether pressing space in `tildify-mode' after
1320 a space has been replaced with hard space undos the substitution.
1321 (tildify-space): Add code branch for handling `tildify-doule-space'.
1323 * textmodes/tildify.el (tildify-space): A new function
1324 which can be used as a `post-self-insert-hook' to automatically
1325 convert spaces into hard spaces.
1326 (tildify-space-pattern): A new variable specifying pattern where
1327 `tildify-space' should take effect.
1328 (tildify-space-predicates): A new variable specifying list of
1329 predicate functions that all must return non-nil for
1330 `tildify-space' to take effect.
1331 (tildify-space-region-predicate): A new functions meant to be
1332 used as a predicate in `tildify-space-predicates' list.
1333 (tildify-mode): A new minor mode enabling `tildify-space' as a
1334 `post-self-insert-hook'
1336 2015-01-20 Daniel Colascione <dancol@dancol.org>
1338 * vc/vc-dir.el (vc-dir): Default to repository root, not
1341 2015-01-20 Dmitry Gutov <dgutov@yandex.ru>
1343 * progmodes/etags.el (xref-etags-location): New class.
1344 (xref-make-etags-location): New function.
1345 (etags--xref-find-definitions): Use it.
1346 (xref-location-marker): New method implementation.
1348 * progmodes/xref.el: Mention that xref-location is an EIEIO class.
1349 (xref--insert-xrefs): Expand help-echo string.
1351 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
1353 * ido.el: Update Customization instructions.
1355 2015-01-19 Jonas Bernoulli <jonas@bernoul.li>
1357 Define Ido keymaps once (bug#17000).
1358 * ido.el (ido-common-completion-map)
1359 (ido-file-dir-completion-map)
1360 (ido-file-completion-map, ido-buffer-completion-map): Set up key
1361 bindings when each variable is defined.
1362 (ido-completion-map): Move definition.
1363 (ido-init-completion-maps): Noop.
1364 (ido-common-initialization): Don't call it.
1365 (ido-setup-completion-map): Improve doc-string, cleanup.
1367 2015-01-19 Ivan Shmakov <ivan@siamics.net>
1369 * cus-dep.el (custom-make-dependencies): Ensure that
1370 default-directory is interpreted as a directory (see bug#19140.)
1372 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
1374 * progmodes/xref.el (xref--display-position):
1375 Set `other-window-scroll-buffer'.
1376 (xref-goto-xref): Use `user-error'.
1378 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
1380 * progmodes/xref.el (xref--display-history): New variable.
1381 (xref--window-configuration): Remove.
1382 (xref--save-to-history): New function.
1383 (xref--display-position): Use it. Add new argument.
1384 (xref--restore-window-configuration): Remove.
1385 (xref--show-location, xref-show-location-at-point):
1387 (xref--xref-buffer-mode): Don't use `pre-command-hook'.
1388 (xref--quit): New command.
1389 (xref-goto-xref): Use it.
1390 (xref--xref-buffer-mode-map): Bind `q' to it.
1392 2015-01-18 Dmitry Gutov <dgutov@yandex.ru>
1394 * progmodes/xref.el (xref-goto-xref): Perform the jump even inside
1395 indentation or at eol.
1397 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
1399 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
1400 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
1402 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
1404 * emacs-lisp/eieio.el (defclass): Add obsolescence warning for the
1407 * emacs-lisp/cl-generic.el (cl-generic-define-method): Correctly handle
1408 introduction of a new dispatch argument.
1409 (cl--generic-cache-miss): Handle dispatch on an argument which was not
1410 considered as dispatchable for this method.
1411 (cl-defmethod): Warn when adding a method to an obsolete generic function.
1412 (cl--generic-lambda): Make sure it works if cl-lib is not yet loaded.
1414 * emacs-lisp/eieio-generic.el (eieio--defgeneric-init-form): Use autoloadp.
1416 2015-01-18 Artur Malabarba <bruce.connor.am@gmail.com>
1418 * emacs-lisp/package.el (package--append-to-alist): Rename from
1419 `package--add-to-alist'
1420 Updated docstring due to new name.
1422 2015-01-18 Leo Liu <sdl.web@gmail.com>
1424 * emacs-lisp/cl-extra.el (cl-subseq): Use seq-subseq and fix
1425 multiple evaluation. (Bug#19519)
1427 * emacs-lisp/seq.el (seq-subseq): Throw bad bounding indices
1430 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
1432 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
1433 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
1435 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
1437 * emacs-lisp/cl-macs.el (cl-defstruct): Minor optimization when include
1439 (cl-struct-type-p): New function.
1441 * emacs-lisp/cl-generic.el: Add support for cl-next-method-p.
1442 (cl-defmethod): Add edebug spec.
1443 (cl--generic-build-combined-method): Fix call to
1444 cl-no-applicable-method.
1445 (cl--generic-nnm-sample, cl--generic-cnm-sample): New constant.
1446 (cl--generic-isnot-nnm-p): New function.
1447 (cl--generic-lambda): Use it to add support for cl-next-method-p.
1448 (cl-no-next-method, cl-no-applicable-method): Simplify arg list.
1449 (cl-next-method-p): New function.
1451 2015-01-17 Ulrich Müller <ulm@gentoo.org>
1453 * version.el (emacs-repository-get-version): Update docstring.
1455 2015-01-17 Ivan Shmakov <ivan@siamics.net>
1457 * files.el (find-file-other-window, find-file-other-frame):
1458 Use mapc instead of mapcar. (Bug#18175)
1460 * files.el (dir-locals-collect-variables): Use default-directory
1461 in place of the file name while working on non-file buffers, just
1462 like hack-dir-local-variables already does. (Bug#19140)
1464 * textmodes/enriched.el (enriched-encode):
1465 Use inhibit-point-motion-hooks in addition to inhibit-read-only.
1468 * desktop.el (desktop-read): Do not call desktop-clear when no
1469 desktop file is found. (Bug#18371)
1471 * misearch.el (multi-isearch-unload-function): New function.
1472 (misearch-unload-function): New alias. (Bug#19566)
1474 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
1476 * emacs-lisp/eieio-core.el (eieio--class-constructor): Rename from
1477 class-constructor, and make it an alias for `identity'.
1480 * emacs-lisp/eieio.el (eieio-constructor): Handle obsolete object name
1481 argument here (bug#19620)...
1482 (defclass): ...instead of in the constructor here.
1484 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
1486 * emacs-lisp/package.el (package-archive-priorities):
1487 Specify correct type.
1489 2015-01-17 Ulrich Müller <ulm@gentoo.org>
1491 * version.el (emacs-bzr-version-dirstate, emacs-bzr-version-bzr):
1493 (emacs-repository-get-version): Discard the Bazaar case.
1494 * vc/vc-bzr.el (vc-bzr-version-dirstate): Rename from
1495 emacs-bzr-version-dirstate and move from version.el to here.
1496 (vc-bzr-working-revision): Use it.
1498 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
1500 * emacs-lisp/eieio-generic.el (call-next-method): Don't bother checking
1501 eieio--scoped-class any more.
1503 * emacs-lisp/eieio-core.el (eieio--scoped-class-stack): Remove var.
1504 (eieio--scoped-class): Remove function.
1505 (eieio--with-scoped-class): Remove macro. Replace uses with `progn'.
1506 (eieio--slot-name-index): Don't check the :protection anymore.
1507 (eieio-initializing-object): Remove var.
1508 (eieio-set-defaults): Don't let-bind eieio-initializing-object.
1510 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
1512 Improve handling of doc-strings and describe-function for cl-generic.
1514 * help-mode.el (help-function-def): Add optional arg `type'.
1516 * help-fns.el (find-lisp-object-file-name): Accept any `type' as long
1518 (help-fns-short-filename): New function.
1519 (describe-function-1): Use it. Use autoload-do-load.
1521 * emacs-lisp/find-func.el: Use lexical-binding.
1522 (find-function-regexp): Don't rule out `defgeneric'.
1523 (find-function-regexp-alist): Document new possibility of including
1524 a function instead of a regexp.
1525 (find-function-search-for-symbol): Implement that new possibility.
1526 (find-function-library): Don't assume that `function' is a symbol.
1527 (find-function-do-it): Remove unused var `orig-buf'.
1529 * emacs-lisp/eieio-generic.el (eieio--defalias): Move from eieio-core.
1530 (eieio--defgeneric-init-form): Don't throw away a previous docstring.
1531 (eieio--method-optimize-primary): Don't mess with the docstring.
1532 (defgeneric): Keep the `args' in the docstring.
1533 (defmethod): Don't use the method's docstring for the generic
1534 function's docstring.
1536 * emacs-lisp/eieio-core.el (eieio--defalias): Move to eieio-generic.el.
1537 (eieio-defclass-autoload): Don't record the superclasses any more.
1538 (eieio-defclass-internal): Reuse the old class object if it was just an
1540 (eieio--class-precedence-list): Load the class if it's autoloaded.
1542 * emacs-lisp/cl-generic.el (cl-generic-ensure-function): It's OK to
1543 override an autoload.
1544 (cl-generic-current-method-specializers): Replace dyn-bind variable
1545 with a lexically-scoped macro.
1546 (cl--generic-lambda): Update accordingly.
1547 (cl-generic-define-method): Record manually in the load-history with
1548 type `cl-defmethod'.
1549 (cl--generic-get-dispatcher): Minor optimization.
1550 (cl--generic-search-method): New function.
1551 (find-function-regexp-alist): Add entry for `cl-defmethod' type.
1552 (cl--generic-search-method): Add hyperlinks for methods. Merge the
1553 specializers and the function's arguments.
1555 2015-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
1557 * emacs-lisp/package.el (package--read-pkg-desc):
1558 New function. Read a `define-package' form in current buffer.
1559 Return the pkg-desc, with desc-kind set to KIND.
1560 (package-dir-info): New function. Find package information for a
1561 directory. The return result is a `package-desc'.
1562 (package-install-from-buffer): Install packages from dired buffer.
1563 (package-install-file): Install packages from directory.
1564 (package-desc-suffix)
1565 (package-install-from-archive)
1566 * emacs-lisp/package-x.el (package-upload-buffer-internal):
1567 Ensure all remaining instances of `package-desc-kind' handle the 'dir
1570 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
1572 * emacs-lisp/package.el: Provide repository priorities.
1573 (package-archive-priorities): New variable.
1574 (package--add-to-alist): New function.
1575 (package--add-to-archive-contents): Use it.
1576 (package-menu--find-upgrades): Use it as well. Small clean up to
1577 make the use of the package name here explicit.
1578 (package-archive-priority): New function.
1579 (package-desc-priority-version): New function.
1581 2015-01-16 Daniel Colascione <dancol@dancol.org>
1583 * cus-start.el (all): Make `ring-bell-function' customizable.
1585 2015-01-16 Dmitry Gutov <dgutov@yandex.ru>
1587 * vc/vc-svn.el (vc-svn-dir-status-files): Pass t as
1588 vc-svn-after-dir-status's second argument. (Bug#19429)
1590 2015-01-16 Samer Masterson <samer@samertm.com> (tiny change)
1592 * pcomplete.el (pcomplete-parse-arguments): Parse arguments
1593 regardless of pcomplete-cycle-completions's value. (Bug#18950)
1595 2015-01-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1597 * dom.el (dom-strings): New function.
1599 * files.el (directory-files-recursively): Don't use the word
1600 "path" for a file name.
1602 2015-01-15 Wolfgang Jenkner <wjenkner@inode.at>
1604 * calc/calc-units.el (math-units-in-expr-p)
1605 (math-single-units-in-expr-p, math-find-compatible-unit-rec)
1606 (math-extract-units): Handle the `neg' operator. (Bug#19582)
1608 2015-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
1610 * emacs-lisp/cl-macs.el (cl--labels-magic): New constant.
1611 (cl--labels-convert): Use it to ask the macro what is its replacement
1614 * emacs-lisp/cl-generic.el (cl--generic-build-combined-method):
1615 Return the value of the primary rather than the after method.
1617 * emacs-lisp/eieio-core.el: Provide support for cl-generic.
1618 (eieio--generic-tagcode): New function.
1619 (cl-generic-tagcode-function): Use it.
1620 (eieio--generic-tag-types): New function.
1621 (cl-generic-tag-types-function): Use it.
1622 (eieio-object-p): Tighten up the test.
1624 * emacs-lisp/cl-generic.el (cl-generic-define-method): Fix paren typo.
1626 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
1628 * emacs-lisp/cl-generic.el: New file.
1630 * emacs-lisp/cl-macs.el (cl-flet): Allow (FUN EXP) forms.
1631 (cl-load-time-value, cl-labels): Use closures rather than
1633 (cl-macrolet): Use `eval' to create the function value, and support CL
1634 style arguments in for the defined macros.
1636 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
1638 * net/eww.el: Use lexical-binding.
1639 (eww-links-at-point): Remove unused arg.
1640 (eww-mode-map): Inherit from special-mode-map.
1641 (eww-mode): Derive from special-mode. Don't use `setq' on a hook.
1643 2015-01-13 Alan Mackenzie <acm@muc.de>
1645 Allow compilation during loading of CC Mode-derived modes (bug#19206).
1646 * progmodes/cc-bytecomp.el (cc-bytecomp-compiling-or-loading):
1647 New function which walks the stack to discover whether we're compiling
1649 (cc-bytecomp-is-compiling): Reformulate, and move towards beginning.
1650 (cc-bytecomp-is-loading): New defsubst.
1651 (cc-bytecomp-setup-environment, cc-bytecomp-restore-environment):
1652 Use the above defsubsts.
1653 (cc-require-when-compile, cc-bytecomp-defvar)
1654 (cc-bytecomp-defun): Simplify conditionals.
1655 * progmodes/cc-defs.el (cc-bytecomp-compiling-or-loading):
1656 "Borrow" this function from cc-bytecomp.el.
1657 (c-get-current-file): Reformulate using the above.
1658 (c-lang-defconst): Prevent duplicate entries of file names in a
1659 symbol's 'source property.
1660 (c-lang-const): Use cc-bytecomp-is-compiling.
1661 * progmodes/cc-langs.el (c-make-init-lang-vars-fun):
1662 Use cc-bytecomp-is-compiling.
1664 2015-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
1666 * emacs-lisp/eieio-core.el (eieio-defclass): Fix call to `defclass'
1669 2015-01-13 Dmitry Gutov <dgutov@yandex.ru>
1671 * menu-bar.el (menu-bar-goto-menu): Before calling
1672 `xref-marker-stack-empty-p', first check that `xref' is loaded.
1675 2015-01-12 Martin Rudalics <rudalics@gmx.at>
1677 * progmodes/xref.el (xref-marker-stack-empty-p): Add autoload
1680 * frame.el (frame-notice-user-settings): Remove code dealing with
1681 frame-initial-frame-tool-bar-height. Turn off `tool-bar-mode'
1682 only if `window-system-frame-alist' or `default-frame-alist' ask
1684 (make-frame): Update frame-adjust-size-history if needed.
1686 2015-01-12 Paul Eggert <eggert@cs.ucla.edu>
1688 Have 'make' output better GEN names
1689 * Makefile.in (PHONY_EXTRAS): New macro.
1690 (.PHONY): Depend on it, and on $(lisp)/loaddefs.el, so that the
1691 relevant files' time stamps are ignored.
1692 (custom-deps, $(lisp)/cus-load.el, finder-data)
1693 ($(lisp)/finder-inf.el): Use PHONY_EXTRAS.
1694 (custom-deps, $(lisp)/cus-load.el, finder-data)
1695 ($(lisp)/finder-inf.el, autoloads, $(lisp)/loaddefs.el)
1696 ($(lisp)/subdirs.el, update-subdirs):
1697 Output more-accurate destination names with GEN.
1699 Say "ELC foo.elc" instead of "GEN foo.elc"
1700 * Makefile.in (AM_V_ELC, am__v_ELC_, am__v_ELC_0, am__v_ELC_1):
1702 ($(THEFILE)c, .el.elc): Use them.
1704 2015-01-11 Michael Albinus <michael.albinus@gmx.de>
1706 * files.el (directory-files-recursively): Do not include
1707 superfluous remote file names.
1709 2015-01-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
1711 * net/eww.el (eww): Interpret anything that looks like a protocol
1712 designator as a full URL.
1714 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1716 * net/shr.el (shr-urlify): Don't bother the user about
1717 invalidly-encoded display strings.
1719 2015-01-10 Ivan Shmakov <ivan@siamics.net>
1721 * net/shr.el (shr-urlify): Decode URLs before using them as titles
1724 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1726 * net/eww.el (eww): Always interpret URLs that start with https?:
1727 as plain URLs, even if they have spaces in them (bug#19556).
1728 (eww): Also interpret things like "en.wikipedia.org/wiki/Free
1729 software" as an URL.
1730 (eww): Don't interpret "org/foo" as an URL.
1731 (eww): Clear the title when loading so that we don't display
1732 misleading information.
1734 2015-01-10 Daniel Colascione <dancol@dancol.org>
1736 * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
1737 by analogy with dired.
1739 2015-01-09 Daniel Colascione <dancol@dancol.org>
1741 * progmodes/js.el (js--function-heading-1-re)
1742 (js--function-prologue-beginning): Parse ES6 generator function
1743 declarations. (That is, "function* name()").
1745 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1747 * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
1748 that creates functions, and most of the sanity checks.
1749 Mark as obsolete the <class>-child-p function.
1750 * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
1751 (eieio--class, eieio--object): Use cl-defstruct.
1752 (eieio--object-num-slots): Define manually.
1753 (eieio-defclass-autoload): Use eieio--class-make.
1754 (eieio-defclass-internal): Rename from eieio-defclass. Move all the
1755 `(lambda...) definitions and most of the sanity checks to `defclass'.
1756 Mark as obsolete the <class>-list-p function, the <class> variable and
1757 the <initarg> variables. Use pcase-dolist.
1758 (eieio-defclass): New compatibility function.
1759 * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
1760 (eieio-class-speedbar): Don't use eieio-default-superclass var.
1762 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1764 * emacs-lisp/eieio-generic.el: New file.
1765 * emacs-lisp/eieio-core.el: Move all generic function code to
1767 (eieio--defmethod): Declare.
1769 * emacs-lisp/eieio.el: Require eieio-generic. Move all generic
1770 function code to eieio-generic.el.
1771 * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
1773 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
1774 to eieio--generic-call.
1775 * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
1778 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1780 * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
1781 Don't use <class> as a variable.
1783 * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
1784 (call-next-method): Simplify.
1785 (clone): Obey eieio-backward-compatibility.
1787 * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
1788 (eieio-read-generic): Use `generic-p' instead.
1790 * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
1791 (eieio-defclass-autoload): Obey it.
1792 (eieio--class-object): Improve error behavior.
1793 (eieio-class-children-fast, same-class-fast-p): Remove. Inline at
1795 (eieio--defgeneric-form-primary-only): Rename from
1796 eieio-defgeneric-form-primary-only; update all callers.
1797 (eieio--defgeneric-form-primary-only-one): Rename from
1798 eieio-defgeneric-form-primary-only-one; update all callers.
1799 (eieio-defgeneric-reset-generic-form)
1800 (eieio-defgeneric-reset-generic-form-primary-only)
1801 (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
1802 (eieio--method-optimize-primary): New function to replace them.
1803 (eieio--defmethod, eieio-defmethod): Use it.
1804 (eieio--perform-slot-validation): Rename from
1805 eieio-perform-slot-validation; update all callers.
1806 (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
1807 Change `class' to be a class object. Update all callers.
1808 (eieio--validate-class-slot-value): Rename from
1809 eieio-validate-class-slot-value. Change `class' to be a class object.
1811 (eieio-oset-default): Accept class object as well.
1812 (eieio--generic-call-primary-only): Rename from
1813 eieio-generic-call-primary-only. Update all callers.
1815 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
1816 Improve error messages.
1817 (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
1818 well as user-defined types. Emit errors for legacy types like
1819 <class>-child and <class>-list, if not eieio-backward-compatibility.
1821 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1823 * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
1824 (eieio--class-slot-initarg): Rename from class-slot-initarg.
1825 Change `class' arg to be a class object. Update all callers.
1826 (call-next-method): Adjust to new return value of `eieio-generic-form'.
1827 (eieio-default-superclass): Set var to the class object.
1828 (eieio-edebug-prin1-to-string): Fix recursive call for lists.
1829 Change print behavior to affect class objects rather than
1832 * emacs-lisp/eieio-core.el (eieio-class-object): New function.
1833 (eieio-class-parents-fast): Remove macro.
1834 (eieio--class-option-assoc): Rename from class-option-assoc.
1836 (eieio--class-option): Rename from class-option. Change `class' arg to
1837 be a class object. Update all callers.
1838 (eieio--class-method-invocation-order): Rename from
1839 class-method-invocation-order. Change `class' arg to be a class
1840 object. Update all callers.
1841 (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
1842 a list of class objects rather than names.
1843 (eieio-defclass): Remove redundant quotes. Use `eieio-oref-default'
1844 for accessors to class allocated slots.
1845 (eieio--perform-slot-validation-for-default): Rename from
1846 eieio-perform-slot-validation-for-default. Update all callers.
1847 (eieio--add-new-slot): Rename from eieio-add-new-slot.
1848 Update all callers. Use push.
1849 (eieio-copy-parents-into-subclass): Adjust to new content of
1850 `parent' field. Use dolist.
1851 (eieio-oref): Remove support for providing a class rather than
1853 (eieio-oref-default): Prefer class objects over class names.
1854 (eieio--slot-originating-class-p): Rename from
1855 eieio-slot-originating-class-p. Update all callers. Use `or'.
1856 (eieio--slot-name-index): Turn check into assertion.
1857 (eieio--class-slot-name-index): Rename from
1858 eieio-class-slot-name-index. Change `class' arg to be a class object.
1860 (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
1861 (eieio--c3-candidate): Rename from eieio-c3-candidate.
1863 (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
1865 (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
1867 (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
1869 (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
1870 Update all callers. Adjust to new `parent' content.
1871 (eieio--class-precedence-list): Rename from -class-precedence-list.
1873 (eieio-generic-call): Use autoloadp and autoload-do-load.
1874 Slight simplification.
1875 (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
1876 return value of `eieio-generic-form'.
1877 (eieiomt-add): Index the hashtable with class objects rather than
1879 (eieio-generic-form): Accept class objects as well.
1881 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
1882 Adjust to new convention for eieio-persistent-validate/fix-slot-value.
1883 (eieio-persistent-validate/fix-slot-value):
1884 Change `class' arg to be a class object. Update all callers.
1886 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1888 * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
1889 additionally to class names.
1891 * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
1892 (object): Remove first (constant) slot; rename second to `class-tag'.
1893 (eieio--object-class-object, eieio--object-class-name): New funs
1894 to replace eieio--object-class.
1895 (eieio--class-object, eieio--class-p): New functions.
1896 (same-class-fast-p): Make it a defsubst, change its implementation
1897 to check the class objects rather than their names.
1898 (eieio-object-p): Rewrite.
1899 (eieio-defclass): Adjust the object initialization according to the new
1901 (eieio--scoped-class): Declare it returns a class object (not a class
1902 name any more). Adjust calls accordingly (along with calls to
1903 eieio--with-scoped-class).
1904 (eieio--slot-name-index): Rename from eieio-slot-name-index and change
1905 its class arg to be a class object. Adjust callers accordingly.
1906 (eieio-slot-originating-class-p): Make its start-class arg a class
1907 object. Adjust all callers.
1908 (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
1909 Make its `class' arg a class object. Adjust all callers.
1911 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
1912 Use eieio--slot-name-index rather than eieio-slot-name-index.
1914 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1916 * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
1918 (eieio-object-name): Use eieio-object-name-string.
1919 (eieio--object-names): New const.
1920 (eieio-object-name-string, eieio-object-set-name-string): Re-implement
1921 using a hashtable rather than a built-in slot.
1922 (eieio-constructor): Rename from `constructor'. Remove `newname' arg.
1923 (clone): Don't mess with the object's "name".
1925 * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
1926 (eieio-object-value-get): Use eieio-object-set-name-string.
1928 * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
1929 (eieio--object): Remove `name' field.
1930 (eieio-defclass): Adjust to new convention where constructors don't
1931 take an "object name" any more.
1932 (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
1933 (eieio-validate-slot-value, eieio-oset-default)
1934 (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
1935 (eieio-generic-call-primary-only): Simplify.
1937 * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
1938 Use call-next-method.
1939 (eieio-constructor): Rename from `constructor'.
1940 (eieio-persistent-convert-list-to-object): Drop objname.
1941 (eieio-persistent-validate/fix-slot-value): Don't hardcode
1942 eieio--object-num-slots.
1943 (eieio-named): Use a normal slot.
1944 (slot-missing) <eieio-named>: Remove.
1945 (eieio-object-name-string, eieio-object-set-name-string, clone)
1946 <eieio-named>: New methods.
1948 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1950 * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
1951 (method-*): Add a "eieio--" prefix to those constants.
1953 * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
1955 * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
1957 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1959 * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
1960 `eieio-default-superclass'.
1962 * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
1964 * emacs-lisp/eieio-custom.el: Use lexical-binding.
1965 (eieio-object-value-to-abstract): Simplify.
1967 * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
1968 (eieio-build-class-alist): Use dolist.
1969 (eieio-all-generic-functions): Adjust to use of hashtables.
1971 * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
1972 symbol-hashtable. It contains a hashtable instead of an obarray.
1973 (generic-p): Use symbol property `eieio-method-hashtable' instead of
1974 `eieio-method-obarray'.
1975 (generic-primary-only-p, generic-primary-only-one-p):
1976 Slight optimization.
1977 (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
1978 (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
1979 (eieio-class-un-autoload): Use autoload-do-load.
1980 (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
1981 Use new cl-deftype-satisfies. Adjust to use of hashtables.
1982 Don't hardcode the value of eieio--object-num-slots.
1983 (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
1984 Use a closure rather than a backquoted lambda.
1985 (eieio--defmethod): Adjust call accordingly. Set doc-string via the
1986 function-documentation property.
1987 (eieio-slot-originating-class-p, eieio-slot-name-index)
1988 (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
1989 (eieio-generic-form): Adjust to use of hashtables.
1990 (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
1991 additional class argument.
1992 (eieio-generic-call-methodname): Remove, unused.
1994 * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
1997 2015-01-08 Eli Zaretskii <eliz@gnu.org>
1999 * simple.el (line-move-visual): When converting X pixel coordinate
2000 to temporary-goal-column, adjust the value for right-to-left
2001 screen lines. This fixes vertical-motion, next/prev-line, etc.
2003 2015-01-08 Glenn Morris <rgm@gnu.org>
2005 * files.el (file-tree-walk): Remove; of unknown authorship. (Bug#19325)
2007 2015-01-07 K. Handa <handa@gnu.org>
2009 * international/ccl.el (define-ccl-program): Improve the docstring.
2011 2015-01-06 Sam Steingold <sds@gnu.org>
2013 * shell.el (shell-display-buffer-actions): Remove,
2014 use `display-buffer-alist' instead.
2016 2015-01-05 Dmitry Gutov <dgutov@yandex.ru>
2018 * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
2021 2015-01-05 Stefan Monnier <monnier@iro.umontreal.ca>
2023 * minibuffer.el (completion-category-defaults): New var.
2024 Set unicode-name to use substring completion.
2025 (completion-category-defaults): Set it to nil.
2027 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
2029 Add mouse interaction to xref.
2030 * progmodes/xref.el (xref--button-map): New variable.
2031 (xref--mouse-2): New command.
2032 (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
2033 the inserted references.
2035 2015-01-04 Paul Eggert <eggert@cs.ucla.edu>
2037 Less 'make' chatter for lisp dir
2038 * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
2039 to make it clearer that the caller must specify it.
2040 (compile-onefile): Remove, replacing by ...
2041 ($(THEFILE)c): ... new rule. This lets us use AM_V_GEN here.
2042 ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
2043 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
2044 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
2045 Use AM_V_GEN to lessen 'make' chatter.
2046 (.el.elc): Omit duplicate comment.
2048 Less 'make' chatter in batch mode
2049 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
2050 * emacs-lisp/bytecomp.el (byte-compile-file):
2051 * files.el (save-buffer, basic-save-buffer):
2052 * international/quail.el (quail-update-leim-list-file):
2053 Don't output messages like "Generating ..." in batch mode.
2055 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
2057 Unbreak `mouse-action' property in text buttons.
2058 * button.el (push-button): Fix regression from 2012-12-06.
2060 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2062 * progmodes/xref.el (xref-marker-stack-empty-p): New function.
2064 * menu-bar.el (menu-bar-goto-menu): Use it.
2066 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2068 * progmodes/xref.el (xref--window-configuration): New variable.
2069 (xref-show-location-at-point): New command.
2070 (xref--restore-window-configuration): New function.
2071 (xref-next-line, xref-prev-line): Delegate to
2072 `xref-show-location-at-point'.
2073 (xref--location-at-point): Don't signal the error.
2074 (xref-goto-xref): Do that here instead.
2075 (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
2076 to `pre-command-hook'.
2077 (xref--xref-buffer-mode-map): Don't remap `next-line' and
2078 `previous-line'. Additionally bind `xref-next-line' and
2079 `xref-prev-line' to `n' and `p' respectively.
2080 Bind `xref-show-location-at-point' to `C-o'.
2082 2015-01-01 Eli Zaretskii <eliz@gnu.org>
2084 * tool-bar.el (tool-bar-local-item)
2085 (tool-bar-local-item-from-menu): Call force-mode-line-update to
2086 make sure the tool-bar changes show on display.
2088 2015-01-01 Michael Albinus <michael.albinus@gmx.de>
2090 Sync with Tramp 2.2.11.
2092 * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
2093 Make an alias for `default-toplevel-value' if it doesn't exist.
2095 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
2096 Use `tramp-compat-delete-directory'.
2098 * net/trampver.el: Update release number.
2100 2015-01-01 Filipp Gunbin <fgunbin@fastmail.fm>
2102 * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
2103 for remote files. (Bug#19449)
2105 2015-01-01 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
2107 * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
2109 2014-12-31 Paul Eggert <eggert@cs.ucla.edu>
2111 Less 'make' chatter in lisp directory
2112 * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
2113 (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
2114 (custom-deps, finder-data, autoloads, update-subdirs): Use them.
2116 2014-12-31 Filipp Gunbin <fgunbin@fastmail.fm>
2118 * info.el (info-display-manual): Limit the completion alternatives
2119 to currently visited manuals if prefix argument is non-nil.
2121 2014-12-30 Paul Eggert <eggert@cs.ucla.edu>
2123 * Makefile.in (semantic): Simplify.
2125 2014-12-30 Juri Linkov <juri@linkov.net>
2127 * net/eww.el (eww-isearch-next-buffer): New function.
2128 (eww-mode): Set multi-isearch-next-buffer-function to it.
2130 2014-12-30 Dmitry Gutov <dgutov@yandex.ru>
2132 * progmodes/xref.el (xref-find-definitions): Mention "no
2133 identifier at point" case in the docstring.
2135 * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
2136 (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
2137 and `separator-tag-file' items.
2139 2014-12-29 Paul Eggert <eggert@cs.ucla.edu>
2141 * obsolete/pc-select.el (pc-selection-mode): Use system-type.
2142 This is instead of system-name, which is both wrong here and obsolete.
2143 * desktop.el (desktop-save-frameset):
2144 * dnd.el (dnd-get-local-file-uri):
2145 * nxml/rng-uri.el (rng-uri-file-name-1):
2146 Prefer (system-name) to system-name, and avoid naming
2147 locals 'system-name'.
2148 * startup.el (system-name): Now an obsolete variable. (Bug#19438)
2150 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2152 * menu-bar.el (menu-bar-next-tag-other-window)
2153 (menu-bar-next-tag): Remove.
2155 2014-12-29 K. Handa <handa@gnu.org>
2157 * international/mule.el (make-translation-table-from-alist):
2158 Accept nil or zero-length vector for FROM and TO.
2160 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
2162 * net/eww.el (eww-mode): Truncate overlong lines for prettier
2163 display when resizing.
2165 * net/shr.el (shr-width): Default to using the window width when
2168 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2170 Unbreak jumping to an alias's definition.
2171 * emacs-lisp/find-func.el (find-function-library): Return a pair
2172 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
2173 (find-function-noselect): Use it.
2174 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
2175 `elisp--xref-identifier-location', incorporate logic from
2176 `elisp--xref-find-definitions', use the changed
2177 `find-function-library' return value.
2179 2014-12-29 Juri Linkov <juri@linkov.net>
2181 * comint.el (comint-history-isearch-message): Use field-beginning
2182 instead of comint-line-beginning-position - that's more fixes for
2183 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
2184 (comint-history-isearch-message): Fix args of isearch-message-prefix.
2186 2014-12-29 Juri Linkov <juri@linkov.net>
2188 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
2189 (vc-dir-mode-map): Bind it to "\C-o".
2190 (vc-dir-menu-map): Add it to menu.
2192 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2194 * progmodes/etags.el (find-tag-other-window)
2195 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
2196 (tags-apropos): Declare obsolete.
2198 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
2201 2014-12-28 Eli Zaretskii <eliz@gnu.org>
2203 * international/mule.el (define-coding-system): Fix typos in the
2206 2014-12-28 Kenichi Handa <handa@gnu.org>
2208 * international/mule.el (define-coding-system): Improve the doc
2211 2014-12-28 Ivan Shmakov <ivan@siamics.net>
2213 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
2214 elements in tables (bug#19444).
2216 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
2219 2014-12-28 Juri Linkov <juri@linkov.net>
2221 * vc/compare-w.el: Require diff-mode for diff faces.
2222 (compare-windows-removed, compare-windows-added): New faces
2223 inheriting from diff faces.
2224 (compare-windows): Define obsolete face alias.
2225 (compare-windows-highlight): Replace face `compare-windows' with
2226 new faces `compare-windows-added' and `compare-windows-removed'
2228 (compare-windows-get-recent-window): Signal an error when
2229 no other window is found (bug#19170).
2231 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2233 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
2234 Skip features that have no sources.
2236 * simple.el (execute-extended-command):
2237 When `suggest-key-bindings' is nil, don't.
2239 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2241 python.el: Native readline completion.
2242 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
2243 (python-shell-completion-native-enable)
2244 (python-shell-completion-native-output-timeout): New defcustoms.
2245 (python-shell-completion-native-interpreter-disabled-p)
2246 (python-shell-completion-native-try)
2247 (python-shell-completion-native-setup)
2248 (python-shell-completion-native-turn-off)
2249 (python-shell-completion-native-turn-on)
2250 (python-shell-completion-native-turn-on-maybe)
2251 (python-shell-completion-native-turn-on-maybe-with-msg)
2252 (python-shell-completion-native-toggle): New functions.
2253 (python-shell-completion-native-get-completions): New function.
2254 (python-shell-completion-at-point): Use it.
2256 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2258 python.el: Enhance shell user interaction and deprecate
2259 python-shell-get-or-create-process.
2260 * progmodes/python.el (python-shell-get-process-or-error):
2262 (python-shell-with-shell-buffer): Use it.
2263 (python-shell-send-string, python-shell-send-region)
2264 (python-shell-send-buffer, python-shell-send-defun)
2265 (python-shell-send-file, python-shell-switch-to-shell): Use it.
2266 Add argument MSG to display user-friendly message when no process
2268 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
2269 (python-shell-make-comint): Rename argument SHOW from POP.
2270 Use display-buffer instead of pop-to-buffer.
2271 (run-python): Doc fix. Return process.
2272 (python-shell-get-or-create-process): Make obsolete.
2274 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2276 * progmodes/python.el (python-shell-buffer-substring):
2277 Handle cornercase when region sent starts at point-min.
2279 2014-12-27 Eli Zaretskii <eliz@gnu.org>
2281 * language/misc-lang.el (composition-function-table): Add Syriac
2282 characters and also ZWJ/ZWNJ.
2283 See http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
2286 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2288 python.el: Fix message when sending region.
2289 * progmodes/python.el (python-shell-send-region): Rename argument
2290 send-main from nomain. Fix message.
2291 (python-shell-send-buffer): Rename argument send-main from arg.
2293 python.el: Cleanup temp files even with eval errors.
2294 * progmodes/python.el (python-shell-send-file): Make file-name
2295 mandatory. Fix temp file removal in the majority of cases.
2297 python.el: Handle file encoding for shell.
2298 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
2299 (python-shell--save-temp-file): Write file with proper encoding.
2300 (python-shell-buffer-substring): Add coding cookie for detected
2301 encoding to generated content. Fix blank lines when removing
2303 (python-shell-send-file): Handle file encoding.
2304 (python-info-encoding-from-cookie)
2305 (python-info-encoding): New functions.
2307 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2309 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
2310 Use `tramp-rsh-end-of-line', it ought to be more robust.
2312 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2314 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
2317 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2319 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
2321 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
2322 as end-of-line delimeter for passwords, when running on MS Windows.
2324 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2326 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
2327 of indent-line-function (bug#19433).
2329 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2331 Fix line numbers on Python shell.
2332 * progmodes/python.el (python-shell--save-temp-file): Do not
2333 append coding cookie.
2334 (python-shell-send-string): Generalize for
2335 python-shell-send-region.
2336 (python--use-fake-loc): Delete var.
2337 (python-shell-buffer-substring): Cleanup fake-loc logic.
2338 (python-shell-send-region): Remove fake-loc logic, simplify.
2340 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2342 * progmodes/python.el (python-indent-post-self-insert-function):
2343 Make colon to re-indent only for dedenters, handling
2344 multiline-statements gracefully.
2346 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2348 * net/tramp.el (tramp-handle-insert-file-contents):
2349 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
2351 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2353 * net/tramp-sh.el (tramp-send-command-and-read): New optional
2355 (tramp-get-remote-path): Use it.
2357 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2359 * subr.el (redisplay-dont-pause): Mark as obsolete.
2361 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2363 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
2364 (tramp-accept-process-output): Use nil as argument for
2365 `accept-process-output', when there is a gateway prepended.
2367 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
2369 (tramp-gw-open-connection): Set process coding system 'binary.
2370 (tramp-gw-open-network-stream): Handle HTTP error 403.
2372 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
2374 (tramp-maybe-open-connection): Set connection property "gateway".
2376 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2378 * subr.el (sit-for): Tweak docstring (bug#19381).
2380 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2382 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
2383 stage to after `diff-index' (bug#19386).
2385 2014-12-27 João Távora <joaotavora@gmail.com>
2387 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
2388 `electric-pair-mode' (bug#19356).
2390 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2392 elisp-xref-find: Don't create buffers eagerly.
2394 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
2395 into `elisp--company-location'.
2396 (elisp--identifier-completion-table): Rename to
2397 `elisp--identifier-completion-table', and do not include just any
2398 symbols with a property list.
2399 (elisp-completion-at-point): Revert the 2014-12-25 change.
2400 (elisp--xref-identifier-file): New function.
2401 (elisp--xref-find-definitions): Use it.
2403 * emacs-lisp/find-func.el (find-function-library): New function,
2404 extracted from `find-function-noselect'.
2406 * progmodes/xref.el (xref-elisp-location): New class.
2407 (xref-make-elisp-location): New function.
2408 (xref-location-marker): New implementation.
2410 2014-12-27 Juri Linkov <juri@linkov.net>
2412 * minibuffer.el (minibuffer-completion-help):
2413 Use shrink-window-if-larger-than-buffer in window-height
2414 when temp-buffer-resize-mode is nil.
2416 * window.el (with-displayed-buffer-window): Remove window-height
2417 from the action alist in the temp-buffer-window-show call
2418 when window-height is handled explicitly afterwards (bug#19355).
2420 2014-12-27 Juri Linkov <juri@linkov.net>
2422 Support subdirectories when saving places in dired.
2423 * saveplace.el (toggle-save-place, save-place-to-alist)
2424 (save-places-to-alist, save-place-dired-hook):
2425 Use dired-current-directory instead of dired-directory (bug#19436).
2426 (save-place-dired-hook): Add check for alist to make the new
2427 format future-proof to allow other possible formats.
2429 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
2431 python.el: Generate clearer shell buffer names.
2432 * progmodes/python.el (python-shell-get-process-name)
2433 (python-shell-internal-get-process-name): Use `buffer-name`.
2434 (python-shell-internal-get-or-create-process): Simplify.
2436 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
2438 Add basic xref apropos implementation to elisp-mode.
2440 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
2441 Filter out nil results.
2442 (elisp--xref-find-apropos): New function.
2443 (elisp-xref-find): Use it.
2445 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
2447 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
2449 * dired-aux.el (dired-maybe-insert-subdir):
2450 Make dired-maybe-insert-subdir always skip trivial files.
2452 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
2453 Dmitry Gutov <dgutov@yandex.ru>
2455 Consolidate cross-referencing commands.
2457 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
2458 `C-x 5 .' from etags.el to xref.el.
2460 * progmodes/xref.el: New file.
2462 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
2463 (elisp--identifier-location): New function, extracted from
2464 `elisp--company-location'.
2465 (elisp--company-location): Use it.
2466 (elisp--identifier-completion-table): New variable.
2467 (elisp-completion-at-point): Use it.
2468 (emacs-lisp-mode): Set the local values of `xref-find-function'
2469 and `xref-identifier-completion-table-function'.
2470 (elisp-xref-find, elisp--xref-find-definitions)
2471 (elisp--xref-identifier-completion-table): New functions.
2473 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
2474 favor of `xref--marker-ring'.
2475 (tags-lazy-completion-table): Autoload.
2476 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
2477 (find-tag-noselect): Use `xref-push-marker-stack'.
2478 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
2479 (etags--xref-limit): New constant.
2480 (etags-xref-find, etags--xref-find-definitions): New functions.
2482 2014-12-25 Martin Rudalics <rudalics@gmx.at>
2484 * cus-start.el (resize-mini-windows): Make it customizable.
2486 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
2488 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
2489 to (info "(emacs)Contributing"). (Bug#19299)
2491 2014-12-24 Martin Rudalics <rudalics@gmx.at>
2493 * window.el (mouse-autoselect-window-position-1): New variable.
2494 (mouse-autoselect-window-cancel)
2495 (mouse-autoselect-window-select, handle-select-window):
2496 With delayed autoselection select window only if mouse moves after
2497 selecting its frame.
2499 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
2501 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
2502 remote file names. (Bug#18782)
2504 2014-12-23 Sam Steingold <sds@gnu.org>
2506 * shell.el (shell-display-buffer-actions): New user option.
2507 (shell): Pass it to `pop-to-buffer' instead of hard-coding
2508 `pop-to-buffer-same-window'.
2510 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
2512 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
2513 (js-syntax-propertize-regexp): Use it to recognize "slash in
2514 a character class" (bug#19397).
2516 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
2518 * completion.el: Use post-self-insert-hook (bug#19400).
2519 (completion-separator-self-insert-command)
2520 (completion-separator-self-insert-autofilling): Remove.
2521 (completion-separator-chars): New var.
2522 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
2523 of changing the keymap.
2524 (completion--post-self-insert): New function.
2525 (dynamic-completion-mode): Use it instead of rebinding keys.
2526 (cmpl--completion-string): Rename from completion-string.
2527 (add-completion-to-head, delete-completion): Let-bind it explicitly.
2529 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
2531 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
2532 by leveraging `syntax-ppss'.
2534 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
2536 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
2538 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
2540 * net/tramp-sh.el (tramp-histfile-override): Add :version.
2542 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
2544 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
2546 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
2548 * let-alist.el (let-alist): Enable access to deeper alists by
2549 using dots inside the dotted symbols.
2551 2014-12-19 Alan Mackenzie <acm@muc.de>
2553 Make C++11 uniform init syntax work.
2554 New keywords "final" and "override".
2555 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
2557 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
2559 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
2560 carefully for "are we at a declarator?" using
2561 c-back-over-member-initializers.
2562 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
2563 and "override" in the C++ value.
2565 2014-12-19 Martin Rudalics <rudalics@gmx.at>
2567 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
2569 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
2571 * net/nsm.el (nsm-save-host): Don't save the host name twice
2574 2014-12-18 Sam Steingold <sds@gnu.org>
2576 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
2577 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
2578 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
2579 * menu-bar.el (menu-bar-buffer-vector): Extract from
2580 `menu-bar-update-buffers'.
2581 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
2582 (buffer-menu-open): New user command, bound globally to C-f10,
2583 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
2584 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
2585 convert the value returned by `mouse-buffer-menu-map' to a list
2586 acceptable to `popup-menu' for `buffer-menu-open'.
2588 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
2590 * let-alist.el (let-alist): Evaluate the `alist' argument only once.
2592 2014-12-18 Sam Steingold <sds@gnu.org>
2594 * emacs-lisp/package.el: Avoid compilation warning by declaring
2595 the `find-library-name' function.
2596 (package-activate-1): Fix the `with-demoted-errors' calls:
2597 the first argument must be a string literal.
2599 2014-12-18 Martin Rudalics <rudalics@gmx.at>
2601 Add code for "preserving" window sizes.
2602 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
2604 (dired-mark-pop-up): Preserve size of window showing marked files.
2605 * electric.el (Electric-pop-up-window):
2606 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
2607 with `preserve-size' t.
2608 * minibuffer.el (minibuffer-completion-help):
2609 Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
2610 (Bug#19355). Preserve size of completions window.
2611 * register.el (register-preview): Preserve size of register
2613 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
2614 with `preserve-size' t (Bug#1291).
2615 * window.el (with-displayed-buffer-window): Add calls to
2616 `window-preserve-size'.
2617 (window-min-pixel-size, window--preservable-size)
2618 (window-preserve-size, window-preserved-size)
2619 (window--preserve-size, window--min-size-ignore-p): New functions.
2620 (window-min-size, window-min-delta, window--resizable)
2621 (window--resize-this-window, split-window-below)
2622 (split-window-right): Amend doc-string.
2623 (window--min-size-1, window-sizable, window--size-fixed-1)
2624 (window-size-fixed-p, window--min-delta-1)
2625 (frame-windows-min-size, window--max-delta-1, window-resize)
2626 (window--resize-child-windows, window--resize-siblings)
2627 (enlarge-window, shrink-window, split-window): Handle preserving
2629 (adjust-window-trailing-edge): Handle preserving window
2630 sizes. Signal user-error instead of an error when there's no
2631 window above or below.
2632 (window--state-put-2): Handle horizontal scroll bars.
2633 (window--display-buffer): Call `preserve-size' if asked for.
2634 (display-buffer): Mention `preserve-size' alist member in doc-string.
2635 (fit-window-to-buffer): New argument PRESERVE-SIZE.
2636 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
2637 scroll bar on ispell's windows. Don't count window lines and
2638 don't deal with dedicated windows.
2639 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
2640 do the window handling.
2641 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
2642 (ispell-display-buffer): New function to reuse, create and fit
2643 window to ispell's buffers. (Bug#3413)
2645 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
2647 * emacs-lisp/package.el (package-activate): Do not re-activate or
2648 reload the dependencies (bug#19390).
2650 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
2652 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
2653 (c-update-modeline):
2654 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
2655 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
2656 (c-mode-base-map): Use c-subword-mode.
2658 2014-12-18 Eli Zaretskii <eliz@gnu.org>
2660 * international/mule-diag.el (describe-font-internal):
2661 Display additional info returned by font-info.
2663 * linum.el (linum--face-width): Rename from linum--face-height,
2664 and use the new functionality of font-info.
2665 (linum-update-window): Use linum--face-width and frame-char-width,
2666 instead of approximating with height.
2668 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
2670 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
2671 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
2673 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
2675 (package-activate): Call itself on dependencies on PACKAGE with
2676 the same FORCE argument. Pass FORCE as RELOAD into
2677 `package-activate-1' (bug#19390).
2679 2014-12-17 Sam Steingold <sds@gnu.org>
2681 * emacs-lisp/package.el (package--list-loaded-files):
2682 Handle `(nil ...)' elements in `load-history'.
2684 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
2686 * net/tramp-sh.el (tramp-histfile-override): New variable.
2687 (tramp-open-shell, tramp-maybe-open-connection): Use it.
2689 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
2691 * vc/vc.el: Improve `dir-status-files' description.
2693 * emacs-lisp/package.el (package--list-loaded-files): Don't call
2694 file-truename on load-history elements (bug#19390).
2696 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
2698 * emacs-lisp/seq.el: New file.
2700 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
2702 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
2703 is 0 and there is no input pending.
2705 2014-12-15 Juri Linkov <juri@linkov.net>
2707 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
2708 only on the return value (bug#19383).
2710 2014-12-15 Juri Linkov <juri@linkov.net>
2712 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
2713 the wrapped search by the length of the search string to be able
2714 to lazy-highlight the whole search string at point (bug#19353).
2716 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
2718 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
2720 2014-12-14 Alan Mackenzie <acm@muc.de>
2722 * cus-start.el (all): Add fast-but-imprecise-scrolling.
2724 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
2726 * let-alist.el: Add lexical binding.
2728 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
2730 * emacs-lisp/package.el (package-menu-mode): Use an extra column
2731 for the "Version" column, to accomodate date-and-time-based versions.
2733 2014-12-14 Cameron Desautels <camdez@gmail.com>
2735 * cus-edit.el (custom-unsaved-options): New function, extracted
2736 from `customize-unsaved'.
2737 (custom-unsaved): Use it.
2738 (custom-prompt-customize-unsaved-options): New function.
2741 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
2743 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
2745 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
2747 Move ASYNC argument to the `diff' VC command to the fifth
2748 position, for better compatibility with existing third-party code,
2751 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
2752 backend `diff' command in the last position.
2754 * vc/vc-svn.el (vc-svn-diff):
2755 * vc/vc-src.el (vc-src-diff):
2756 * vc/vc-sccs.el (vc-sccs-diff):
2757 * vc/vc-rcs.el (vc-rcs-diff):
2758 * vc/vc-mtn.el (vc-mtn-diff):
2759 * vc/vc-hg.el (vc-hg-diff):
2760 * vc/vc-git.el (vc-git-diff):
2761 * vc/vc-dav.el (vc-dav-diff):
2762 * vc/vc-cvs.el (vc-cvs-diff):
2763 * vc/vc-bzr.el (vc-bzr-diff):
2764 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
2766 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
2768 * emacs-lisp/cconv.el (cconv--analyze-use):
2769 Rename from cconv--analyse-use.
2770 (cconv--analyze-function): Rename from cconv--analyse-function.
2771 (cconv-analyze-form): Rename from cconv-analyse-form.
2773 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
2775 * net/shr.el (shr-next-link): Don't error out at eob.
2777 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
2779 * isearch.el (isearch-open-necessary-overlays): Open overlay
2780 ending at point (bug#19333).
2782 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2784 * net/shr.el (shr-fold-text): New function.
2785 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
2787 (shr-fold-text): Inhibit state from being altered.
2789 * files.el (directory-files-recursively): Really check whether
2791 (directory-name-p): New function.
2792 (directory-files-recursively): Use it.
2794 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
2796 * emacs-lisp/package.el (package--list-loaded-files): New function
2797 to list files in a given directory which correspond to already
2799 (package-activate-1): Reload files given by `package--list-loaded-files'.
2800 Fix bug#10125, bug#18443, and bug#18448.
2802 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
2804 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
2806 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
2808 * simple.el (password-word-equivalents): Add "passcode", used for
2809 numeric secrets like PINs or RSA tokens.
2811 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
2813 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
2814 order to determine `tramp-own-remote-path'.
2816 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
2818 * progmodes/python.el (python-shell-parse-command):
2819 Quote `python-shell-interpreter`. (Bug#19289)
2821 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
2823 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
2824 (python-indent-levels): Document extra value.
2825 (python-indent-calculate-indentation): Return `noindent' in strings.
2826 (python-indent-post-self-insert-function)
2827 (python-indent-calculate-levels): Handle new value.
2829 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
2831 * net/network-stream.el (network-stream-open-starttls): No need to
2832 check for the availability of `gnutls-available-p'.
2834 * files.el (directory-files-recursively): Don't follow symlinks to
2837 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
2839 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
2840 * vc/vc.el: latest-on-branch-p is no longer a public method.
2842 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
2843 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
2844 Remove `rollback' method, to be replaced in the future by uncommit.
2846 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
2848 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
2849 that there is empty output.
2851 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
2853 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
2854 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
2856 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
2858 * let-alist.el: Add new package and macro.
2860 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
2862 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
2863 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
2864 it's a shoot-self-in-foot archaism. Workfiles are always kept.
2866 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
2868 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
2869 trouble with ls over ftp. These flags result in ls returning no
2870 output, causing Tramp-breakage. (bug#19192)
2872 2014-12-10 Andreas Schwab <schwab@suse.de>
2874 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
2876 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2878 * files.el (directory-files-recursively):
2879 Use `file-name-all-completions' instead of `directory-files' for
2882 * net/shr.el (shr-tag-object): Don't bug out on text elements in
2885 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
2887 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
2889 (ruby-toggle-string-quotes): New command that allows you to quickly
2890 toggle between single-quoted and double-quoted string literals.
2892 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
2894 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
2895 list, avoids problems witt names containing hyphens.
2897 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
2899 Sync with upstream verilog-mode revision aa4b777.
2900 * progmodes/verilog-mode.el (verilog-mode-version): Update.
2901 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
2902 (verilog-set-auto-endcomments): Automatically comment property/
2903 endproperty blocks to match other similar blocks like sequence/
2904 endsequence, function/endfunction, etc. Reported by Alex Reed.
2905 (verilog-set-auto-endcomments): Fix end comments for functions of
2906 type void, etc. Detect the function- or task-name when
2907 auto-commenting blocks that lack an explicit portlist.
2908 Reported by Alex Reed.
2909 (verilog-nameable-item-re): Fix nameable items that can have an
2910 end-identifier to include endchecker, endgroup, endprogram,
2911 endproperty, and endsequence. Reported by Alex Reed.
2912 (verilog-preprocessor-re, verilog-beg-of-statement):
2913 Fix indentation of property/endproperty around pre-processor
2914 directives. Reported by Alex Reed.
2915 (verilog-label-be): When auto-commenting a buffer, consider
2916 auto-comments on all known keywords (not just a subset thereof).
2917 Reported by Alex Reed.
2918 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
2919 Reported by Alex Reed.
2920 (verilog-beg-of-statement-1, verilog-at-constraint-p):
2921 Fix hanging with many curly-bracket pairs, bug663.
2922 (verilog-do-indent): Fix electric tab deleting form-feeds.
2923 Note caused by indent-line-to deleting tabls pre 24.5.
2924 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
2925 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
2926 (verilog-read-always-signals, verilog-auto-sense-sigs)
2927 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
2928 bug844. Reported by Greg Hilton.
2930 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
2932 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
2933 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
2934 'foreach', and 'do' keywords.
2935 (verilog-endcomment-reason-re, verilog-beg-of-statement):
2936 Fix labeling do-while blocks, bug842.
2937 (verilog-backward-token): Fix indenting sensitivity lists with
2938 named events, bug840.
2940 2014-12-09 Reto Zimmermann <reto@gnu.org>
2942 Sync with upstream vhdl mode v3.36.1.
2943 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
2944 (vhdl-compiler-alist): Anchor all error regexps.
2945 (vhdl-compile-use-local-error-regexp): Change default to nil.
2946 (vhdl-asort, vhdl-anot-head-p): Remove.
2947 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
2948 Remove optional argument of vhdl-aget and update all callers.
2949 (vhdl-import-project): Also set `vhdl-compiler'.
2951 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
2953 * files.el (find-files): New function.
2955 * net/shr.el (shr-dom-print): Don't print comments.
2956 (shr-tag-svg): Give inline SVG images the right type.
2958 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
2959 certificates in the header line.
2960 (eww-invalid-certificate, eww-valid-certificate): New faces.
2962 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
2964 * progmodes/python.el (inferior-python-mode):
2965 Set `comint-prompt-read-only` to `t` only locally.
2967 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2969 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
2970 (nsm-format-certificate): Include more data about the connection.
2971 (nsm-query): Fill the text to that it looks nicer.
2972 (nsm-check-protocol): Also warn if using SSL3 or older.
2974 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
2976 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
2978 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
2980 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
2982 * info.el (Info-mode-map): Remove left-over binding.
2984 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
2985 (avl-tree--root): Remove redundant defsetf.
2987 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2989 * net/nsm.el (network-security-level): Remove the detailed
2990 description, which was already outdated, and refer the users to
2992 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
2995 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
2997 * net/eww.el (eww-buffers-mode): New major mode.
2998 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
2999 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
3000 New commands/functions (bug#19131).
3002 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
3004 * net/gnutls.el (gnutls-negotiate): Ignore files found via
3005 'file-name-handler-alist' since the gnutls library can't use those
3008 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
3010 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
3011 when FILES is non-nil (bug#19304).
3013 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
3015 * vc/vc-arch.el: Move to obsolete directory so a test framework
3016 won't trip over bit-rot in it. There has been no Arch snapshot
3019 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3021 * net/eww.el (eww-follow-link): Revert prefix behaviour to
3023 (eww-copy-page-url): Add doc string.
3025 2014-12-07 Ivan Shmakov <ivan@siamics.net>
3027 * net/eww.el (eww): Move history recording here...
3028 (eww-browse-url): ... from here (bug#19253).
3030 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
3031 iterating over possible buffer names.)
3033 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3035 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
3036 (eww-current-buffer): Compilation fix for bug#18550 patch.
3038 2014-12-07 Ivan Shmakov <ivan@siamics.net>
3040 * net/eww.el (eww-list-histories): Restore the history in the
3041 correct buffer (bug#18550).
3043 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
3045 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
3046 displaying shortened titles first (bug#16398).
3048 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
3050 * progmodes/python.el: Recognize docstrings.
3051 (python-docstring-at-p, python-font-lock-syntactic-face-function):
3053 (python-mode): Use them.
3055 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
3057 * net/newst-treeview.el (newsticker--treeview-list-add-item)
3058 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
3059 (newsticker--treeview-create-groups-menu)
3060 (newsticker--treeview-create-tree-menu): Remove.
3061 (newsticker--treeview-tree-open-menu): New.
3062 (newsticker-treeview-tree-click): Pass event to
3063 `newsticker-treeview-tree-do-click'.
3064 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
3066 2014-12-05 Juri Linkov <juri@linkov.net>
3068 * comint.el (comint-history-isearch-search)
3069 (comint-history-isearch-wrap): Use field-beginning instead of
3070 comint-line-beginning-position.
3071 (comint-send-input): Go to the end of the field instead of the end
3072 of the line to accept whole multi-line input.
3073 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
3075 2014-12-05 Juri Linkov <juri@linkov.net>
3077 * minibuffer.el (minibuffer-completion-help):
3078 Compare selected-window with minibuffer-window to check whether
3079 completions should be displayed near the minibuffer. (Bug#17809)
3080 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
3082 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
3084 * vc/vc-mtn.el (vc-mtn-root):
3085 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
3087 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3089 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
3090 of the whole pipe when indenting an opening keyword after a |.
3091 Generalize this treatment to opening keywords like "while" (bug#18031).
3093 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3095 * simple.el (newline): Place the hook buffer-locally,
3096 to make sure it's first.
3098 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
3099 Fix handling of symbols with different syntax at beginning/end or with
3100 symbol rather than word syntax.
3102 2014-12-05 Eli Zaretskii <eliz@gnu.org>
3104 * simple.el (line-move): If noninteractive, call line-move-1, not
3105 forward-line, since the former is compatible with line-move-visual
3106 both in terms of the column to which it moves and the return
3109 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3111 * vc/ediff-init.el (ediff-odd-p): Remove.
3112 (ediff-background-face): Use cl-oddp instead.
3113 (ediff-buffer-live-p): Make it a defsubst.
3115 * tooltip.el (tooltip-region-active-p): Remove.
3117 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
3118 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
3120 * fringe.el (fringe-bitmap-p): Make it a plain function.
3122 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
3123 (class-p, generic-p, eieio-object-p, class-abstract-p):
3124 Make them defsubst, so as to avoid corner case problems where
3125 the arg might be evaluated in the condition-case, or it can't be passed
3126 to higher-order functions like `cl-some'.
3128 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3130 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
3131 and remove old menu-related code.
3133 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
3135 * net/eww.el (eww-display-pdf): Let mailcap determine how to
3136 display PDF files (bug#19270).
3138 2014-12-05 Juri Linkov <juri@linkov.net>
3140 Compare with the most recent window by default.
3141 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
3142 (compare-windows-get-recent-window)
3143 (compare-windows-get-next-window): New functions.
3144 (compare-windows, compare-windows-sync-default-function):
3145 Use `compare-windows-get-window-function' instead of `next-window'.
3146 (compare-windows): Add diff/match messages with region boundaries.
3149 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
3151 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
3153 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
3155 * net/shr.el (shr--extract-best-source): Ignore non-text children.
3157 2014-12-04 Eli Zaretskii <eliz@gnu.org>
3159 Implement copying of a buffer portion while preserving visual order.
3160 * simple.el (bidi-directional-controls-chars)
3161 (bidi-directional-non-controls-chars): New variables.
3162 (squeeze-bidi-context-1, squeeze-bidi-context)
3163 (line-substring-with-bidi-context)
3164 (buffer-substring-with-bidi-context): New functions.
3166 * files.el (file-tree-walk): Doc fix.
3168 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
3169 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3171 * autoinsert.el (auto-insert-alist): Update C/C++ header and
3172 program support to match more extensions. Replace non-alnum
3173 characters when generating include guards (headers) and check for
3174 more extensions when generating includes (programs)
3177 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
3179 * files.el (file-tree-walk): Fix docstring.
3181 2014-12-03 Karl Fogel <kfogel@red-bean.com>
3183 Fix bug whereby saving files hung in VC hook.
3185 Saving a buffer visiting a file under SVN control would hang if
3186 the remote repository were unreachable, because the VC hooks tried
3187 to run "svn status -u" on the file, where the "-u" tells svn to
3188 get update information from the remote repository.
3189 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
3191 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
3192 argument and always pass "-v" to "svn status", never "-u".
3194 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
3196 * emacs-lisp/inline.el: Fix up copyright header.
3197 (inline-quote, inline-const-p, inline-const-val, inline-error):
3198 Silence compiler warnings.
3199 (inline-letevals): Fix edebug spec.
3200 (inline--testconst-p): Consider lambda expressions as const-p.
3201 (inline--getconst-val): Use inline--testconst-p.
3203 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
3204 and change default to stay in the minibuffer when called from
3205 the minibuffer (bug#19250).
3206 (lazy-completion-table): Use this new argument to preserve the
3209 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
3210 incorrect lexical elements (bug#19250).
3212 2014-12-03 A. N. Other <none@example.com>
3214 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
3216 2014-12-02 Glenn Morris <rgm@gnu.org>
3218 * whitespace.el (whitespace-big-indent-regexp): Add :version.
3220 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
3222 * subr.el (filter): New macro. Because it's just silly for a Lisp
3223 not to have this in 2014. And VC needs it.
3225 * vc.el: All backends: API simplification: Abolish dir-status.
3226 It's replaced by dir-status-files.
3228 * vc.el: All backends: API simplification: Remove 4th
3229 'default-state' argument from vc-dir-status files and its backend
3230 methods - no backend method ever set it. It was used only in the
3231 fallback method to to set a default of 'up-to-date, though a
3232 convoluted call chain obscured this.
3234 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
3236 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
3237 improving behavior on directories using multiple file-oriented VCSes.
3239 * vc/vc.el: All backends: API simplification; clear-headers
3240 is no longer a public method. It is now local to the one place
3241 it's used, in the RCS steal-lock method.
3243 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3245 * vc/vc.el: In all backends: API simplification; could-register
3246 is no longer a public method. (vc-cvs.el still has a private
3249 * vc/vc.el: In all backends: API cleanup; the backend diff method
3250 takes an explicit async flag. This eliminates a particularly ugly
3253 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
3254 VC randomly/unpredictably fails without it; cause not yet established.
3256 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3258 Merge some of the differences from the standalone CC-mode.
3259 The main change is to only use the `category' text-property only when
3260 available. For that many calls are changed to use c-get-char-property,
3261 c-next-single-property-change, c-sc-scan-lists,
3262 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
3264 * progmodes/cc-mode.el (c-just-done-before-change): New var.
3265 (c-basic-common-init): Initialize it.
3266 (c-common-init): Only use mode-require-final-newline when available.
3267 (c-before-change): Check and set c-just-done-before-change.
3268 (c-after-change): Re-set c-just-done-before-change.
3269 (c-advise-fl-for-region): New macro.
3270 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
3271 (font-lock-after-change-function, jit-lock-after-change):
3274 * progmodes/cc-langs.el (c-modified-constant): New lang var.
3275 (c-known-type-key): Don't make a list just to throw it away.
3277 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
3278 Handle the case where categories are not available.
3279 (c-record-parse-state-state, c-replay-parse-state-state):
3280 Handle marker values.
3281 (c-before-change-check-<>-operators): Look for the `syntax-table'
3282 property rather than for the corresponding `category'.
3283 (c-looking-at-decl-block): Remove unused var
3284 `c-disallow-comma-in-<>-arglists'.
3285 (c-forward-<>-arglist-recur): Remove unused var
3286 `orig-record-found-types'.
3288 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
3289 (c-use-category): New const.
3290 (c-next-single-property-change): New macro.
3291 (c-region-is-active-p): Prefer region-active-p when available.
3292 (c-search-backward-char-property): Fix old min/max typo; probably
3294 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
3295 Turn them into macros that obey c-use-category.
3296 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
3297 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
3298 (c-sc-scan-lists, c-sc-parse-partial-sexp)
3299 (c-looking-at-non-alphnumspace): New macros.
3300 (c-sc-parse-partial-sexp-no-category): New function.
3301 (c-emacs-features): Add `category-properties' element.
3303 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
3304 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
3306 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
3307 (c-indent-line-or-region): Use c-region-is-active-p.
3309 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
3310 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
3311 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
3312 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
3313 (cc-bytecomp-obsolete-fun): Delete unused functions.
3315 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
3317 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
3319 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
3322 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3324 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
3325 current "project" rather than just the current directory.
3326 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
3327 the file names make sense.
3329 * vc/smerge-mode.el (smerge-swap): New command.
3331 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
3333 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
3335 * net/newst-treeview.el (newsticker--treeview-item-show):
3336 Check window liveliness before measuring its width.
3338 * net/newst-backend.el (newsticker--get-news-by-url-callback):
3339 Pass correct status to `newsticker--sentinel-work'.
3340 (newsticker--sentinel-work): Use "newsticker--download-error" as
3341 guid in order to prevent multiple "Could not download..."
3342 messages. Fixes bug#19166.
3344 2014-12-01 Ivan Shmakov <ivan@siamics.net>
3346 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
3347 correct buffer (bug#19225).
3349 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
3351 * net/nsm.el (network-security-level): Change the default to `medium'.
3353 * net/eww.el (eww): Leave point in a place that doesn't cause
3354 scrolling when displaying "Loading...".
3356 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3358 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
3359 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
3360 'merge-branch'. Prompting for merge revisions is pushed down to
3361 the back ends; this fixes a layering violation that caused bad
3364 * vc/vc.el, vc-hooks.el: All backends: API simplification;
3365 vc-stay-local-p and repository-hostname are no longer public
3366 methods. Only the CVS and SVN backends used these, and the SVN
3367 support was conditioned out because svn status -v is too slow.
3368 The CVS back end retains this machinery and the vc-stay-local
3369 configuration variable now only affects it.
3371 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3373 * emacs-lisp/inline.el: New file.
3375 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3377 * vc/vc.el, vc-hooks.el: All backends: API simplification;
3378 vc-state-heuristic is no longer a public method, having been
3379 removed where it is redundant, unnecessary, or known buggy.
3380 This eliminated all backends except CVS. Eliminates bug#7850.
3382 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
3383 Eliminate vc-mistrust-permissions. It was only relevant to the
3384 RCS and SCCS back ends and defaulted to t. Code now always
3385 mistrusts permissions - by actual measurement the effect on
3386 performance is negligible. As a side effect bug#11490 is now
3389 * vc/vc.el, vc-hooks.el: All backends: API simplification;
3390 vc-workfile-unchanged-p is no longer a public method (but the RCS
3391 and SCCS back ends retain it as a private method used in state
3392 computation). This method was redundant with vc-state and usually
3393 implemented as a trivial call to same. Fixes the failure mode
3394 described in bug#694.
3396 * vc/vc.el: All backends: API simplification; init-revision is
3397 gone, and vc-registered functions no longer take an
3398 initial-revision argument.
3400 2014-11-29 Glenn Morris <rgm@gnu.org>
3402 * vc/vc-src.el (vc-src, vc-src-diff-switches)
3403 (vc-src-master-templates): Fix :version tags.
3405 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
3407 * outline.el (outline-move-subtree-down): Refactor and improve code.
3409 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
3410 Stefan Monnier <monnier@iro.umontreal.ca>
3412 * outline.el (outline-move-subtree-down): Make sure we can move
3413 forward to find the end of the subtree and the insertion point
3416 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
3418 * progmodes/python.el (python-shell-completion-setup-code):
3419 Use __builtin__ module (or builtins in Python 3) and catch all errors
3420 when importing readline and rlcompleter.
3422 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
3424 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
3425 (todo-revert-buffer): New function.
3426 (todo-modes-set-1): Use it as the buffer-local value of
3427 revert-buffer-function.
3429 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
3431 * calendar/todo-mode.el (todo-mode): If called interactively, just
3432 display a message saying to call todo-show to enter Todo mode
3435 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
3437 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
3440 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
3443 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
3445 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
3446 remote `buffer-file-name'.
3448 2014-11-29 Leo Liu <sdl.web@gmail.com>
3450 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
3452 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
3454 Set PYTHONUNBUFFERED on shell startup.
3456 * progmodes/python.el (python-shell-unbuffered): New var.
3457 (python-shell-calculate-process-environment): Use it.
3459 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
3461 * net/tramp.el (tramp-action-password): Clean password on subsequent
3462 attempts even if there was no wrong password indication. (Bug#19047)
3464 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
3466 (tramp-open-connection-setup-interactive-shell): No need to check
3467 for nil as `tramp-get-remote-locale' return value.
3469 2014-11-29 Eli Zaretskii <eliz@gnu.org>
3471 * vc/vc-git.el (vc-git-command, vc-git--call):
3472 Bind coding-system-for-read and coding-system-for-write to
3473 vc-git-commits-coding-system.
3474 (vc-git-previous-revision): Use "~1" instead of "^", since the
3475 latter is a special character for MS-Windows system shells.
3477 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
3479 Improve XEmacs compatibility.
3481 * net/tramp.el (tramp-autoload-file-name-handler):
3482 Wrap `temporary-file-directory' by `symbol-value', it doesn't
3484 (tramp-read-passwd): Don't use `with-timeout-suspend' and
3485 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
3486 (tramp-time-less-p, tramp-time-subtract): Remove functions.
3487 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
3488 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
3489 * net/tramp-cache.el (tramp-get-file-property):
3490 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
3491 Use `time-less-p' and `time-subtract, respectively.
3493 * net/tramp-adb.el (top): Do not require time-date.el.
3495 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
3497 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
3498 Check, whether `utf-8' is a valid coding system.
3500 2014-11-29 Eli Zaretskii <eliz@gnu.org>
3502 * vc/vc.el (vc-retrieve-tag): Doc fix.
3504 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
3506 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
3509 2014-11-28 Martin Rudalics <rudalics@gmx.at>
3511 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
3512 * help.el (help-window-old-frame): New variable.
3513 (help-window-select): Default to nil (Bug#11039).
3515 (help-window-setup): When the help window appears on another
3516 frame and `help-window-select' is non-nil, give that frame input
3517 focus too (Bug#19012).
3518 (with-help-window): Store selected frame in
3519 help-window-old-frame.
3521 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
3523 * net/newst-treeview.el (newsticker--treeview-load): Take care of
3524 nil value for `newsticker-groups-filename'.
3526 2014-11-28 Daiki Ueno <ueno@gnu.org>
3528 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
3529 (epa-sign-region, epa-encrypt-region):
3530 Use `epg-context-set-{passphrase,progress}-callback', instead of
3531 `setf'. This partially reverts commit 9e48a95c (bug#19150).
3532 Reported by José A. Romero L.
3534 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
3536 * net/eww.el (eww-restore-history):
3537 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
3539 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
3541 * net/newst-backend.el (newsticker--parse-atom-1.0):
3542 Handle embedded (x)html in summary node.
3544 2014-11-27 Sam Steingold <sds@gnu.org>
3546 * menu-bar.el (menu-bar-open): When everything else fails,
3547 use (mouse-menu-bar-map).
3549 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
3551 * net/newst-treeview.el (newsticker-groups-filename):
3552 Change default value to nil. Point out that variable is obsolete in doc
3554 (newsticker--treeview-load): Change wording of the questions the
3555 user is asked when `newsticker-groups-filename' is found to be
3556 used and we offer to read and remove the groups file. (Bug#19165)
3558 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
3560 * net/eww.el (eww): Record the new URL immediately, so that if the
3561 HTTP fetch fails, we have the right URL in the buffer.
3562 (eww-process-text-input): Don't shorten the input field if
3563 deleting at the last character (bug#19085).
3564 (eww-restore-history): Inhibit change functions while restoring
3566 (eww-process-text-input): Fix deletion at the start of the field, too.
3567 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
3568 (eww-process-text-input): Try to keep track of the size more reliably.
3570 * dom.el (dom-pp): New function.
3572 2014-11-27 Eli Zaretskii <eliz@gnu.org>
3574 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
3575 Don't assume --long is the default for "bzr log", always specify
3576 it explicitly, in case the user defined an alias for 'log' that
3577 uses some other format.
3579 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3581 * progmodes/python.el (python-eldoc--get-doc-at-point):
3582 Strip shell output before returning. (bug#18794)
3584 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
3586 Fix indentation before `!=' and after `+='. Originally reported
3587 in https://github.com/mooz/js2-mode/issues/174.
3588 * progmodes/js.el (js--indent-operator-re): Make assignments and
3589 (in)equality operator a separate case.
3590 (js--continued-expression-p): Escape the second `+' in the regexp.
3592 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
3594 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
3596 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3598 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
3599 when querying about new certificates.
3601 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
3603 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
3605 (dom-elements): Protect against non-text nodes.
3606 (dom-non-text-children): New function.
3608 * net/eww.el (eww-tag-title): Use `dom-text'.
3610 2014-11-26 Sam Steingold <sds@gnu.org>
3612 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
3614 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3616 * net/eww.el (eww-highest-readability): More dom.el fixes.
3618 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
3620 * net/newst-backend.el (newsticker--parse-generic-items):
3621 Take care of UIDs when adding elements to cache.
3623 2014-11-26 Alan Mackenzie <acm@muc.de>
3625 Remove spurious reference to symbol category_properties.
3626 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
3628 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3630 * net/eww.el: Use the new dom.el accessors throughout.
3632 * net/shr.el: Ditto.
3636 2014-11-26 Glenn Morris <rgm@gnu.org>
3638 * arc-mode.el (archive-visit-single-files): Add :version.
3640 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
3642 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
3644 (nsm-warnings-ok-p): The new version of this function always
3645 returned nil when everything was OK.
3647 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
3649 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
3651 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
3652 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
3654 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3656 * emacs-lisp/byte-run.el (function-put): Match argument names to
3659 2014-11-24 Sam Steingold <sds@gnu.org>
3661 * vc/vc-hooks.el (vc-directory-exclusion-list):
3662 Fix a trivial typo (bug#19171).
3664 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
3666 * vc/vc-hooks.el (vc-state-base-face): Don't override
3669 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
3671 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
3672 (eww-process-text-input): Inhibit read only so that input fields
3673 don't get shortened (bug#19085).
3675 2014-11-24 Leo Liu <sdl.web@gmail.com>
3677 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
3679 * window.el (with-temp-buffer-window)
3680 (with-current-buffer-window, with-displayed-buffer-window):
3681 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
3682 * emacs-lisp/cl-lib.el (substring):
3683 * emacs-lisp/cl-extra.el (cl-getf): Use it.
3685 2014-11-24 Eli Zaretskii <eliz@gnu.org>
3687 * isearch.el (isearch-update): Don't assume
3688 pos-visible-in-window-p will return nil when point is hscrolled
3689 out of view. (Bug#19157)
3691 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
3693 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
3694 (eww-follow-link): Follow in new buffer in case of prefix
3695 argument, open externally with double prefix (bug#19130).
3697 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
3699 * net/eww.el (eww-display-html): Decode the document-defined charset.
3700 (eww): Pop to the *eww* buffer immediately after executing the
3701 `M-x eww' command to avoid having buffers pop up later.
3702 (eww-display-html): Don't pop the *eww* buffer.
3703 (eww-display-raw): Ditto.
3704 (eww-display-image): Ditto.
3705 (eww-follow-link): Make going to #targets in the page work again.
3707 2014-11-23 Ivan Shmakov <ivan@siamics.net>
3709 * net/eww.el (eww-suggest-uris): New variable.
3710 (eww-suggested-uris): New function.
3711 (eww): Default to URL under point.
3712 (eww-links-at-point): New function.
3714 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3716 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
3718 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3720 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
3722 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
3724 * net/eww.el (eww-set-character-encoding): New command and keystroke.
3725 (eww-display-raw): Use it (bug#16225).
3727 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
3729 * net/nsm.el (network-security-level): Rename from
3730 `nsm-security-level' and documented.
3732 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
3733 we're sending a password.
3735 * net/nsm.el: New file that implements a Network Security Manager.
3737 * net/network-stream.el (open-network-stream): Add a new
3738 :warn-unless-encrypted parameter.
3739 (network-stream-open-plain): Allow warning unless encrypted.
3740 (network-stream-open-starttls): Call the Network Security Manager.
3741 (network-stream-open-tls): Ditto.
3743 2014-11-23 Leo Liu <sdl.web@gmail.com>
3745 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
3746 (calendar-chinese-to-absolute-for-diary)
3747 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
3748 Handle leap months in Chinese calendar. (Bug#18953)
3750 2014-11-22 Alan Mackenzie <acm@muc.de>
3752 Fix error with `mark-defun' and "protected:" in C++ Mode.
3753 Fixes: debbugs:19134.
3755 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
3756 return code of (label) from c-beginning-of-decl-1.
3758 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
3760 * net/newst-backend.el (newsticker--sentinel-work):
3761 Tell `libxml-parse-xml-region' to discard comments. Fixes bug#18787.
3763 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
3765 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
3766 (tramp-sh-handle-process-file): Propagate `process-environment'.
3768 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
3769 Tramp propagates environment variables now.
3771 2014-11-22 Eric S. Raymond <esr@snark>
3773 * vc/vc-filewise.el: New file to isolate code used only by the
3774 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
3775 live in vc.el and certainly not in vc-hooks.el.
3777 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
3778 This is preparatory to isolating all the 'master' functions
3779 used only by the file-oriented back ends. With this done first,
3780 the substantive diffs will be easier to read.
3782 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3784 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
3785 that is recognized by `help-mode'.
3787 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
3789 * desktop.el (desktop-create-buffer): Use activate-mark to set
3790 `mark-active' (bug#19058).
3792 2014-11-21 Eric S. Raymond <esr@snark>
3794 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
3797 2014-11-21 Eli Zaretskii <eliz@gnu.org>
3799 * vc/vc.el (vc-deduce-fileset): Support invocation from
3800 *vc-change-log* buffer. (Bug#19084)
3802 2014-11-13 Matthew Leach <matthew@mattleach.net>
3804 * arc-mode.el (archive-visit-single-files): New.
3805 (archive-mode): Visit file if archive contains a single file.
3808 2014-11-21 Ulrich Müller <ulm@gentoo.org>
3810 * vc/vc.el: Fix a typo in the commentary.
3812 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
3814 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
3815 testing and a real log-view mode.
3817 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
3818 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
3819 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
3820 checkout methods; where it matters (which is only in SCCS and RCS)
3821 files are always checked out editable. This may actually have
3822 been dynamically true already - it looks like the vc-next-action
3823 code evolved past visiting the other case. Tested with RCS.
3825 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
3826 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
3827 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
3828 argument from the backend checkin methods. Only the RCS, SCCS,
3829 and CVS back ends tried to do anything with it, and that code was
3830 never exercised. Chiseling away the cruft of decades...
3832 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
3834 * net/eww.el (eww-render): Remove a no-op :title setting.
3836 2014-11-19 Ivan Shmakov <ivan@siamics.net>
3838 * net/eww.el (eww-history-limit): New variable.
3839 (eww-save-history): Use it (bug#19105).
3840 (eww-reload): Reload the page in the right buffer.
3842 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
3844 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
3846 2014-11-19 Ivan Shmakov <ivan@siamics.net>
3848 * net/eww.el (eww-desktop-remove-duplicates)
3849 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
3850 (eww-mode): Set up desktop mode (bug#18010).
3851 (eww-desktop-data-save, eww-desktop-data-1)
3852 (eww-desktop-history-duplicate, eww-desktop-misc-data)
3853 (eww-restore-desktop): New functions.
3855 2014-11-19 Eli Zaretskii <eliz@gnu.org>
3857 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
3858 correct buffer. (Bug#19101)
3860 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3862 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
3863 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
3865 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
3867 * ido.el (ido-bury-buffer-at-head): New command.
3868 (ido-buffer-completion-map): Bind it to C-S-b.
3870 2014-11-18 Juri Linkov <juri@linkov.net>
3872 * simple.el (next-line-or-history-element): Wrap next-line
3873 in with-no-warnings.
3874 (previous-line-or-history-element): Wrap previous-line
3875 in with-no-warnings.
3877 2014-11-18 Juri Linkov <juri@linkov.net>
3879 * progmodes/grep.el (grep-compute-defaults):
3880 Compute grep-highlight-matches before its use.
3882 2014-11-18 Juri Linkov <juri@linkov.net>
3884 * replace.el (query-replace-from-to-separator): Turn defvar into
3885 defcustom. Wrap char-displayable-p in ignore-errors because an
3886 attempt to autoload char-displayable-p fails during pre-loading.
3887 Move (propertize "\0" ... 'separator t) out of customizable part
3888 to query-replace-read-from.
3889 (query-replace-read-from): Call custom-reevaluate-setting on
3890 query-replace-from-to-separator to reevaluate the separator
3891 depending on the return value of char-displayable-p.
3892 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
3894 2014-11-18 Juri Linkov <juri@linkov.net>
3896 * bindings.el (minibuffer-local-map): Rebind [down] from
3897 next-history-element to next-line-or-history-element, and [up]
3898 from previous-history-element to previous-line-or-history-element.
3900 * simple.el (next-line-or-history-element)
3901 (previous-line-or-history-element): New commands.
3902 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
3904 2014-11-18 Leo Liu <sdl.web@gmail.com>
3906 * emacs-lisp/nadvice.el (define-advice): New macro.
3907 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
3909 (lisp-font-lock-keywords-1): Add define-advice.
3911 2014-11-18 Daiki Ueno <ueno@gnu.org>
3913 * epg.el (epg-context): New slot EDIT-CALLBACK.
3914 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
3915 (epg-reset): Reset EDIT-CALLBACK of the context.
3916 (epg-start-edit-key): New function.
3917 (epg-edit-key): New function.
3919 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
3921 Port new time stamp handling to Emacs 23.2.
3922 This fix is for Gnus. Reported by Katsumi Yamaoka.
3923 * calendar/time-date.el (time-add, time-subtract, time-less-p):
3924 Use eval-and-compile, not eval-when-compile.
3926 2014-11-18 Daiki Ueno <ueno@gnu.org>
3928 * epg.el (epg-context-set-passphrase-callback)
3929 (epg-context-set-progress-callback): Check if the CALLBACK
3930 argument is a function, instead of a cons.
3932 2014-11-18 Daiki Ueno <ueno@gnu.org>
3934 * epa-file.el (epa-file-insert-file-contents)
3935 (epa-file-write-region): Remove redundant check of
3937 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
3938 (epa-sign-region, epa-encrypt-region): Remove redundant check of
3941 2014-11-18 Daiki Ueno <ueno@gnu.org>
3943 * epa-file.el (epa-file-insert-file-contents): Don't show
3944 "*Error*" buffer if input file does not exist.
3945 Reported by Herbert J. Skuhra.
3947 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
3948 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3950 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
3951 An alternative version of the patch from bug#13871.
3952 (c-operators): Add "alignof".
3953 (c-primitive-type-kwds): Add "char16_t", "char32_t".
3954 (c-type-modifier-kwds): Add "constexpr", "noexcept".
3955 (c-modifier-kwds): Add "thread_local".
3956 (c-constant-kwds): Add "nullptr".
3958 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
3960 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
3961 New variables for specifying tildify pattern and representation of
3962 a hard space -- a no-break space by default -- respectively.
3963 Being buffer-local they are much easier to handle than
3964 `tildify-string-alist' and `tildify-pattern-alist' respectively
3965 that have been used so far. They also works better with derived
3967 (tildify-foreach-region-function): New variable specifying
3968 a function determining portions of buffer that should be
3969 tildified. It allows major modes to create a filtering function
3970 more elaborate than a set of regular expressions. Initialised to
3971 `tildify--deprecated-ignore-evironments' by default to handle now
3972 deprecated `tildify-ignored-environments-alist' variable.
3973 (tildify--foreach-region): A new function that takes
3974 `tildify-foreach-region-function' into account and calls callback
3975 for regions of the buffer that should be tildified.
3976 (tildify-foreach-ignore-environments): A new function which can be
3977 partially applied and used as `tildify-foreach-region-function'.
3978 (tildify-ignored-environments-alist, tildify-pattern)
3979 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
3980 (tildify--find-env): Rename from `tildify-find-env' and mark as
3982 (tildify--deprecated-ignore-evironments): New function,
3983 immediately marked as obsolete, used to handle deprecated
3984 `tildify-ignored-environments-alist'.
3986 * textmodes/tex-mode.el (tex-common-initialization):
3987 Set `tildify-space-string' and `tildify-foreach-region-function'
3988 variables in all variants of TeX mode since `tildify-string-alist'
3989 and `tildify-ignored-environments-alist' are now empty by default.
3991 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
3992 If encoding supports it use no-break space instead of character
3993 entity; this changes previous default which used a numeric
3996 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
3997 If encoding does not support no-break space, use numeric reference;
3998 this changes previous default which used named entity (“ ”)
4001 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
4003 * calendar/icalendar.el (icalendar-export-alarms):
4004 New customizable variable. (Bug#5433)
4005 (icalendar-export-region): Export alarms as specified in
4006 `icalendar-export-alarms'.
4007 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
4008 New functions for exporting alarms.
4010 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
4012 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
4014 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
4016 Port new time stamp handling to old Emacs and to XEmacs.
4017 This is needed for Gnus, which copies time-date.el and which
4018 runs on older Emacs implementations.
4019 * calendar/time-date.el (with-decoded-time-value):
4020 Handle 'nil' and floating-point arg more compatibly with new Emacs.
4021 (encode-time-value, with-decoded-time-value):
4022 Obsolete only if new Emacs.
4023 (time-add, time-subtract, time-less-p): Define if not new Emacs.
4025 Improve time stamp handling, and be more consistent about it.
4026 This implements a suggestion made in:
4027 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
4028 Among other things, this means timer.el no longer needs to
4029 autoload the time-date module.
4030 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
4031 * arc-mode.el (archive-ar-summarize):
4032 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
4033 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
4034 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
4035 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
4036 * proced.el (proced-time-lessp):
4037 * timezone.el (timezone-time-from-absolute):
4038 * type-break.el (type-break-schedule, type-break-time-sum):
4039 Simplify by using new functionality.
4040 * calendar/cal-dst.el (calendar-next-time-zone-transition):
4041 Do not return time values in obsolete and undocumented (HI . LO)
4042 format; use (HI LO) instead.
4043 * calendar/time-date.el (with-decoded-time-value):
4044 Treat 'nil' as current time. This is mostly for XEmacs.
4045 (encode-time-value, with-decoded-time-value): Obsolete.
4046 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
4047 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
4048 * ldefs-boot.el: Update to match new time-date.el
4049 * proced.el: Do not require time-date.
4051 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4053 * net/eww.el (eww-mode): Make the buffer read-only.
4054 (eww-form-text): Inhibit read-only-ness in text input fields
4057 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4059 * simple.el (execute-extended-command--shorter): Cut search here.
4060 (execute-extended-command): Instead of here.
4062 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4064 * progmodes/python.el (python-mode): Avoid use of set-local to
4065 keep Emacs 24.x compatibility.
4067 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4069 * net/shr.el (shr): Move to the new defgroup `web'.
4071 * net/eww.el (eww): Ditto.
4073 * simple.el (execute-extended-command): Don't show the help
4074 message if the binding isn't significantly shorter than the
4075 M-x command the user typed (bug#19013).
4077 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
4079 * calendar/icalendar.el (icalendar--convert-tz-offset):
4080 Return complete cons when offsets of standard time and daylight saving
4082 (icalendar-export-region): Fix unbound variable warning.
4084 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4086 * progmodes/python.el (run-python): Allow CMD to be optional and
4087 default it to a safe command, even for Windows. (bug#18596)
4089 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4091 * progmodes/python.el (python-shell-calculate-command):
4092 Rename from python-shell-parse-command. Cleanup.
4093 (run-python, run-python-internal): Use it.
4094 (python-shell-calculate-pythonpath): Rename from
4095 python-new-pythonpath.
4096 (python-shell-calculate-process-environment): Use it.
4097 (python-shell-calculate-exec-path): Add comment.
4099 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
4101 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
4104 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
4106 * version.el (emacs-repository-get-version): Use git rev-parse
4109 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4111 * progmodes/python.el (python-indent-calculate-levels):
4112 Fix indentation behavior multiline dedenter statement. (Bug#18432)
4114 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4116 * progmodes/python.el (python-indent-region):
4117 Use python-indent-line and skip special cases. (Bug#18843)
4119 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
4121 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
4122 envelope-from when reporting through sendmail (bug#19054).
4124 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
4126 Add faces for the VC modeline state indicator.
4128 (vc-state-faces, vc-state-base-face)
4129 (vc-up-to-date-state, vc-needs-update-state)
4130 (vc-locked-state, vc-locally-added-state)
4131 (vc-conflict-state, vc-removed-state)
4132 (vc-missing-state, vc-edited-state):
4134 (vc-default-mode-line-string): Use them
4136 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4138 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
4140 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
4142 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
4144 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
4146 * progmodes/python.el (python-eldoc-setup-code): Enhance string
4147 type checks, simplify printing. (Bug#18962)
4149 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
4151 * progmodes/python.el (python-shell-font-lock-kill-buffer):
4152 (python-shell-font-lock-with-font-lock-buffer)
4153 (python-shell-get-buffer, python-ffap-module-path):
4154 Use `derived-mode-p' instead of equality test on `major-mode'.
4156 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
4158 * progmodes/python.el (python-shell-virtualenv-root): Rename from
4159 python-shell-virtualenv-path.
4160 (python-shell-internal-get-process-name)
4161 (python-shell-calculate-process-environment)
4162 (python-shell-calculate-exec-path): Use it.
4164 2014-11-14 Eli Zaretskii <eliz@gnu.org>
4166 * bindings.el (search-map): Fix last change: don't use 'kbd' in
4167 bindings.el, since it is not yet loaded when bindings.el is
4170 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
4172 * progmodes/python.el (python-shell-completion-get-completions):
4175 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
4177 * net/eww.el (eww-render): Don't set the title to the URL.
4179 2014-11-13 Ulrich Müller <ulm@gentoo.org>
4181 * version.el (emacs-repository-get-version): Call `git log'
4182 command with proper format argument (bug#19049).
4184 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
4186 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
4188 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
4190 * net/eww.el (eww-search-words): New command (bug#16258).
4192 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4194 * net/shr.el (shr-inhibit-images): Add a doc string.
4196 * net/eww.el (eww-after-render-hook): New variable.
4197 (eww-render): Use it.
4199 * net/shr.el (shr-descend): Don't descend further than
4200 `max-specpdl-size' allows (bug#16587).
4201 (shr-depth): New variable.
4202 (shr-warning): New variable.
4204 2014-11-13 Ivan Shmakov <ivan@siamics.net>
4206 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
4207 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
4209 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4211 * net/eww.el (eww): Add comment to clarify.
4213 * net/shr.el (shr-parse-image-data): Remove blocked bits from
4214 external SVG images.
4215 (shr-tag-object): Display images in <object> forms (bug#16244).
4216 (shr-tag-table): Also insert <objects> after the tables.
4218 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
4220 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
4222 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4224 * net/eww.el (eww-form-file): Fix version number.
4226 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4228 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
4230 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
4232 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
4233 (eww-submit-file): New key map of file upload.
4234 (eww-form-file): New file upload button and file name context.
4235 (eww-select-file): Select file and display selected file name.
4236 (eww-tag-input): Handle input tag of file type.
4237 (eww-update-field): Add point offset.
4238 (eww-submit): Add submit with multipart/form-data.
4240 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4242 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
4243 Allow taking a buffer to render data in. This allows using several
4244 eww buffers (bug#16211).
4246 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
4248 * net/eww.el (eww-download-callback): Save only the file contents,
4251 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4253 * net/eww.el (eww-data): New plist to store all the data relevant
4254 to a single page, used throughout the file instead of the
4255 variables `eww-current-url', `eww-current-dom',
4256 `eww-current-source', and `eww-current-title'.
4257 (eww-readable): Copy over pertinent data from the parent page.
4258 (eww-save-history): Don't let the history grow infinitely.
4260 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
4261 `eww-up-url', `eww-home-url', `eww-start-url' and
4262 `eww-contents-url' and put the data into the `eww-data' plist.
4263 This allow restoring these values after going back in the history.
4265 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
4267 Allow VTIMEZONE where daylight and standard time zones are equal.
4268 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
4269 * calendar/icalendar.el (icalendar--convert-tz-offset):
4270 Support timezone without daylight saving time.
4272 2014-11-10 Glenn Morris <rgm@gnu.org>
4274 * startup.el (command-line): Handle nil elements in load-path.
4276 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
4278 * help.el (view-lossage): Include the actual commands run.
4280 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
4282 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
4283 no state is specified. (Bug#18964)
4285 2014-11-09 Eric Ludlam <zappo@gnu.org>
4287 * emacs-lisp/eieio-custom.el (eieio-customize-object):
4288 Set eieio-cog (current group) to g, which is an improved form of input
4291 2014-11-09 Juri Linkov <juri@jurta.org>
4293 * isearch.el (isearch-message-prefix): Show "Multi-file" and
4294 "Multi-buffer" instead of "Multi". (Bug#13592)
4296 * misearch.el (multi-isearch-file-list):
4297 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
4298 (multi-isearch-end): Reset multi-isearch-buffer-list and
4299 multi-isearch-file-list to nil.
4301 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
4303 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
4304 Don't call byte-compile-preprocess since the result will go through
4306 (byte-compile-output-docform): Handle uninterned `name' correctly.
4307 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
4308 to circumvent byte-compiler bug.
4310 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
4311 (macroexp--compiler-macro): Remove left-over debug code.
4313 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
4315 2014-11-08 Juri Linkov <juri@jurta.org>
4317 * simple.el (shell-command): Use buffer-name when output-buffer is
4318 a buffer. (Bug#18096)
4320 2014-11-08 Juri Linkov <juri@jurta.org>
4322 * minibuffer.el (minibuffer-completion-help): Compare this-command
4323 with completion-at-point. (Bug#17809)
4325 2014-11-08 Glenn Morris <rgm@gnu.org>
4327 * emacs-lisp/bytecomp.el (byte-compile-report-error):
4328 Allow the argument to be a string. Due to the vague doc,
4329 it was already being used this way.
4331 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
4333 * net/tramp.el (tramp-check-cached-permissions): Include hop in
4334 the constructed Tramp file name. (Bug#18943)
4336 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
4338 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
4340 (cua-set-mark, cua--post-command-handler-1):
4341 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
4343 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
4345 * files.el (file-name-non-special): Wrap the call of
4346 `insert-file-contents' by `unwind-protect', in order to set the
4347 buffer's file name anyway. (Bug#18891)
4349 2014-11-08 Alan Mackenzie <acm@muc.de>
4351 Fix wrong bound to c-font-lock-declarators. Fixes bug #18948.
4352 * progmodes/cc-fonts.el (c-font-lock-declarations):
4353 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
4354 the buffer is sometimes narrowed to less than "limit" (e.g., in
4355 the presence of macros).
4357 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
4359 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
4360 only when message appeared in minibuffer. (Bug#18891)
4362 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
4363 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
4364 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
4367 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
4369 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
4370 Don't compile before eval in `eval-and-compile'.
4371 (byte-compile-arglist-warn): Add check for defining macros after their
4372 first use. Check call use even if the function is fboundp.
4374 2014-11-08 Richard Stallman <rms@gnu.org>
4376 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
4377 Check more carefully for mime-part specified character set.
4378 Check for mime-part Content Transfer Encoding.
4379 Notify if no armor found.
4381 2014-11-08 Martin Rudalics <rudalics@gmx.at>
4383 * faces.el (face-set-after-frame-default): Enable running
4384 `window-configuration-change-hook'.
4386 2014-11-07 Juri Linkov <juri@jurta.org>
4388 * replace.el: History for query replace pairs.
4389 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
4390 (query-replace-from-to-separator): New variable.
4391 (query-replace-read-from): Let-bind query-replace-from-to-history
4392 to a list of FROM-TO strings created from query-replace-defaults
4393 and separated by query-replace-from-to-separator. Use it as
4394 the history while reading from the minibuffer. Split the returned
4395 string by the separator to get FROM and TO parts, and add them
4396 to the history variables.
4397 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
4398 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
4399 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
4401 * isearch.el (isearch-text-char-description): Keep characters
4402 intact and put formatted strings with the `display' property.
4404 2014-11-07 Martin Rudalics <rudalics@gmx.at>
4406 * cus-start.el (frame-resize-pixelwise): Fix group.
4407 (frame-inhibit-implied-resize): Add entry.
4409 2014-11-07 Daiki Ueno <ueno@gnu.org>
4411 * epa.el (epa-pinentry-mode): New user option.
4412 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4413 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
4414 * epa-file.el (epa-file-insert-file-contents)
4415 (epa-file-write-region): Respect epa-pinentry-mode.
4417 2014-11-07 Daiki Ueno <ueno@gnu.org>
4419 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
4420 (bug#18979). Reported by Hideki Saito.
4422 2014-11-06 Daiki Ueno <ueno@gnu.org>
4424 * emacs-lisp/package.el (package--display-verify-error): New function.
4425 (package--check-signature): Use it to display output sent to stderr.
4427 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
4429 * subr.el (pop): Don't call the getter twice (bug#18968).
4431 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
4434 2014-11-06 Daiki Ueno <ueno@gnu.org>
4436 * epa.el (epa-error-buffer): New variable.
4437 (epa-display-error): New function.
4438 (epa-decrypt-file, epa-verify-file, epa-verify-region)
4439 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
4440 (epa-sign-file, epa-sign-region, epa-encrypt-region)
4441 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
4442 Use setf instead of epg-context-set-*.
4443 * epa-file.el (epa-file-insert-file-contents):
4444 Use epa-display-error instead of epa-display-info. Mimic the behavior
4445 of jka-compr when decryption program is not found.
4446 (epa-file-write-region): Use epa-display-error instead of
4449 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
4451 * vc/vc.el (vc-region-history): New command.
4452 (vc-print-log-internal): Use cl-some.
4454 * vc/vc-git.el (vc-git-region-history): New function.
4455 (vc-git-region-history-mode-map)
4456 (vc-git--log-view-long-font-lock-keywords)
4457 (vc-git-region-history-font-lock-keywords): New vars.
4458 (vc-git-region-history-font-lock): New function.
4459 (vc-git-region-history-mode): New major mode.
4461 2014-11-05 Tassilo Horn <tsdh@gnu.org>
4463 * net/eww.el (subr-x): Require subr-x at compile-time because eww
4466 2014-11-05 Daiki Ueno <ueno@gnu.org>
4468 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
4469 (epg-error-output): New buffer-local variable.
4470 (epg--start): Initialize epg-error-output.
4471 (epg--process-filter): Record output lines sent to stderr, in
4473 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
4475 * epa-file.el (epa-file-insert-file-contents): On error, display
4476 output sent to stderr.
4477 (epa-file-write-region): Likewise.
4479 2014-11-05 Eli Zaretskii <eliz@gnu.org>
4481 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
4482 returned by load-average.
4484 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
4486 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
4487 a local copy; setting `inhibit-file-name-handlers' proper might be
4488 more performant. (Bug#18751)
4490 2014-11-05 Glenn Morris <rgm@gnu.org>
4492 * mail/emacsbug.el (report-emacs-bug): No longer include
4493 recent-keys in the report. (Bug#18900)
4495 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
4497 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
4499 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
4501 * net/eww.el (eww): Trim URL with `string-trim'.
4502 Suggested by Vibhav Pant <vibhavp@gmail.com>.
4504 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4506 * net/eww.el (eww-score-readability): Don't count comments positively.
4508 * net/shr.el (shr-retransform-dom): Typo fix.
4510 * net/eww.el (eww-score-readability): Parse SVC images correctly.
4511 (eww-display-html): Don't leave point inside forms.
4513 * net/shr.el: Ditto.
4515 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
4517 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
4518 edebug-prin1-to-string already handles circularity.
4520 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
4521 autoloading when specified as a lambda.
4523 * simple.el (execute-extended-command--last-typed): New var.
4524 (read-extended-command): Set it.
4525 Don't complete obsolete commands.
4526 (execute-extended-command--shorter-1)
4527 (execute-extended-command--shorter): New functions.
4528 (execute-extended-command): Use them to suggest shorter names.
4529 (indicate-copied-region, deactivate-mark): Use region-active-p.
4531 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
4533 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
4534 local copy of FILENAME, when it is remote. (Bug#18751)
4536 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
4537 an error when the command fails; the return code must indicate.
4538 (tramp-adb-send-command-and-check): Fix docstring.
4540 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4542 * net/shr.el (shr-retransform-dom): Don't ignore elements that
4543 have no children like <br />.
4545 * net/eww.el (eww-display-html): Clear `url-queue'.
4546 (eww-display-pdf): New function.
4547 (eww-render): Display PDFs with `doc-view'.
4548 (url-queue): Require `url-queue' to avoid compilation warning.
4549 (eww-colorize-region): Remove duplicate function.
4550 (eww-tag-body): Use `shr-colorize-region'.
4552 2014-11-03 Yoni Rabkin <yrk@gnu.org>
4554 * net/eww.el (eww-list-bookmarks): Autoload.
4556 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4558 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
4560 * net/eww.el (eww-display-html): The charset is called `utf-8',
4562 (eww-readable): Decode the saved text correctly.
4563 (eww-readable): Save the history before displaying so that we can
4564 go back to the non-readable version.
4565 (eww-display-html): Don't try to decode the text if we've been
4566 passed in a pre-parsed DOM.
4567 (eww-tag-title): Remove newlines and extra whitespace from the
4570 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
4572 * net/eww.el (eww-readable): New command and keystroke.
4574 * net/shr.el (shr-retransform-dom): New function.
4576 * net/eww.el (eww-display-html): Set `eww-current-source' in the
4578 (eww-view-source): Use it.
4580 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4582 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
4585 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
4587 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
4589 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4591 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
4593 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4595 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
4598 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
4600 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
4602 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
4604 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
4606 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
4608 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
4610 2014-11-01 Michael R. Mauger <michael@mauger.com>
4612 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
4613 syntax, add new keywords, and parse longer keywords first.
4614 (sql-redirect-one): Protect against empty command.
4615 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
4618 2014-11-01 Michael R. Mauger <michael@mauger.com>
4620 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
4621 Bug#16814 with let-bind of comint-input-ring variables around read
4624 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
4626 * net/tramp-cache.el (tramp-get-file-property)
4627 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
4628 and `tramp-cache-set-count-*' are bound. Otherwise, there might
4629 be compiler warnings.
4631 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
4632 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
4634 2014-11-01 Eli Zaretskii <eliz@gnu.org>
4636 * progmodes/compile.el (compilation-mode): Turn off deferred
4637 fontifications locally. (Bug#18856)
4639 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
4641 * net/tramp-sh.el (tramp-send-command): Fix the case where the
4642 remote-echo connection property is non-nil (bug#18858).
4644 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
4646 * simple.el (newline): Add assertions to try and help catch bug#18913.
4648 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
4650 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
4652 2014-11-01 Kim F. Storm <storm@cua.dk>
4654 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
4655 * delsel.el (delete-selection-save-to-register)
4656 (delsel--replace-text-or-position): New vars.
4657 (delete-active-region): Use them.
4658 (delete-selection-repeat-replace-region): New command, moved from
4660 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
4661 (cua-repeat-replace-region): Move command to delsel.el.
4662 (cua--init-keymaps): Update binding accordingly.
4663 (cua-mode): Set delete-selection-save-to-register.
4665 2014-11-01 Alan Mackenzie <acm@muc.de>
4667 Make blink-parens work with a closing template delimiter.
4668 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
4669 before calling blink-paren-function, so as to apply syntax-table
4670 properties to the ">".
4672 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
4674 * select.el (gui-get-selection): Comment: data-type ignored on NS.
4676 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
4678 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
4679 (macroexp--expand-all): Unrelated tweaks.
4681 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
4683 2014-10-30 Glenn Morris <rgm@gnu.org>
4685 * startup.el (command-line): Remove pointless attempt to avoid
4686 statting the file-system (which expand-file-name doesn't do).
4688 2014-10-30 Daniel Colascione <dancol@dancol.org>
4690 Add "enum class" support to C++ mode.
4691 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
4692 (c-after-brace-list-key): New language consts/variables.
4693 * progmodes/cc-engine.el (c-looking-at-decl-block):
4694 Exclude spurious match of "enum struct" from decl-block recognition.
4695 (c-backward-colon-prefixed-type): New function.
4696 (c-backward-over-enum-header): Call above function to extend
4697 recognition of enum structure.
4699 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
4701 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
4703 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
4705 2014-10-30 Eli Zaretskii <eliz@gnu.org>
4707 * progmodes/compile.el (compilation-start):
4708 If compilation-scroll-output is non-nil, don't force window-start of
4709 the compilation buffer to be at beginning of buffer. (Bug#18874)
4711 * startup.el (fancy-about-text): Read the entire tutorial, not
4712 just its first 256 bytes. (Bug#18760)
4714 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
4716 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
4717 * emacs-lisp/cl-extra.el: Add missing provide.
4719 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
4720 all args are copyable (bug#18767).
4721 (=, <, >, <=, >=): Re-enable the optimization.
4723 2014-10-29 Glenn Morris <rgm@gnu.org>
4725 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
4727 * version.el (emacs-bzr-version, emacs-bzr-get-version):
4728 Revert 2014-10-26 change.
4730 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
4732 Simplify use of current-time and friends.
4733 * allout-widgets.el (allout-widgets-hook-error-handler):
4734 * calendar/appt.el (appt-display-message):
4735 * calendar/icalendar.el (icalendar--convert-float-to-ical):
4736 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
4737 (timeclock-last-period, timeclock-day-base):
4738 * eshell/em-ls.el (eshell-ls-file):
4739 * eshell/esh-util.el (eshell-parse-ange-ls):
4740 * generic-x.el (named-database-print-serial):
4741 * net/newst-backend.el (newsticker--get-news-by-url-callback)
4742 (newsticker-get-news, newsticker--sentinel-work)
4743 (newsticker--image-get, newsticker--image-sentinel):
4744 * net/tramp-sh.el (tramp-get-remote-touch):
4745 * progmodes/opascal.el (opascal-debug-log):
4746 * textmodes/remember.el (remember-mail-date)
4747 (remember-store-in-files):
4748 * vc/vc-annotate.el (vc-annotate-display-autoscale)
4749 (vc-default-annotate-current-time):
4750 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
4751 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
4752 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
4753 Omit unnecessary call to current-time.
4754 * calendar/time-date.el (time-to-seconds) [!float-time]:
4755 * vc/vc-annotate.el (vc-annotate-convert-time):
4756 Use current time if arg is nil, to be compatible with float-time.
4757 (time-date--day-in-year): New function, with most of the guts of
4758 the old time-to-day-in-year.
4759 (time-to-day-in-year): Use it.
4760 (time-to-days): Use it, to avoid decoding the same time stamp twice.
4761 * calendar/timeclock.el (timeclock-time-to-date):
4762 Arg is now optional, like current-time-string.
4763 (timeclock-update-mode-line):
4764 Don't call current-time twice to get the current time stamp,
4765 as this can lead to inconsistent results.
4766 * completion.el (cmpl-hours-since-origin):
4767 * ido.el (ido-time-stamp):
4768 * vc/vc-annotate.el (vc-annotate-convert-time):
4769 Simplify by using float-time.
4770 * completion.el (save-completions-to-file):
4771 Rename local var to avoid confusion.
4772 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
4773 time-to-seconds now behaves like float-time with respect to nil arg.
4774 * subr.el (progress-reporter-do-update):
4775 Don't call float-time unless needed.
4777 2014-10-29 Leo Liu <sdl.web@gmail.com>
4779 * net/rcirc.el (rcirc-fill-column): Use function.
4780 (rcirc-markup-fill): Remove adjustment.
4782 2014-10-28 Christopher Schmidt <ch@ristopher.com>
4784 * calc/calc.el (quick-calc):
4785 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
4787 2014-10-28 Sam Steingold <sds@gnu.org>
4789 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
4790 the sake of `window-body-width' (in addition to `frame-width').
4792 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
4794 * version.el: Fix some fallback values to conform to the actual
4797 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
4799 * Makefile.in: Change some production names so they're neutral
4800 about the repository type.
4802 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
4804 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
4805 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
4806 during initialization. (Bug#18774)
4808 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
4810 * ses.el (macroexp): Add require for this package, so that
4811 function `ses--cell' gets macroexp-quote --- this change was
4812 supposed to be in my previous commit, but left out by mistake.
4813 (ses--cell): Do not make formula a macroexp-quote of value when
4814 value, not formula, is *skip*.
4816 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
4818 * ses.el (macroexp): Add require for this package, so that function
4819 `ses--cell gets macroexp-quote.
4820 (ses--cell): Makes formula a macroexp-quote of value when formula
4821 is nil. The rationale of this changr is to allow in the future
4822 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
4823 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
4824 reference list REFLIST would be re-computed after load --- thus
4825 trading off load time against file size.
4827 * emacs-lisp/package.el (package--alist-to-plist-args):
4828 Use macroexp-quote instead of a lambda expression which has the same
4829 content as macroexp-quote.
4830 (macroexp): Add require for this package, so that function
4831 `package--alist-to-plist-args' gets macroexp-quote.
4833 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
4835 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
4837 * term/ns-win.el (ns-store-cut-buffer-internal)
4838 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
4840 2014-10-24 Martin Rudalics <rudalics@gmx.at>
4842 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
4843 Calculate increment from last position instead of window edge.
4844 Add right- and bottom-divider bindings to transient map.
4846 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4848 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
4849 even if :predicate was nil, for the benefit of typep.
4850 Record the name of the predicate for typep's use.
4851 (cl--make-type-test): Use pcase. Obey new
4852 cl-deftype-satisfies property.
4854 * epg.el: Use cl-defstruct.
4855 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
4856 (epg-data-string): Define via cl-defstruct.
4857 (epg--gv-nreverse): New macro.
4858 (epg-context--make): New constructor (provided vi cl-defstruct).
4859 (epg-make-context): Rewrite using it.
4860 (epg-context-protocol, epg-context-program)
4861 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
4862 (epg-context-include-certs, epg-context-cipher-algorithm)
4863 (epg-context-digest-algorithm, epg-context-compress-algorithm)
4864 (epg-context-passphrase-callback, epg-context-progress-callback)
4865 (epg-context-signers, epg-context-sig-notations, epg-context-process)
4866 (epg-context-output-file, epg-context-result, epg-context-operation)
4867 (epg-context-pinentry-mode): Define using cl-defstruct.
4868 (epg-context-set-protocol, epg-context-set-program)
4869 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
4870 (epg-context-set-digest-algorithm)
4871 (epg-context-set-sig-notations, epg-context-set-process)
4872 (epg-context-set-output-file, epg-context-set-result)
4873 (epg-context-set-operation, epg-context-set-pinentry-mode)
4874 (epg-context-set-compress-algorithm): Remove. Use setf instead.
4875 (epg-context-set-armor, epg-context-set-textmode)
4876 (epg-context-set-signers): Redefine using setf
4877 and declare as obsolete.
4878 (epg-context-set-passphrase-callback)
4879 (epg-context-set-progress-callback): Use setf.
4880 (epg-signature-notations): Rename from epg-sig-notations.
4881 (epg-make-signature, epg-signature-status, epg-signature-key-id)
4882 (epg-signature-validity, epg-signature-fingerprint)
4883 (epg-signature-creation-time, epg-signature-expiration-time)
4884 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
4885 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
4886 (epg-signature-set-status, epg-signature-set-key-id)
4887 (epg-signature-set-validity, epg-signature-set-fingerprint)
4888 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
4889 (epg-signature-set-pubkey-algorithm)
4890 (epg-signature-set-digest-algorithm, epg-signature-set-class)
4891 (epg-signature-set-version, epg-signature-set-notations): Remove.
4893 (epg-make-new-signature, epg-new-signature-type)
4894 (epg-new-signature-pubkey-algorithm)
4895 (epg-new-signature-digest-algorithm, epg-new-signature-class)
4896 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
4897 Define using cl-defstruct.
4898 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
4899 (epg-key-user-id-list): Define using cl-defstruct.
4900 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
4902 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
4903 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
4904 (epg-sub-key-id, epg-sub-key-creation-time)
4905 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
4907 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
4908 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
4909 (epg-user-id-signature-list): Define using cl-defstruct.
4910 (epg-user-id-set-signature-list): Remove. Use setf instead.
4911 (epg-make-key-signature, epg-key-signature-validity)
4912 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
4913 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
4914 (epg-key-signature-user-id, epg-key-signature-class)
4915 (epg-key-signature-exportable-p): Define using cl-defstruct.
4916 (epg-make-sig-notation, epg-sig-notation-name)
4917 (epg-sig-notation-value, epg-sig-notation-human-readable)
4918 (epg-sig-notation-critical): Define using cl-defstruct.
4919 (epg-sig-notation-set-value): Remove. Use setf instead.
4920 (epg-make-import-status, epg-import-status-fingerprint)
4921 (epg-import-status-reason, epg-import-status-new)
4922 (epg-import-status-user-id, epg-import-status-signature)
4923 (epg-import-status-sub-key, epg-import-status-secret): Define using
4925 (epg-make-import-result, epg-import-result-considered)
4926 (epg-import-result-no-user-id, epg-import-result-imported)
4927 (epg-import-result-imported-rsa, epg-import-result-unchanged)
4928 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
4929 (epg-import-result-new-signatures, epg-import-result-new-revocations)
4930 (epg-import-result-secret-read, epg-import-result-secret-imported)
4931 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
4932 (epg-import-result-imports): Define using cl-defstruct.
4934 * emacs-lisp/package.el: Require EPG during macroexpansion.
4935 (package--check-signature, package-import-keyring): Use setf instead of
4936 epg-context-set-home-directory.
4938 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4940 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
4942 2014-10-23 Leo Liu <sdl.web@gmail.com>
4944 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
4945 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
4946 (cfengine3-current-defun): New function.
4947 (cfengine3-mode): Set add-log-current-defun-function.
4949 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4951 * select.el: Use lexical-binding.
4952 (gui-set-selection): Provide an implementation for non-GUI frames
4954 * term/x-win.el: Use lexical-binding.
4955 (x-clipboard-yank): Fix up missed renamings.
4956 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
4957 (w32--set-selection): Fix up var names.
4958 * term/pc-win.el: Use lexical-binding.
4959 (w16-selection-exists-p): Silence compiler warning.
4960 (w16-selection-owner-p): Fix up missed renamings.
4962 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
4964 * frame.el (frame-notice-user-settings): Fix excessive quoting.
4966 2014-10-22 Tassilo Horn <tsdh@gnu.org>
4968 * doc-view.el (doc-view-open-text): View the document's plain text
4969 in the current buffer instead of a new one.
4970 (doc-view-toggle-display): Handle the case where the current
4971 buffer contains the plain text contents of the document.
4972 (doc-view-initiate-display): Don't switch to fallback mode if the
4973 user wants to view the doc's plain text.
4974 (doc-view-set-doc-type): Use assoc-string instead of
4977 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4979 * subr.el (read-key): Fix clicks on the mode-line.
4980 (set-transient-map): Return exit function.
4982 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
4983 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
4984 (mouse-yank-secondary): Use gui-get-selection.
4985 (mouse--down-1-maybe-follows-link): Use read-key.
4987 * xt-mouse.el: Add `event-kind' property on the fly from
4988 xterm-mouse-translate-1 rather than statically at the outset.
4990 2014-10-21 Daniel Colascione <dancol@dancol.org>
4992 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
4993 change window configuration when we turn it off.
4995 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4997 Get rid of backend-dependent selection-handling functions for kill/yank
4998 and make it generic instead by relying on the lower-level selection
4999 management functions.
5001 * select.el (select-enable-clipboard): Rename from
5002 gui-select-enable-clipboard.
5003 (select-enable-primary): Move from x-win.el and rename from
5004 x-select-enable-primary.
5005 (gui-last-selected-text): Remove.
5006 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
5008 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
5009 (gui-select-text-alist, gui-selection-value-alist): Remove.
5010 (x-select-request-type): Move from x-win.el.
5011 (gui--selection-value-internal): New function, taken from x-win's
5012 x-selection-value-internal.
5013 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
5014 (gui-set-selection-alist): Rename from gui-own-selection-alist and
5015 extend it to handle a nil value as a "disown" request.
5016 (gui-disown-selection-alist): Remove.
5017 (xselect-convert-to-delete): Adjust accordingly.
5018 (gui-set-selection): Simplify accordingly as well. Use dotimes.
5020 * term/x-win.el (x-last-selected-text-primary)
5021 (x-select-enable-primary): Remove (moved to select.el).
5022 (x-select-request-type): Move to select.el.
5023 (x-selection-value-internal, x--selection-value): Remove functions.
5024 (gui-selection-value, gui-select-text): Remove moethods.
5025 (gui-set-selection): Merge own and disown methods.
5027 * term/w32-win.el (w32--select-text, w32--get-selection-value):
5028 Delete function (move functionality into w32--set-selection and
5029 w32--get-selection).
5030 (gui-select-text, gui-selection-value): Don't define methods.
5031 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
5033 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
5035 (gui-selection-exists-p): Adjust to new name of C primitive.
5037 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
5038 test of gui-select-enable-clipboard, to make it usable as
5039 a gui-get-selection method.
5040 (gui-selection-exists-p): Adjust to new name of C primitive.
5041 (gui-set-selection): Merge own and disown methods.
5042 (gui-select-text, gui-selection-value): Delete methods.
5043 (w16--select-text): Delete function.
5045 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
5046 (ns-selection-value): Remove functions.
5047 (gui-select-text, gui-selection-value): Don't define method any more.
5048 (gui-set-selection): Merge the old own and disown methods.
5049 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
5050 underlying C primitive.
5052 * startup.el (command-line): Adjust now that `gui-method' expects nil
5055 * frame.el (gui-method): Use window-system rather than framep.
5056 (gui-method-declare): The tty case is now nil rather than t.
5057 (make-frame): Adjust accordingly.
5059 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5061 * net/newst-reader.el (newsticker--image-read): Simplify.
5062 (newsticker--icon-read): Use dolist and fix free var error.
5064 * imenu.el (imenu--menubar-keymap): New var.
5065 (imenu-add-to-menubar): Set it to remember the keymap we used.
5066 (imenu-update-menubar): Use it instead of asking lookup-key.
5068 * obsolete/cc-compat.el: Make obsolete (bug#18561).
5070 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
5072 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5075 2014-10-21 Glenn Morris <rgm@gnu.org>
5077 * Merge in all changes up to version 24.4 release.
5079 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5081 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5084 2014-10-20 Glenn Morris <rgm@gnu.org>
5086 * Merge in all changes up to 24.4 release.
5088 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
5090 * net/newst-backend.el
5091 (newsticker--image-download-by-url-callback): Make this function
5092 actually work: Check status properly, then save image.
5094 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5096 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
5098 (mouse-drag-line): Unless there's no actual mouse, use the event's
5101 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5103 * textmodes/css-mode.el (scss-mode): New major-mode.
5104 (css-mode-syntax-table): Use d style comment, to ease the scss case.
5105 (css-ident-re): Allow things like @-moz-keyframes.
5106 (scss--hash-re): New const.
5107 (css--font-lock-keywords): New function, extracted from
5108 css-font-lock-keywords.
5110 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
5112 * net/newst-backend.el: Require url-parse.
5113 (newsticker--get-news-by-wget): Store feed name as process property.
5114 (newsticker--sentinel): Read feed name from process property.
5115 (newsticker--sentinel-work): Rename argument name to feed-name.
5116 Rename variable imageurl to image-url. Pick icon url from Atom
5117 1.0 data. Launch download of feed icon.
5118 (newsticker--get-icon-url-atom-1.0): New.
5120 (newsticker--unxml-node)
5121 (newsticker--unxml-attribute): Documentation.
5122 (newsticker--icons-dir): New.
5123 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
5124 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
5125 (newsticker--image-download-by-wget): New. Use process properties
5126 for storing informations.
5127 (newsticker--image-sentinel): Read informations from process properties.
5128 (newsticker--image-save)
5129 (newsticker--image-remove)
5130 (newsticker--image-download-by-url)
5131 (newsticker--image-download-by-url-callback): New.
5132 (newsticker-opml-export): Handle url list entries containing a
5133 function instead of an url string.
5135 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
5136 (newsticker--print-extra-elements)
5137 (newsticker--do-print-extra-element):
5138 Documentation (newsticker--image-read): Optionally limit image height.
5139 Use imagemagick if possible.
5140 (newsticker--icon-read): New.
5142 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
5143 (newsticker--treeview-tree-expand): Use feed icons in treeview.
5144 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
5145 (newsticker--tree-widget-leaf-icon): Use feed icon.
5147 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
5149 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
5150 Use help-function-arglist instead.
5152 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
5153 (eieio--with-scoped-class): Use `declare'.
5154 (eieio-defclass): Remove compatibility code.
5155 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
5156 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
5158 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
5160 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
5162 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
5163 replacements to stock names before stock names in a list.
5164 Cdr may be a list, each name is tried in turn until one is found.
5166 2014-10-18 Alan Mackenzie <acm@muc.de>
5168 Check that a "macro" found near point-min isn't a ## operator.
5170 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
5171 (c-beginning-of-macro): Use the above new function.
5173 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
5175 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
5176 correct data to `gnutls-boot' (Bug#18664).
5177 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
5179 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
5181 * whitespace.el (whitespace-style, whitespace-big-indent)
5182 (whitespace-big-indent-regexp, whitespace-style-value-list)
5183 (whitespace-toggle-option-alist, whitespace-interactive-char)
5184 (whitespace-toggle-options)
5185 (global-whitespace-toggle-options, whitespace-help-text)
5186 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
5187 style to `whitespace-mode' to indicate that the line indentation
5188 is too deep. By default, 32 SPACEs or four TABs are considered
5189 too many but `whitespace-big-indent-regexp' can be configured.
5191 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
5193 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
5196 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
5198 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
5199 (defclass, defgeneric, defmethod): Add doc-string position.
5200 (with-slots): Require cl-lib.
5202 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
5203 (list-of): New type.
5204 (eieio--typep): Remove.
5205 (eieio-perform-slot-validation): Use cl-typep instead.
5207 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
5209 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
5211 2014-10-16 Alan Mackenzie <acm@muc.de>
5213 Trigger showing when point is in the "periphery" of a line or just
5215 * paren.el (show-paren-style, show-paren-delay)
5216 (show-paren-priority, show-paren-ring-bell-on-mismatch):
5217 Remove superfluous :group specifications.
5218 (show-paren-when-point-inside-paren)
5219 (show-paren-when-point-in-periphery): New customizable variables.
5220 (show-paren-highlight-openparen): Make into a defcustom.
5221 (show-paren--unescaped-p, show-paren--categorize-paren)
5222 (show-paren--locate-near-paren): New defuns.
5223 (show-paren--default): Refaactor and trigger on more paren
5225 (show-paren-function): Small consequential changes.
5227 2014-10-16 Tom Tromey <tom@tromey.com>
5229 * files.el (auto-mode-alist): Use javascript-mode for .jsm
5232 2014-10-16 Eli Zaretskii <eliz@gnu.org>
5234 * international/characters.el (bracket-type): Force pre-loading of
5237 2014-10-16 Alan Mackenzie <acm@muc.de>
5239 * cus-edit.el (custom-command-apply): Specify the return value in
5241 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
5242 custom-command-apply has returned non-nil.
5244 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
5246 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
5247 Remove incorrect handling of eldoc-print-after-edit.
5248 (eldoc-message-commands, eldoc-last-data): Use defvar.
5249 * loadup.el (emacs-lisp/eldoc): Load it.
5251 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
5253 (m4--quoted-p): New function.
5254 (m4-font-lock-keywords): Don't handle #..\n comments any more.
5255 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
5256 for most special characters.
5258 * progmodes/compile.el (compilation--previous-directory): Simplify.
5259 (compilation-next-error): Ensure the parse before we look at
5260 compilation-message property.
5262 2014-10-15 Eli Zaretskii <eliz@gnu.org>
5264 * simple.el (what-cursor-position):
5265 * descr-text.el (describe-char): Update to support the new bidi
5268 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
5269 Force bidi-paragraph-direction to 'left-to-right'. This fixes
5270 buffer-menu display when the first buffer happens to start with
5273 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
5275 * progmodes/elisp-mode.el (elisp--local-variables-1):
5276 Handle quoted expressions (bug#18688).
5278 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
5279 Michael Albinus <michael.albinus@gmx.de>
5281 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5282 Reduce the amount of set environment variable commands.
5284 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
5286 Fix import completion. (Bug#18582)
5287 * progmodes/python.el (python-shell-completion-get-completions):
5288 Fix import case regexp.
5290 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
5292 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
5293 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
5294 * progmodes/prolog.el (prolog-electric--underscore): Same.
5296 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
5298 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
5300 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
5302 * cus-start.el (all): Add missing ns and boolean to
5303 ns-use-fullscreen-animation.
5305 2014-10-11 Leo Liu <sdl.web@gmail.com>
5307 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
5309 (cfengine3-create-imenu-index): New function.
5310 (cfengine3-mode): Use it for `imenu-create-index-function'.
5311 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
5314 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
5316 * cus-start.el (all): Add ns-use-fullscreen-animation.
5318 2014-10-11 Glenn Morris <rgm@gnu.org>
5320 * calendar/diary-lib.el (diary-display-function):
5321 Drop support for deprecated nil and list forms.
5322 (diary-list-entries): Update for the above.
5323 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
5325 2014-10-10 Leo Liu <sdl.web@gmail.com>
5327 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
5330 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
5332 * select.el (gui-selection-exists-p-alist): New method.
5333 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
5334 * simple.el (deactivate-mark): Use it.
5335 * term/x-win.el (gui-selection-exists-p):
5336 * term/w32-win.el (gui-selection-exists-p):
5337 * term/pc-win.el (gui-selection-exists-p):
5338 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
5340 2014-10-10 Glenn Morris <rgm@gnu.org>
5342 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
5343 Fix :type. Allow t to mean no limit.
5344 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
5346 2014-10-09 Glenn Morris <rgm@gnu.org>
5348 * frame.el (display-monitor-attributes-list): Doc tweaks.
5350 2014-10-09 Eli Zaretskii <eliz@gnu.org>
5352 * faces.el (display-grayscale-p): Mention in the doc string that
5353 the argument can be either a display name or a frame.
5355 * frame.el (display-pixel-height, display-pixel-width)
5356 (display-mm-height, display-mm-width, display-backing-store)
5357 (display-save-under, display-planes, display-color-cells)
5358 (display-visual-class, display-monitor-attributes-list)
5359 (display-screens): Mention in the doc string that the argument can
5360 be either a display name or a frame. Improve the docs of the
5361 monitor attributes. (Bug#18636)
5363 2014-10-09 Martin Rudalics <rudalics@gmx.at>
5365 * term.el (term-window-width): Subtract 1 from the width when
5366 any fringe has zero width, not just the right fringe. (Bug#18601)
5368 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
5370 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
5372 2014-10-08 Leo Liu <sdl.web@gmail.com>
5374 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
5376 2014-10-08 Glenn Morris <rgm@gnu.org>
5378 * calendar/cal-x.el (calendar-dedicate-diary):
5379 Drop support for recently deleted aliases.
5381 2014-10-08 Leo Liu <sdl.web@gmail.com>
5383 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
5384 Always return a syntax. Replace call-process-shell-command with
5385 process-file. Ensure cfengine-mode-syntax-functions-regex is
5386 always set. Ensure cache when cfengine-cf-promises fails.
5389 2014-10-07 Glenn Morris <rgm@gnu.org>
5391 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
5393 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
5395 Sync with upstream verilog-mode revision c075a492.
5396 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
5397 (verilog-menu): Add AUTOINSERTLAST.
5398 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
5399 is nil, fix indenting initial/final to match always statements, bug825.
5400 Reported by Tim Clapp.
5401 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
5402 bug557. Reported by ZeDong Mao and Jason Forkey.
5403 (verilog-read-decls): Fix parsing typed interfaces.
5404 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
5405 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
5406 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
5407 Reported by Pierre-David Pfister.
5408 (verilog-auto-insert-lisp): Doc fix.
5409 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
5410 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
5411 (verilog-sk-ovm-class, verilog-sk-uvm-object)
5412 (verilog-sk-uvm-component): Fix missing string keyword in class
5413 skeletons, bug824. Reported by eldad faruhi.
5415 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
5417 * term/w32-win.el: Move all code from 32-common-fns.el here.
5418 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
5419 console as well (bug#18629).
5420 * w32-common-fns.el: Remove.
5421 * loadup.el: Don't load w32-common-fns.el.
5422 * w32-fns.elc: Don't require w32-common-fns.
5424 * icomplete.el: Move Iswitchb autoload here. Much simpler.
5425 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
5426 Remove redundant obsolescence thingy.
5427 * loadup.el: Don't load obsolete/loaddefs.el.
5428 * Makefile.in (obsolete-autoloads): Remove.
5429 (AUTOGENEL): Remove obsolete/loaddefs.el.
5431 2014-10-06 Glenn Morris <rgm@gnu.org>
5433 * Makefile.in (obsolete-autoloads): Write to a separate file,
5434 to workaround autoloads bug. (Bug#17407)
5435 (AUTOGENEL): Add obsolete/loaddefs.el.
5436 * loadup.el: Load obsolete/loaddefs.el if present.
5437 * subr.el (do-after-load-evaluation):
5438 Don't warn about obsolete/loaddefs.el.
5440 * menu-bar.el (menu-bar-games-menu): Remove landmark.
5441 It has zero relationship to a game.
5443 2014-10-06 Leo Liu <sdl.web@gmail.com>
5445 * imenu.el (imenu): Re-write for clarity.
5447 2014-10-06 Glenn Morris <rgm@gnu.org>
5449 Remove calendar code obsolete since at least version 23.1.
5450 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
5451 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
5452 (calendar-goto-bahai-date, list-bahai-diary-entries)
5453 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
5454 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
5455 (insert-yearly-bahai-diary-entry):
5456 * calendar/cal-china.el (chinese-calendar-time-zone)
5457 (chinese-calendar-location-name)
5458 (chinese-calendar-daylight-time-offset)
5459 (chinese-calendar-standard-time-zone-name)
5460 (chinese-calendar-daylight-time-zone-name)
5461 (chinese-calendar-daylight-savings-starts)
5462 (chinese-calendar-daylight-savings-ends)
5463 (chinese-calendar-daylight-savings-starts-time)
5464 (chinese-calendar-daylight-savings-ends-time)
5465 (chinese-calendar-celestial-stem)
5466 (chinese-calendar-terrestrial-branch)
5467 (calendar-absolute-from-chinese, calendar-print-chinese-date)
5468 (calendar-goto-chinese-date):
5469 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
5470 (calendar-print-coptic-date, coptic-prompt-for-date)
5471 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
5472 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
5473 * calendar/cal-french.el (calendar-absolute-from-french)
5474 (calendar-print-french-date, calendar-goto-french-date):
5475 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
5476 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
5477 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
5478 (holiday-rosh-hashanah-etc, holiday-hanukkah)
5479 (holiday-passover-etc, holiday-tisha-b-av-etc)
5480 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
5481 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
5482 (insert-monthly-hebrew-diary-entry)
5483 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
5484 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
5485 (diary-sabbath-candles):
5486 * calendar/cal-islam.el (calendar-absolute-from-islamic)
5487 (calendar-print-islamic-date, calendar-goto-islamic-date)
5488 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
5489 (mark-islamic-diary-entries, insert-islamic-diary-entry)
5490 (insert-monthly-islamic-diary-entry)
5491 (insert-yearly-islamic-diary-entry):
5492 * calendar/cal-iso.el (calendar-absolute-from-iso)
5493 (calendar-print-iso-date, calendar-iso-read-args)
5494 (calendar-goto-iso-date, calendar-goto-iso-week):
5495 * calendar/cal-julian.el (calendar-absolute-from-julian)
5496 (calendar-print-julian-date, calendar-goto-julian-date)
5497 (calendar-absolute-from-astro, calendar-print-astro-day-number)
5498 (calendar-goto-astro-day-number):
5499 * calendar/cal-mayan.el (calendar-print-mayan-date)
5500 (calendar-next-haab-date, calendar-previous-haab-date)
5501 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
5502 (calendar-next-calendar-round-date)
5503 (calendar-previous-calendar-round-date)
5504 (calendar-absolute-from-mayan-long-count)
5505 (calendar-goto-mayan-long-count-date):
5506 * calendar/cal-move.el (scroll-calendar-left)
5507 (scroll-calendar-right, scroll-calendar-left-three-months)
5508 (scroll-calendar-right-three-months):
5509 * calendar/cal-persia.el (calendar-absolute-from-persian)
5510 (calendar-print-persian-date, persian-prompt-for-date)
5511 (calendar-goto-persian-date):
5512 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
5513 * calendar/calendar.el (view-diary-entries-initially)
5514 (mark-diary-entries-in-calendar, calendar-today-face)
5515 (diary-face, holiday-face, view-calendar-holidays-initially)
5516 (mark-holidays-in-calendar, initial-calendar-window-hook)
5517 (today-visible-calendar-hook, today-invisible-calendar-hook)
5518 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
5519 (bahai-diary-entry-symbol, american-date-diary-pattern)
5520 (european-date-diary-pattern, european-calendar-display-form)
5521 (american-calendar-display-form, holidays-in-diary-buffer)
5522 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
5523 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
5524 (fancy-diary-buffer, increment-calendar-month)
5525 (extract-calendar-month, extract-calendar-day)
5526 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
5527 (mark-visible-calendar-date, calendar-version):
5528 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
5529 (diary-display-hook, list-diary-entries-hook)
5530 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
5531 (nongregorian-diary-marking-hook, print-diary-entries-hook)
5532 (abbreviated-calendar-year, number-of-diary-entries)
5533 (view-other-diary-entries, add-to-diary-list)
5534 (include-other-diary-files, simple-diary-display)
5535 (fancy-diary-display, print-diary-entries, mark-diary-entries)
5536 (mark-sexp-diary-entries, mark-included-diary-files)
5537 (mark-calendar-days-named, mark-calendar-month)
5538 (mark-calendar-date-pattern, sort-diary-entries)
5539 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
5540 (insert-weekly-diary-entry, insert-monthly-diary-entry)
5541 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
5542 (insert-block-diary-entry, insert-cyclic-diary-entry)
5543 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
5544 * calendar/holidays.el (general-holidays, oriental-holidays)
5545 (local-holidays, other-holidays, hebrew-holidays)
5546 (christian-holidays, islamic-holidays, bahai-holidays)
5547 (solar-holidays, list-calendar-holidays)
5548 (check-calendar-holidays, mark-calendar-holidays)
5549 (filter-visible-calendar-holidays):
5550 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
5551 (diary-phases-of-moon): Remove obsolete aliases.
5552 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
5553 * calendar/cal-x.el (calendar-one-frame-setup)
5554 (calendar-only-one-frame-setup, calendar-two-frame-setup):
5555 Remove obsolete functions.
5556 (cal-x-load-hook): Remove obsolete hook.
5557 * calendar/calendar.el (european-calendar-style):
5558 Remove obsolete variable.
5559 (calendar-date-style): No longer consult european-calendar-style.
5560 * calendar/calendar.el (european-calendar, american-calendar):
5561 Remove obsolete commands.
5562 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
5563 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
5564 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
5565 Use the face `diary' instead of the variable `diary-face'.
5566 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
5567 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
5568 * calendar/icalendar.el (icalendar--date-style): Remove function.
5569 Replace all uses with calendar-date-style.
5570 * textmodes/remember.el (calendar-date-style): Declare.
5571 (remember-diary-convert-entry):
5572 No longer consult european-calendar-style.
5574 2014-10-05 Leo Liu <sdl.web@gmail.com>
5576 * imenu.el (imenu-default-goto-function): Fix typo.
5578 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
5580 * net/ntlm.el (ntlm-build-auth-request):
5581 Add NTLM2 Session support. (Bug#15603)
5583 2014-10-04 Glenn Morris <rgm@gnu.org>
5585 * apropos.el (apropos-symbols-internal):
5586 Avoid error with non-symbol properties. (Bug#18337#16)
5588 * startup.el (command-line):
5589 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
5591 2014-10-04 Martin Rudalics <rudalics@gmx.at>
5593 * window.el (window-full-height-p): Make it behave correctly for
5595 (window-current-scroll-bars): Fix code.
5596 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
5598 * frame.el (frame-current-scroll-bars): Fix doc-string.
5599 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
5601 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
5603 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
5605 2014-10-04 Glenn Morris <rgm@gnu.org>
5607 * frame.el (frame-monitor-attributes)
5608 (display-monitor-attributes-list): Doc fixes.
5610 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
5612 Merge trivially safe differences from standalone CC-mode.
5613 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
5614 just to then pass it to `symbol-value'.
5615 (prog-mode): Provide fallback definition, if needed.
5616 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
5617 Remove "cl-" prefix accordingly.
5618 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
5619 characterp depending on the type of characters.
5620 (c-font-lock-enum-tail): Remove unused var `start'.
5621 * progmodes/cc-engine.el: Load CL at compile-time.
5622 (c-declare-lang-variables): Use mapcan.
5623 (c-append-to-state-cache): Remove unused var `ce+1'.
5624 (c-parse-state-state): Make buffer-local.
5625 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
5626 (c-just-after-func-arglist-p): Remove unused var `end'.
5627 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
5628 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
5629 (c-make-keywords-re): Use delete-dups.
5630 (c-get-current-file): Avoid file-name-base.
5631 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
5632 `close-paren-inserted'.
5633 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
5635 * progmodes/python.el: Avoid building unneeded markers.
5636 (python-font-lock-keywords, python-indent-dedent-line)
5637 (python-fill-paren, python-shell-completion-complete-or-indent):
5638 Prefer point over point-marker.
5639 (inferior-python-mode): Remove redundant completion settings.
5641 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
5643 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
5644 (vc-svn-ignore): Use it. (Bug#18619)
5646 2014-10-03 Martin Rudalics <rudalics@gmx.at>
5648 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
5649 In doc-string mention need to set `frame-resize-pixelwise'.
5651 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
5653 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
5654 similarly to Rogers's 2010-06-16 change for the remote case
5657 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
5659 New gui-selection-value consolidating x-selection-value.
5660 * select.el (gui-selection-value-alist): New method.
5661 (gui-selection-value): New function.
5662 (x-selection-value): Make it an obsolete alias.
5663 * simple.el (interprogram-paste-function): Default to
5664 gui-selection-value.
5665 * w32-common-fns.el (w32-get-selection-value): Simplify.
5666 (x-selection-value): Remove alias.
5667 (interprogram-paste-function): Don't set.
5668 (gui-selection-value): Define for w32.
5669 * term/x-win.el (gui-selection-value): Define for x.
5670 (x--selection-value): Rename from x--selection-value.
5671 (interprogram-paste-function): Don't set.
5672 * term/pc-win.el (w16-get-selection-value): Simplify.
5673 (msdos-initialize-window-system): Don't set
5674 interprogram-paste-function.
5675 (gui-selection-value): Define for pc.
5676 * term/ns-win.el (x-selection-value): Remove.
5677 (gui-selection-value): Define for ns, instead.
5678 * term/common-win.el (x-setup-function-keys): Don't set
5679 interprogram-paste-function.
5680 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
5681 Use gui-selection-value.
5683 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
5685 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
5687 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5689 * obsolete/lucid.el (read-number): Remove, redundant.
5690 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
5693 2014-10-02 Glenn Morris <rgm@gnu.org>
5695 * emacs-lisp/package.el (package-import-keyring):
5696 Create gnupg directory private. (Bug#17625#155)
5698 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5700 * progmodes/python.el (python-shell-completion-get-completions):
5701 Use python-shell--prompt-calculated-input-regexp from the
5702 process buffer (bug#18582).
5703 Don't assume that `line' comes from the process buffer.
5705 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5707 * frame.el: Use lexical-binding (bug#18598).
5708 (make-frame): Use t rather than nil for tty's window-system.
5709 * startup.el (command-line): Use gui-method.
5711 Consolidate management/ownership of selections.
5712 * select.el (gui-get-selection-alist): New method.
5713 (gui-get-selection): Use it. Rename from x-get-selection.
5714 (x-get-selection): Define as obsolete alias.
5715 (x-get-clipboard): Mark obsolete.
5716 (gui-get-primary-selection): New function.
5717 (x-get-selection-value): Mark obsolete.
5718 (gui-own-selection-alist, gui-disown-selection-alist)
5719 (gui-selection-owner-p-alist): New methods.
5720 (gui-set-selection): Use them. Rename from x-set-selection.
5721 (x-set-selection): Define as obsolete alias.
5722 (gui--valid-simple-selection-p): Rename from
5723 x-valid-simple-selection-p.
5724 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
5725 (gui-selection-owner-p, gui-get-selection): Define for w32.
5726 (w32-get-selection-value): Rename from x-get-selection-value.
5727 Use the new gui-last-selected-text.
5728 * term/x-win.el (x-get-selection-value): Remove.
5729 (x-clipboard-yank): Declare obsolete.
5730 (gui-own-selection, gui-disown-selection, gui-get-selection)
5731 (gui-selection-owner-p): Define for x.
5732 * term/w32-win.el (w32-win-suspend-error): Rename from
5733 x-win-suspend-error.
5734 * term/pc-win.el (w16-get-selection-value): Rename from
5735 x-get-selection-value.
5736 (w16-selection-owner-p): Rename from x-selection-owner-p.
5737 (gui-own-selection, gui-disown-selection, gui-get-selection)
5738 (gui-selection-owner-p): Define for pc.
5739 (w16--select-text): New function.
5740 * term/ns-win.el (gui-own-selection, gui-disown-selection)
5741 (gui-get-selection, gui-selection-owner-p): Define for ns.
5742 * term.el (term-mouse-paste):
5743 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
5745 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
5747 * calc/calc-help.el (calc-describe-thing): Quote strings
5748 which could look like regexps.
5750 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
5752 Consolidate x-select-text.
5753 * frame.el (gui-method, gui-method-define, gui-method-declare)
5754 (gui-call): New macros.
5755 (gui-method--name): New function.
5756 (frame-creation-function-alist): Use gui-method-declare.
5757 (make-frame): Use gui-method.
5758 * select.el (gui-select-enable-clipboard): Rename from
5759 x-select-enable-clipboard and move here.
5760 (x-select-enable-clipboard): Define as obsolete alias.
5761 (gui-last-selected-text): New var, to replace x-last-selected-text.
5762 (gui-select-text): New GUI method.
5763 (gui-select-text): New function.
5764 (x-select-text): Define as obsolete alias.
5765 * term/common-win.el (x-select-enable-clipboard, x-select-text):
5767 * simple.el (interprogram-cut-function): Change default to
5769 (interprogram-paste-function): Change default to `ignore'.
5770 * w32-common-fns.el (interprogram-cut-function): Don't modify.
5771 * term/x-win.el (interprogram-cut-function): Don't modify.
5772 (gui-select-text): Add method for x.
5773 * term/w32-win.el (gui-select-text): Add method for w32.
5774 * term/pc-win.el (x-last-selected-text): Remove, use
5775 gui-last-selected-text instead.
5776 (msdos-initialize-window-system): Don't set interprogram-cut-function.
5777 (gui-select-text): Add method for pc.
5778 * term/ns-win.el (ns-last-selected-text): Remove, use
5779 gui-last-selected-text instead.
5780 (gui-select-text): Add method for ns.
5781 (x-setup-function-keys): Don't change interprogram-cut-function.
5782 * loadup.el ("startup"): Load after "frame".
5783 * subr.el (package--builtin-versions, package--description-file):
5784 Move from startup.el.
5785 * startup.el (package--builtin-versions, package--description-file):
5787 (handle-args-function-alist, window-system-initialization-alist):
5788 Use gui-method-declare.
5789 (command-line): Use gui-method.
5791 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
5793 * subr.el (alist-get): New accessor.
5794 * emacs-lisp/gv.el (alist-get): Provide expander.
5795 * winner.el (winner-remember):
5796 * tempo.el (tempo-use-tag-list):
5797 * progmodes/gud.el (minor-mode-map-alist):
5798 * international/mule-cmds.el (define-char-code-property):
5799 * frameset.el (frameset-filter-params):
5800 * files.el (dir-locals-set-class-variables):
5801 * register.el (get-register, set-register):
5802 * calc/calc-yank.el (calc-set-register): Use it.
5803 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
5804 * tooltip.el (tooltip-set-param): Mark as obsolete.
5805 (tooltip-show): Use alist-get instead.
5806 * ses.el (ses--alist-get): Remove. Use alist-get instead.
5808 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
5810 * net/newst-backend.el: Remove Time-stamp. Rename variable
5811 `newsticker--download-logos' to `newsticker-download-logos' and
5812 make it customizable.
5813 (newsticker--sentinel-work): Move xml-workarounds to function
5814 `newsticker--do-xml-workarounds', call unless libxml-parser is
5815 used. Allow single quote in regexp for encoding.
5816 Use libxml-parser if available, else fall back to `xml-parse-region'.
5817 Take care of possibly missing namespace prefixes (like "RDF"
5818 instead of "rdf:RDF") when checking xml nodes and attributes (as
5819 libxml correctly removes the prefixes). Always use Atom 1.0 as
5820 fallback feed type. Rename `newsticker--download-logos' to
5821 `newsticker-download-logos'
5822 (newsticker--unxml, newsticker--unxml-node)
5823 (newsticker--unxml-attribute): New.
5824 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
5825 HTML code has become part of the xml parse tree.
5826 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
5827 of possibly missing namespace prefixes.
5828 (newsticker--parse-generic-items): Code formatting. Typo.
5829 (newsticker--images-dir): Add trailing slash.
5830 (newsticker--image-get): Fix error message.
5832 * net/newst-plainview.el: Remove Time-stamp.
5834 * net/newst-reader.el: Remove Time-stamp.
5835 (newsticker-download-logos): Rename variable
5836 `newsticker--download-logos' to `newsticker-download-logos' and
5837 make it customizable.
5838 (newsticker--print-extra-elements): Add optional parameter
5839 'htmlish for using html markup. Amend list of ignored elements.
5840 (newsticker--do-print-extra-element): Add parameter 'htmlish for
5843 * net/newst-ticker.el: Remove Time-stamp.
5845 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
5846 for formatting extra elements.
5848 * net/newsticker.el: Remove Time-stamp, Version.
5849 (newsticker-version): Make obsolete.
5851 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
5853 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
5856 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5858 * emacs-lisp/package.el (package-check-signature): Default to nil if
5859 GPG is not available.
5860 (package-refresh-contents): Don't mess with the keyring if we won't
5861 check the signatures anyway.
5863 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5865 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
5866 (ses-center, ses-center-span): Use them.
5867 (ses-print-cell): Bind them while calling the printer.
5868 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
5869 (ses-dorange): Revert last change.
5870 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
5872 (ses-set-cell): Avoid `eval'.
5873 (ses--time-check): Rename it from ses-time-check and turn it into
5876 * ses.el (ses-setup): Don't assume modifying the iteration var of
5877 dotimes affects the iteration (bug#18191).
5879 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
5881 * ses.el (ses-calculate-cell): Bind row and col dynamically to
5882 their values with 'cl-progv'.
5883 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
5884 their values with 'cl-progv', also use non-interned symbols for
5885 row, minrow, maxrow, mincol and maxcol.
5886 (maxrow maxcol): New defvar, to make the compiler happy.
5888 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5890 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
5891 completion functions.
5893 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
5895 * ses.el (ses--letref): Quote value before it gets re-evaluated.
5897 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
5899 Font-lock `cl-flet*', too.
5900 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
5901 Add "flet*" to intermediate var `cl-lib-kw'.
5903 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
5905 * epg-config.el (epg-gpg-program): Use the plain program names rather
5906 than their absolute file name.
5908 * subr.el (track-mouse): New macro.
5909 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
5910 Remove track-mouse case.
5911 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
5913 2014-09-27 Leo Liu <sdl.web@gmail.com>
5915 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
5917 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
5919 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
5921 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
5922 Handle the case where `match' is :pcase--succeed or :pcase--fail
5925 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
5926 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
5927 (eldoc-schedule-timer): Obey it.
5928 (eldoc-documentation-function): Default to nil.
5929 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
5930 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
5931 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
5932 (eldoc-last-data-store, eldoc-docstring-first-line)
5933 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
5934 (eldoc-beginning-of-sexp, eldoc-current-symbol)
5935 (eldoc-function-argstring): Move to elisp-mode.el.
5936 (eldoc-symbol-function): Remove, unused.
5937 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
5938 (elisp-completion-at-point): Rename from lisp-completion-at-point.
5939 (elisp--preceding-sexp): Rename from preceding-sexp.
5940 * loadup.el: Load new file progmodes/elisp-mode.
5941 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
5942 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
5943 (lisp--local-variables-completion-table, lisp--expect-function-p)
5944 (lisp--form-quoted-p, lisp--company-doc-buffer)
5945 (lisp--company-doc-string, lisp--company-location)
5946 (lisp-completion-at-point): Move to elisp-mode.el.
5947 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
5948 extracted from emacs-lisp-mode-syntax-table.
5949 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
5951 (lisp-imenu-generic-expression): Add comments to document what comes
5952 from which Lisp dialect.
5953 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
5954 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
5955 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
5956 (emacs-lisp-byte-code-comment)
5957 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
5958 (lisp-interaction-mode-map, lisp-interaction-mode)
5959 (eval-print-last-sexp, last-sexp-setup-props)
5960 (last-sexp-toggle-display, prin1-char, preceding-sexp)
5961 (eval-last-sexp-1, eval-last-sexp-print-value)
5962 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
5963 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
5965 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
5967 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
5968 Do not match file names that end in '/', as they cannot be 'grep'
5969 hits nowadays. This prevents confusion when 'grep -r' reports a
5970 match in a file whose basename is ':12345:'. Conversely, do not
5971 require exactly the same sequence of spaces and tabs after both
5972 colons, and allow spaces or tabs before the second colon, as per
5973 the POSIX spec for 'grep' output.
5975 2014-09-26 Leo Liu <sdl.web@gmail.com>
5977 Add cl-parse-integer based on parse-integer (Bug#18557)
5978 * calendar/parse-time.el (parse-time-digits): Remove.
5979 (digit-char-p, parse-integer) Moved to cl-lib.el.
5980 (parse-time-tokenize, parse-time-rules, parse-time-string):
5981 Use cl-parse-integer.
5983 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
5985 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
5986 (cl-digit-char-p): New function.
5988 2014-09-25 Juri Linkov <juri@jurta.org>
5990 * vc/add-log.el (change-log-next-buffer): Don't create an empty
5991 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
5992 Return the current buffer if no files match the default pattern
5993 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
5995 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
5997 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
5998 the global vc-handled-backends (bug#18535).
6000 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
6002 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
6003 Suggested by <lompik@voila.fr>.
6005 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
6007 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
6008 Rename from `newsticker--treeview-do-get-node'.
6009 (newsticker--treeview-get-node-by-id):
6010 Rename from `newsticker--treeview-get-node'.
6011 (newsticker--treeview-buffer-init)
6012 (newsticker--treeview-buffer-init): Disable buffer undo.
6013 (newsticker--treeview-unfold-node): Adapt to modified
6014 `newsticker--group-find-parent-group'.
6015 (newsticker--group-do-find-group):
6016 Rename from `newsticker--group-do-find-group-for-feed'.
6017 Now works for both, groups and feeds.
6018 (newsticker--group-find-parent-group):
6019 Rename from `newsticker--group-find-group-for-feed'.
6020 Now works for both, groups and feeds.
6021 (newsticker--group-do-get-parent-group)
6022 (newsticker--group-get-parent-group): Remove.
6023 (newsticker-group-add-group): Change interactive prompts.
6024 (newsticker-group-add-group): Finally jump to added group.
6025 (newsticker-group-delete-group): Finally jump to current feed.
6026 (newsticker--group-do-rename-group, newsticker-group-rename-group)
6027 (newsticker--get-group-names, newsticker--group-names): New.
6028 (newsticker-group-move-feed): Finally jump to moved feed.
6029 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
6030 (newsticker-group-shift-group-down)
6031 (newsticker-group-shift-group-up, newsticker--group-shift): New.
6032 (newsticker-treeview-mode-map): New keybindings for new shift commands.
6034 * net/newst-backend.el (newsticker--item-list)
6035 (newsticker--item-position, newsticker--prev-message)
6036 (newsticker--scrollable-text): Move to newst-ticker.el.
6038 * net/newst-ticker.el (newsticker--item-list)
6039 (newsticker--item-position, newsticker--prev-message)
6040 (newsticker--scrollable-text): Move from newst-backend.el.
6042 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
6044 * window.el (fit-window-to-buffer): When counting buffer width,
6045 count the whole visible buffer. Correctly convert the body-height
6046 to pixel size for window-text-pixel-size (Bug#18498).
6048 2014-09-22 Sam Steingold <sds@gnu.org>
6050 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
6051 (sql-execute): Use `special-mode'.
6053 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6055 Add pcase-defmacro, as well as `quote' and `app' patterns.
6056 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
6057 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
6058 (pcase--funcall, pcase--eval): New functions.
6059 (pcase--u1): Use them for guard, pred, let, and app.
6060 (\`): Use the new feature to generate better code for vector patterns.
6061 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
6062 (pcase--upat): Remove.
6063 (pcase--macroexpand): Don't hardcode handling of `.
6064 (pcase--split-consp, pcase--split-vector): Remove.
6065 (pcase--split-equal): Disregard ` since it's expanded away.
6066 (pcase--split-member): Optimize for quote rather than for `.
6067 (pcase--split-pred): Optimize for quote rather than for `.
6068 (pcase--u1): Remove handling of ` (and of `or' and `and').
6069 Quote non-selfquoting values when passing them to `eq'.
6070 Drop `app's let-binding if the variable is not used.
6071 (pcase--q1): Remove.
6072 (`): Define as a pattern macro.
6073 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
6074 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
6075 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
6077 (pcase--split-match): Don't hoist or/and here any more.
6078 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
6079 (pcase--flip): New helper macro.
6080 (pcase--u1): Optimize the memq case directly.
6081 Don't handle neither self-quoting nor and/or patterns any more.
6082 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
6083 (pcase--macroexpand): New function.
6084 (pcase--expand): Use it.
6085 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
6086 New optimization functions.
6087 (pcase--u1): Add support for `quote' and `app'.
6088 (pcase): Document them in the docstring.
6090 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6092 Use lexical-bindin in Ibuffer.
6093 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
6094 (ibuffer-compile-format): Simplify.
6095 (ibuffer-clear-summary-columns): Simplify.
6096 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
6097 elem of dotimes when we don't refer to the iteration var from it.
6098 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
6099 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
6100 Silence byte-compiler.
6102 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6104 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
6105 expression for a list.
6107 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
6108 for functions with no arguments.
6110 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
6111 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
6113 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
6115 * simple.el (clone-indirect-buffer): Mention the return value
6118 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
6119 Text mode in docstring (bug#18464).
6121 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
6123 * progmodes/perl-mode.el (perl-syntax-propertize-function):
6124 Accept underscores in identifiers after "sub" (bug#18502).
6126 2014-09-21 Tassilo Horn <tsdh@gnu.org>
6128 * textmodes/reftex-sel.el (reftex-select-label-mode)
6129 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
6130 from special-mode (instead of fundamental-mode) and propertize
6131 with font-lock-face instead of just face. (Bug#18496)
6133 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
6135 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
6137 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
6138 `table-etc' when `end' is non-nil.
6139 (lisp-completion-at-point): Move `end' back if it's after quote.
6140 If in comment or string, only complete when after backquote.
6142 (lisp-completion-at-point): Don't use
6143 `lisp--local-variables-completion-table' in the
6144 `lisp--form-quoted-p' case.
6146 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
6148 * emacs-lisp/lisp.el (lisp--expect-function-p)
6149 (lisp--form-quoted-p): New functions.
6150 (lisp-completion-at-point): Use them to see if we're completing a
6151 variable reference, a function name, or just any symbol.
6152 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
6154 2014-09-18 Ivan Kanis <ivan@kanis.fr>
6156 * net/shr.el, net/eww.el: Don't override `shr-width', but
6157 introduce a new variable `shr-internal-width'. This allows users
6158 to specify a width themselves.
6160 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
6162 * image-mode.el (image-toggle-display-image): If we have a
6163 `fit-width' or a `fit-height', don't limit the size of the image
6164 to the window size, because that doesn't preserve the aspect ratio.
6165 * image-mode.el: Move defvars earlier to avoid a byte-compilation
6168 2014-09-17 Reuben Thomas <rrt@sc3d.org>
6170 * progmodes/js.el: Add interpreter-mode-alist support for various
6171 JavaScript interpreters.
6173 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
6175 Don't assume 'grep' supports GREP_OPTIONS.
6176 The GREP_OPTIONS environment variable is planned to be marked
6177 obsolescent in GNU grep, due to problems in its use, so stop
6179 * progmodes/grep.el (grep-highlight-matches): Document this.
6180 (grep-process-setup): Do not set GREP_OPTIONS.
6181 (grep-compute-defaults): Use an explicit --color option if supported.
6183 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
6185 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
6186 Don't add outdated key-shortcut cache (bug#18482).
6188 2014-09-15 Glenn Morris <rgm@gnu.org>
6190 * image.el (image-multi-frame-p): Fix thinko - do not force
6191 a delay if none was specified. (Bug#18334)
6193 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
6195 * window.el (fit-window-to-buffer): Doc fix.
6197 2014-09-15 Ivan Shmakov <ivan@siamics.net>
6199 * desktop.el (desktop-create-buffer): Check that buffers are still live
6200 before burying them (bug#18373).
6202 2014-09-15 Glenn Morris <rgm@gnu.org>
6204 * calendar/diary-lib.el (diary-list-entries):
6205 Restore 24.3 display behavior. (Bug#18381)
6207 2014-09-15 Eli Zaretskii <eliz@gnu.org>
6209 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
6210 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
6211 discrepancy between window-pixel-edges and mouse events, and
6212 avoids moving mode line up when the mouse click is on the modeline
6213 and no drag is attempted.
6215 2014-09-14 Daniel Colascione <dancol@dancol.org>
6217 * register.el (insert-register): Change default interactive
6220 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
6222 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
6223 Suppress debug messages.
6225 * net/tramp.el (tramp-file-name-handler):
6226 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
6229 2014-09-13 Christopher Schmidt <ch@ristopher.com>
6231 * calendar/calendar.el (calendar-update-mode-line):
6232 Do not overwrite mode-line-format if calendar-mode-line-format is
6235 2014-09-13 Leo Liu <sdl.web@gmail.com>
6237 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
6238 (pcase--expand): Use it.
6239 (pcase-exhaustive): New macro. (Bug#16567)
6241 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
6242 Add pcase-exhaustive.
6244 2014-09-13 Eli Zaretskii <eliz@gnu.org>
6246 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
6247 using the specified transfer-encoding, if any, or 'undecided'.
6248 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
6249 broken at the window margin.
6251 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
6253 Support rendering of HTML parts in Rmail (bug#4258).
6254 * mail/rmailmm.el (rmail-mime-process): Handle text/html
6255 separately from other text/ types. Suppress tagline for
6257 (rmail-mime-parse): Don't change visibility of tagline here.
6258 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
6259 Handle text/html specially.
6260 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
6261 (rmail-mime-insert-html, rmail-mime-render-html-shr)
6262 (rmail-mime-render-html-lynx): New functions.
6263 (rmail-mime-fix-inserted-faces): New function.
6264 (rmail-mime-process-multipart): Find the best part to show
6265 following rmail-mime-prefer-html if set.
6266 (rmail-mime-searching): New variable.
6267 (rmail-search-mime-message): Bind rmail-mime-searching to
6268 suppress rendering while searching.
6270 2014-09-12 Sam Steingold <sds@gnu.org>
6272 * progmodes/sql.el (sql-product-alist): Add vertica.
6273 (sql-vertica-program, sql-vertica-options)
6274 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
6275 New functions and variables to support Vertica.
6276 Inspired by code by Roman Scherer <roman@burningswell.com>.
6278 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
6280 * ses.el (ses-file-format-extend-parameter-list): Rename from
6281 ses-file-format-extend-paramter-list, to correct a misspelling.
6284 2014-09-10 Alan Mackenzie <acm@muc.de>
6286 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
6287 gets loaded at run-time).
6288 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
6289 c-lang-const to c-make-no-parens-syntax-table and correct the
6291 (c-no-parens-syntax-table): Correct the logic of the
6294 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
6296 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
6298 * progmodes/cc-mode.el (c-basic-common-init):
6299 Set open-paren-in-column-0-is-defun-start.
6300 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
6301 Remove declarations, unused.
6302 (run-mode-hooks): Remove declaration.
6303 (font-lock-defaults): Use plain `defvar' to declare.
6304 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
6305 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
6306 (c-make-mode-syntax-table): Don't micro-optimize.
6307 (c-keywords, c-keyword-member-alist): Simplify.
6308 (c-kwds-lang-consts): Don't eval at compile-time.
6309 (c-primary-expr-regexp): Comment out unused vars.
6310 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
6311 (c-font-byte-compile): New var.
6312 (c--compile): New function. Use it instead of `byte-compile'.
6313 (c-cpp-matchers): Quote the value returned by
6314 `c-make-syntactic-matcher' in case it's not self-evaluating.
6315 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
6316 parentheses instead (in case MATCHER happens to be a list).
6317 (c-font-lock-enum-tail): Remove unused var `start'.
6318 (c-font-lock-objc-methods): Silence byte-compiler warnings.
6319 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
6320 test into an argument.
6321 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
6322 (c-get-char-property): Don't use `eval' just to unquote a constant.
6323 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
6325 (c-put-char-property-fun): Don't call `byte-compile' by hand.
6326 (c-clear-char-property, c-clear-char-properties): Check that `property'
6327 is a quoted constant.
6328 (c-emacs-features): Remove `infodock', `syntax-properties', and
6329 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
6330 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
6331 changing buffer, so we don't have to setq them again afterwards.
6332 (c-lang-const): Remove redundant symbolp assertions.
6333 (c-find-assignment-for-mode): Use `or'.
6334 * Makefile.in (compile-one-process): Remove cc-mode dependency.
6336 2014-09-09 Sam Steingold <sds@gnu.org>
6338 * progmodes/sql.el (sql-default-directory): Fix type annotation.
6340 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
6342 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
6343 Change doc comments into docstrings.
6344 * Makefile.in: Remove cc-awk dependency.
6346 2014-09-08 Sam Steingold <sds@gnu.org>
6348 * progmodes/sql.el (sql-send-line-and-next): New command,
6350 (sql-show-sqli-buffer): Display the buffer instead of its name and
6351 bind the command to C-c C-z.
6352 (sql-default-directory): New user option.
6353 (sql-product-interactive): Bind `default-directory' to it to
6354 enable remote connections using Tramp.
6355 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
6356 suitable buffer is available.
6358 2014-09-08 Glenn Morris <rgm@gnu.org>
6360 * calendar/calendar.el (calendar-basic-setup):
6361 Fix calendar-view-holidays-initially-flag and fancy display.
6362 * calendar/diary-lib.el (diary-live-p): Doc fix.
6364 * calendar/calendar.el (calendar-basic-setup):
6365 Avoid clobbering calendar with diary. (Bug#18381)
6367 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
6369 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
6371 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
6373 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
6374 as well (bug#18400).
6376 2014-09-08 Eli Zaretskii <eliz@gnu.org>
6378 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
6380 2014-09-06 Leo Liu <sdl.web@gmail.com>
6382 * emacs-lisp/pcase.el (pcase): Doc fix.
6383 (pcase--split-vector): New function.
6384 (pcase--q1): Support vector qpattern. (Bug#18327)
6386 2014-09-05 Sam Steingold <sds@gnu.org>
6388 * textmodes/tex-mode.el (tex-print-file-extension): New user
6390 (tex-print): Use it instead of the hard-coded string.
6392 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
6394 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
6395 Expand `default-directory'.
6397 2014-09-05 Martin Rudalics <rudalics@gmx.at>
6399 * scroll-bar.el (horizontal-scroll-bars-available-p):
6401 (horizontal-scroll-bar-mode): Rewrite using
6402 horizontal-scroll-bars-available-p.
6403 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
6404 horizontal-scroll-bars-available-p.
6406 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
6408 * subr.el (call-process-shell-command, process-file-shell-command):
6409 Make the `args' obsolete (bug#18409).
6410 (start-process-shell-command, start-file-process-shell-command):
6413 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
6415 * calc/calc-forms.el (math-normalize-hms): Do a better check for
6416 "negative" hms forms.
6418 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
6420 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
6421 returns nil (bug#18391).
6423 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
6425 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
6426 terminating paren (bug#18352).
6427 (eldoc-last-data-store): Return cached data.
6428 (eldoc-get-var-docstring): Avoid setq.
6429 (eldoc-get-fnsym-args-string): Clarify data flow.
6431 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
6433 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
6434 case where we're currently providing part of the &rest arg after some
6435 &key args, as in define-ibuffer-op (bug#18048).
6437 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
6439 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
6440 buffer-local setting of which-func-mode.
6441 (which-func-mode): Use defvar-local.
6442 (which-function-mode): Don't reset which-func-mode in each buffer since
6443 it might have been set by someone else.
6444 (which-func-update-ediff-windows): Check which-function-mode.
6446 2014-09-03 Martin Rudalics <rudalics@gmx.at>
6448 * frame.el (frame-initialize): Remove horizontal-scroll-bars
6449 from frame-initial-frame-alist.
6450 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
6451 (horizontal-scroll-bar-mode-explicit)
6452 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
6453 (toggle-horizontal-scroll-bar): Remove.
6454 (horizontal-scroll-bar-mode): Remove defcustom.
6455 (horizontal-scroll-bar-mode): Fix doc-string.
6456 (scroll-bar-toolkit-scroll)
6457 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
6459 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
6461 * emacs-lisp/package.el (package-generate-description-file):
6462 Properly quote the arguments (bug#18332). Change second arg.
6463 (package--alist-to-plist-args): Rename from package--alist-to-plist and
6465 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
6466 the *-pkg.el file. Adjust to new calling convention of
6467 package-generate-description-file.
6469 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
6470 (gud-gdb-completions): Remove obsolete workaround.
6472 2014-09-03 Eli Zaretskii <eliz@gnu.org>
6474 * subr.el (posn-col-row): Revert the change from commit
6475 2010-11-13T21:07:58Z!eliz@gnu.org, which
6476 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
6477 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
6478 introduced an off-by-one error in the reported row when there is a
6479 header line. (Bug#18384)
6481 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
6483 * progmodes/python.el (python-indent-post-self-insert-function):
6484 Avoid electric colon at beginning-of-defun. (Bug#18228)
6486 2014-09-03 Glenn Morris <rgm@gnu.org>
6488 * tutorial.el (tutorial--display-changes):
6489 Fix 2014-08-01 change. (Bug#18382)
6491 2014-09-03 Ken Brown <kbrown@cornell.edu>
6493 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
6494 the Cygwin-w32 build. (Bug#18347)
6496 2014-09-03 Glenn Morris <rgm@gnu.org>
6498 * tar-mode.el (tar--extract, tar-extract):
6499 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
6501 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
6503 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
6504 handle multiline elements (bug#18380).
6506 2014-09-01 Eli Zaretskii <eliz@gnu.org>
6508 * ls-lisp.el (ls-lisp-use-string-collate)
6509 (ls-lisp-UCA-like-collation): New defcustoms.
6510 (ls-lisp-string-lessp): Use them to control sorting by file
6512 (ls-lisp-version-lessp): New function.
6513 (ls-lisp-handle-switches): Use it to implement the -v switch of
6515 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
6517 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
6519 * ibuffer.el: Replace mode-specific quit function with
6520 `quit-window' via `special-mode'.
6521 (ibuffer-mode-map): Use keybindings from special-mode-map instead
6523 (ibuffer): Don't store previous windows configuration.
6524 Let `quit-window' handle restoring.
6525 (ibuffer-quit): Remove function. Use `quit-window' instead.
6526 (ibuffer-restore-window-config-on-quit): Remove variable.
6527 (ibuffer-prev-window-config): Remove variable.
6529 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
6531 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
6532 name instead of variable name in hook docstring. (Bug#18349)
6534 2014-08-29 Martin Rudalics <rudalics@gmx.at>
6536 * window.el (display-buffer-at-bottom): Prefer bottom-left
6537 window to other bottom windows. Reuse a bottom window if it
6538 shows the buffer already. Suggested by Juri Linkov
6539 <juri@jurta.org> in discussion of (Bug#18181).
6541 2014-08-29 Leo Liu <sdl.web@gmail.com>
6543 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
6544 append to minibuffer-setup-hook. (Bug#18341)
6546 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
6548 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
6550 (lookup-syntax-properties): Silence byte-compiler.
6551 (c-lang-defconst): Quote the code with `lambda' rather than with
6553 (c-lang-const): Avoid unneeded setq.
6554 (c-lang-constants-under-evaluation): Add docstring.
6555 (c-lang--novalue): New constant.
6556 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
6557 (c-get-lang-constant): Same here.
6558 Get the mode's value using `funcall' now that the code is quoted
6561 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
6563 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
6566 2014-08-28 Martin Rudalics <rudalics@gmx.at>
6568 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
6569 interpretation of `portion-whole'.
6571 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
6573 * net/tramp-adb.el: Spell author name correctly.
6575 2014-08-28 João Távora <joaotavora@gmail.com>
6577 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
6578 use url-expand-file-name. (Bug#18310)
6580 2014-08-28 Glenn Morris <rgm@gnu.org>
6582 * emulation/cua-rect.el (cua--highlight-rectangle):
6583 Avoid error at point-min. (Bug#18309)
6585 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
6587 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
6588 executable-find (bug#18244).
6590 * simple.el (self-insert-uses-region-functions): Defvar.
6592 2014-08-28 Glenn Morris <rgm@gnu.org>
6594 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
6596 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
6598 * startup.el (normal-top-level): Now use internal--top-level-message.
6600 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
6602 * startup.el (normal-top-level): Use top-level-message.
6604 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
6606 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
6607 URLs containing spaces and the like.
6609 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
6611 * subr.el (remq): Fix docstring (Bug#18253).
6613 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
6615 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
6617 2014-08-24 Alan Mackenzie <acm@muc.de>
6619 Handle C++11's "auto" and "decltype" constructions.
6620 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
6621 and return 'decltype.
6622 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
6623 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
6625 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
6627 (c-font-lock-c++-new): Handle "decltype" constructions.
6628 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
6629 New c-lang-defconsts/defvars.
6630 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
6631 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
6632 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
6633 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
6635 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
6636 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
6637 off from c->-op-cont-re.
6638 (c->-op-cont-tokens): Change to use the above.
6639 (c->-op-without->-cont-regexp): New lang-const.
6640 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
6641 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
6644 2014-08-23 Alan Mackenzie <acm@muc.de>
6646 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
6647 loop, bug #18306. The bug was introduced on 2014-08-02.
6649 2014-08-21 Eli Zaretskii <eliz@gnu.org>
6651 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
6652 Don't recognize a Top node if there are other sectioning commands
6653 earlier in the Texinfo file. This fixes a bug in
6654 texinfo-make-menu and avoids inflooping in
6655 texinfo-all-menus-update when they are invoked on texinfo.texi.
6657 2014-08-21 Martin Rudalics <rudalics@gmx.at>
6659 * window.el (window--side-window-p): New function.
6660 (split-window, window-splittable-p): Use window--side-window-p to
6661 determine whether WINDOW can be split (Bug#18304).
6662 * calendar/calendar.el (calendar-basic-setup): Fix one call of
6663 `window-splittable-p' and add another (Bug#18304).
6665 2014-08-20 Sam Steingold <sds@gnu.org>
6667 * progmodes/python.el (python-new-pythonpath): Extract from
6668 `python-shell-calculate-process-environment'.
6670 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
6672 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
6673 for &key args (bug#18048).
6675 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
6677 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
6678 (eldoc-function-argstring-format): Remove.
6679 (eldoc-function-argstring): Always return upcase args.
6680 Use help-make-usage. Don't add parens.
6681 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
6682 it's too late to do it right (bug#18048).
6684 2014-08-18 Eli Zaretskii <eliz@gnu.org>
6686 * scroll-bar.el (scroll-bar-horizontal-drag-1)
6687 (scroll-bar-toolkit-horizontal-scroll): When determining the
6688 paragraph direction, use the buffer of the window designated in
6691 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
6693 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
6694 context of unified diff.
6696 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
6698 Add dependencies to fix loaddefs race during parallel builds.
6699 Without this, for example, 'make -j bootstrap' can fail and report
6700 "Opening input file: no such file or directory,
6701 .../lisp/calendar/diary-loaddefs.el ... recipe for target
6702 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
6703 got confused because diary-loaddefs.el was being built in parallel.
6704 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
6705 Depend on $(CAL_DIR)/cal-loaddefs.el.
6706 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
6708 2014-08-16 Martin Rudalics <rudalics@gmx.at>
6710 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
6711 portion-whole for scrolling right-to-left text.
6713 2014-08-15 Leo Liu <sdl.web@gmail.com>
6715 * speedbar.el (speedbar-generic-list-tag-p): Allow special
6716 elements from imenu.
6718 2014-08-15 Glenn Morris <rgm@gnu.org>
6720 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
6722 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
6724 * progmodes/compile.el (compilation-error-regexp-alist-alist):
6725 Add Guile regexpses.
6727 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
6729 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
6730 add Guile debugger support for GUD.
6732 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
6734 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
6735 (mouse-sel--ignore): New function.
6736 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
6737 (mouse-sel-original-interprogram-cut-function)
6738 (mouse-sel-original-interprogram-paste-function): Remove.
6740 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
6742 * vc/vc-git.el (vc-git-resolve-when-done): New function.
6743 Call "git add" when there are no longer conflict markers.
6745 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6747 * vc/vc-git.el (vc-git-find-file-hook): New function.
6748 Adds support for calling smerge (and resolve) on a conflicted file.
6749 (vc-git-conflicted-files): New function.
6750 Useful in itself and a step towards better smerge support.
6752 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
6754 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
6755 to the first part if they're the same as the selection.
6757 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
6759 * image-mode.el (image-transform-reset): New command and menu item.
6760 (image-mode-map): Rearrange the menu items to put presumably more
6761 obscure items at the end.
6763 2014-08-12 Juri Linkov <juri@jurta.org>
6765 * vc/vc-annotate.el (vc-annotate-background-mode):
6766 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
6768 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
6770 * files.el (out-of-memory-warning-percentage): Turn it off by default.
6772 2014-08-11 Sam Steingold <sds@gnu.org>
6774 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
6775 the presence of known validators (tidy, (o)nsgmls).
6777 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
6779 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
6780 * net/newst-treeview.el (newsticker-treeview-date-format): New.
6781 (newsticker--treeview-list-add-item):
6782 Use `newsticker-treeview-date-format'.
6784 2014-08-11 Glenn Morris <rgm@gnu.org>
6786 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
6787 chose coding system for writing before backing up, since it causes
6788 a more serious problem than the one it solves. (Closes Bug#18141,
6791 2014-08-11 Martin Rudalics <rudalics@gmx.at>
6793 * window.el (window-total-size): Make doc-string more self-contained.
6795 * window.el (display-buffer-below-selected): Restore original
6796 behavior if buffer is already displayed in the window below the
6797 selected one (Bug#18181).
6799 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
6801 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
6804 2014-08-11 Eli Zaretskii <eliz@gnu.org>
6806 * info.el (info): Doc fix.
6808 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
6810 * info.el (Info-mode-map): Override a global down-mouse-2 binding
6813 2014-08-11 Eli Zaretskii <eliz@gnu.org>
6815 * simple.el (default-line-height): A floating-point value of
6816 line-spacing means a fraction of the default frame font's height,
6817 not of the font currently used by the 'default' face.
6818 Truncate the pixel value, like the display engine does.
6819 (window-screen-lines): Use window-inside-pixel-edges for
6820 determining the window height in pixels. (Bug#18195)
6822 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
6824 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
6826 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
6828 Enumerate evaluated sexp diary entries (Bug#7911).
6829 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
6830 (icalendar-export-sexp-enumeration-days): New.
6831 (icalendar-export-region): Now `icalendar--convert-to-ical'
6832 returns a cons cell or a list of cons cells.
6833 (icalendar--convert-to-ical): Take care of
6834 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
6835 (icalendar--convert-ordinary-to-ical)
6836 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
6837 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
6838 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
6839 (icalendar--convert-anniversary-to-ical): Return cons cell.
6840 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
6841 entries. Return (list of) cons cells.
6843 2014-08-09 Juri Linkov <juri@jurta.org>
6845 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
6846 to reevaluate `vc-annotate-color-map'. (Bug#18189)
6848 2014-08-09 Alan Mackenzie <acm@muc.de>
6850 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
6851 for top-level that can cause unacceptable slow-down in scrolling.
6852 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
6853 Antipov from 2013-10-14 in emacs-devel.
6855 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6857 * ibuffer.el (ibuffer-mode-map): Use toggle button for
6858 `ibuffer-auto-mode' menu entry.
6859 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
6861 2014-08-08 Matthias Meulien <orontee@gmail.com>
6863 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
6866 2014-08-07 Martin Rudalics <rudalics@gmx.at>
6868 * window.el (window--min-size-1): Explicitly set WINDOW arg in
6869 calls of window-min-pixel-height and window-min-pixel-width.
6871 2014-08-07 Reuben Thomas <rrt@sc3d.org>
6873 * progmodes/ada-mode.el:
6874 * net/tramp.el (tramp-handle-file-symlink-p):
6875 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
6876 about VMS, which we no longer support.
6877 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
6878 and fix a FIXME, using convert-standard-filename in place of
6879 removed ada-convert-file-name.
6881 2014-08-07 Eli Zaretskii <eliz@gnu.org>
6883 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
6885 2014-08-07 Reuben Thomas <rrt@sc3d.org>
6887 Refer to MS-DOS using the same name everywhere.
6888 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
6889 ``msdog'' become ``MS-DOS''.
6891 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
6893 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
6894 Use cached "remote-copy-args" value, if available. (Bug#18199)
6896 2014-08-07 Leo Liu <sdl.web@gmail.com>
6898 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
6899 Revert change on 2014-03-22.
6901 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
6903 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
6904 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
6908 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
6910 * image-mode.el (image-toggle-display-image): Always rescale images
6911 to not be bigger than the current window.
6913 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
6915 * net/eww.el (eww-bookmarks-directory): New variable.
6916 (eww-write-bookmarks): Use it.
6917 (eww-read-bookmarks): Ditto.
6919 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
6921 * net/shr.el (shr-copy-url): Also copy the image URL.
6923 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
6925 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
6926 also for Tramp working buffers.
6928 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
6930 * progmodes/python.el: Fix completions inside (i)pdb.
6931 (python-shell-completion-pdb-string-code): Make obsolete.
6932 (python-shell-completion-get-completions):
6933 Use python-shell-completion-string-code resending setup code
6934 continuously for (i)pdb.
6936 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
6938 * rect.el (rectangle--default-line-number-format): Rename
6939 from misspelled rectange--default-line-number-format (Bug#18045).
6942 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
6944 Don't mishandle year-9999 dates (Bug#18176).
6945 * calendar/parse-time.el (parse-time-rules):
6946 Allow years up to most-positive-fixnum.
6947 * calendar/time-date.el (date-to-time):
6948 Pass "Specified time is not representable" errors through.
6950 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
6952 * progmodes/python.el: Completion code cleanups.
6953 (python-shell-completion-get-completions): Detect and send import
6954 statements directly to completion function.
6955 (python-shell-completion-at-point): Simplify prompt calculation
6956 and import vs input completion logic.
6958 2014-08-02 Alan Mackenzie <acm@muc.de>
6960 Fix confusion in C++ file caused by comma in "= {1,2},".
6962 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
6963 for a statement boundary marked by "}", check there's no "="
6965 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
6966 non-nil `comma-delim' argument.
6967 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
6968 initializer expression more accurately.
6970 Correct loop termination condition in c-syntactic-skip-backward.
6971 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
6972 the situation where, after moving back out of a literal,
6973 skip-chars-backward doesn't move further, yet checks have still to
6976 2014-08-01 Eli Zaretskii <eliz@gnu.org>
6978 * tutorial.el (tutorial--display-changes): Accept punctuation
6979 characters before the key binding. (Bug#18146)
6981 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
6983 * progmodes/python.el: Shell output capture enhancements.
6984 (python-shell-accept-process-output): New function.
6985 (inferior-python-mode)
6986 (python-shell-send-setup-code): Use it.
6988 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
6990 * calendar/icalendar.el (icalendar--decode-isodatetime):
6991 Use actual current-time-zone when converting to local time. (Bug#15408)
6993 2014-07-29 Martin Rudalics <rudalics@gmx.at>
6995 * window.el (window--state-put-2): Handle horizontal scroll
6998 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
7000 * menu-bar.el (menu-bar-update-buffers): Update item list format
7001 in `buffers-menu' to confirm with changes to `get_keyelt'
7002 (r117463). (Bug#18016)
7004 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7006 * progmodes/python.el (inferior-python-mode): Make input prompts
7009 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
7011 * net/tramp-sh.el (tramp-get-remote-python): Also search for
7012 executables named "python2" or "python3".
7013 (tramp-get-remote-uid-with-python): Use parentheses around
7014 arguments to `print' to make it compatible with Python 3.
7015 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
7017 2014-07-28 Eli Zaretskii <eliz@gnu.org>
7019 * window.el (window--pixel-to-total): Use FRAME's root window, not
7020 that of the selected frame. (Bug#18112, Bug#16674)
7022 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
7024 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
7027 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7029 * progmodes/python.el (inferior-python-mode): Doc fix.
7031 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7033 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
7034 not a character, ignore it instead of raising an error.
7036 * calendar/todo-mode.el: Fix handling of marked items and make
7037 minor code improvements.
7038 (todo-edit-item): If there are marked items, ensure user can only
7039 invoke editing commands that work with marked items.
7040 (todo-edit-item--text): When there are marked items, make it a
7041 noop if invoked with point not on an item; otherwise, ensure it
7042 applies only to item at point.
7043 (todo-item-undone): If there are marked not-done items, return
7044 point to its original position before signaling user error.
7045 (todo--user-error-if-marked-done-item): New function.
7046 (todo-edit-item--header, todo-edit-item--diary-inclusion)
7047 (todo-item-done): Use it.
7049 2014-07-28 Glenn Morris <rgm@gnu.org>
7051 * files.el (toggle-read-only): Re-add basic doc-string.
7052 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
7054 * progmodes/prolog.el (prolog-mode-keybindings-edit):
7055 Replace missing `switch-to-prolog' with `run-prolog'.
7056 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
7058 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7060 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
7061 of file-wide setting when changing category-wide setting.
7063 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7065 * doc-view.el (doc-view-open-text): Don't require that the
7066 document is saved in a file (e.g., email attachment).
7068 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7070 Parse completion input in a iPython friendly way. (Bug#18084)
7071 * progmodes/python.el
7072 (python-shell-completion-at-point): Rename from
7073 python-shell-completion-complete-at-point.
7074 (inferior-python-mode): Use it.
7075 (python-completion-at-point): Rename from
7076 python-completion-complete-at-point. Parse input up to first
7077 backward occurrence of whitespace, open-paren, close-paren or
7079 (python-mode): Use it.
7081 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7083 * progmodes/python.el
7084 (python-shell-with-shell-buffer): New macro.
7085 (python-shell-font-lock-get-or-create-buffer)
7086 (python-shell-font-lock-kill-buffer)
7087 (python-shell-font-lock-with-font-lock-buffer)
7088 (python-shell-font-lock-cleanup-buffer)
7089 (python-shell-font-lock-toggle): Use it.
7090 (python-shell-font-lock-turn-on)
7091 (python-shell-font-lock-turn-off): Use it. Make command.
7093 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7095 Grab all Python process output before inferior-python-mode hooks.
7096 * progmodes/python.el (inferior-python-mode):
7097 Call accept-process-output and sit-for to ensure all output for process
7098 has been received before running hooks.
7099 (python-shell-internal-get-or-create-process):
7100 Cleanup accept-process-output and sit-for calls.
7102 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7104 More robust shell startup and code setup.
7105 * progmodes/python.el (python-shell-make-comint):
7106 Remove accept-process-output call.
7107 (python-shell-get-buffer): Return current buffer if major-mode is
7108 inferior-python-mode.
7109 (python-shell-get-or-create-process): Use it.
7110 (python-shell-send-setup-code): Send all setup code in one string,
7111 output success message and accept-process-output.
7113 2014-07-27 Eli Zaretskii <eliz@gnu.org>
7115 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
7116 Add rudimentary support for bidirectional text.
7118 2014-07-27 Martin Rudalics <rudalics@gmx.at>
7120 * frame.el (frame-notice-user-settings): Rewrite using
7121 frame-initial-frame-tool-bar-height.
7122 * menu-bar.el (menu-bar-horizontal-scroll-bar)
7123 (menu-bar-no-horizontal-scroll-bar): New functions.
7124 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
7126 * scroll-bar.el (scroll-bar-lines)
7127 (set-horizontal-scroll-bar-mode)
7128 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
7129 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
7130 (scroll-bar-toolkit-horizontal-scroll): New functions.
7131 (horizontal-scroll-bar-mode)
7132 (previous-horizontal-scroll-bar-mode)
7133 (horizontal-scroll-bar-mode-explicit): New variables.
7134 (horizontal-scroll-bar-mode): New option.
7135 (toggle-horizontal-scroll-bar): Do something.
7136 (top-level): Bind horizontal-scroll-bar mouse-1.
7137 * startup.el (tool-bar-originally-present): Remove variable.
7138 (command-line): Don't set tool-bar-originally-present.
7139 * window.el (window-min-height): Update doc-string.
7140 (window--dump-frame): Dump horizontal scroll bar values.
7141 (window--min-size-1): Handle minibuffer window separately.
7142 Count in margins and horizontal scroll bar. Return safe value
7143 iff IGNORE equals 'safe.
7144 (frame-windows-min-size): New function (used by frame resizing
7146 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
7148 (window--sanitize-window-sizes): New function.
7149 (window-split-min-size): Remove.
7150 (split-window): Count divider-width. Don't use
7151 `window-split-min-size' any more. Reword error messages.
7152 Sanitize windows sizes after splitting.
7154 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
7156 Use `defvar-local' more.
7157 * progmodes/hideshow.el
7158 (hs-c-start-regexp, hs-block-start-regexp)
7159 (hs-block-start-mdata-select, hs-block-end-regexp)
7160 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
7161 remove corresponding `make-variable-buffer-local' top-level calls.
7163 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7165 Cleanup error signals. (Bug#18067)
7166 * progmodes/python.el
7167 (python-indent-shift-left): Use user-error instead.
7168 (python-shell-prompt-detect): Use lwarn with python group.
7169 (python-completion-complete-at-point)
7170 (python-eldoc--get-doc-at-point): Don't signal error.
7172 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7174 Support for packages in Python shell. (Bug#13570)
7175 * progmodes/python.el (python-shell--package-depth): New var.
7176 (python-shell-package-enable): New command.
7177 (python-util-list-directories, python-util-list-files)
7178 (python-util-list-packages): New functions.
7180 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7182 Faster comint output. (Bug#16875)
7183 * progmodes/python.el:
7184 (python-comint-output-filter-function): Make obsolete.
7185 (python-comint-postoutput-scroll-to-bottom): New function.
7186 (inferior-python-mode): Set comint-output-filter-functions to a
7189 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7191 * progmodes/python.el (python-shell-font-lock-post-command-hook):
7192 Safeguard current point and undo history.
7194 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
7196 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
7197 * progmodes/python.el:
7198 (python-shell-prompt-input-regexps): Add iPython block prompt.
7199 (python-shell-output-syntax-table): Delete var.
7200 (python-shell-font-lock-with-font-lock-buffer): New macro.
7201 (python-shell-font-lock-get-or-create-buffer)
7202 (python-shell-font-lock-kill-buffer)
7203 (python-shell-font-lock-cleanup-buffer)
7204 (python-shell-font-lock-post-command-hook)
7205 (python-shell-font-lock-turn-off): New functions.
7206 (python-shell-font-lock-turn-on): New function.
7207 (inferior-python-mode): Use it.
7208 (python-shell-font-lock-toggle): New command.
7209 (python-shell-font-lock-enable): Rename from
7210 python-shell-enable-font-lock.
7211 (run-python-internal): Use it.
7212 (python-shell-font-lock-comint-output-filter-function): New function.
7213 (python-shell-comint-end-of-output-p): New function.
7214 (python-shell-output-filter): Use it.
7215 (python-util-comint-last-prompt): New function.
7216 (python-util-text-properties-replace-name): New function.
7218 2014-07-25 Glenn Morris <rgm@gnu.org>
7220 * vc/ediff-init.el (ediff-toggle-read-only-function):
7221 * vc/ediff-util.el (ediff-toggle-read-only):
7222 Replace obsolete toggle-read-only with read-only-mode.
7224 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
7226 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
7227 with `save-match-data'. (Bug#18095)
7229 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
7231 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
7232 order to ensure that row and col are lexically bound inside the
7235 2014-07-21 Glenn Morris <rgm@gnu.org>
7237 * progmodes/hideif.el (hide-ifdef-mode-submap):
7238 Also substitute read-only-mode.
7239 * bindings.el (mode-line-toggle-read-only):
7240 * bs.el (bs-toggle-readonly):
7241 * buff-menu.el (Buffer-menu-toggle-read-only):
7242 * dired.el (dired-toggle-read-only):
7243 * files.el (view-read-only, find-file-read-only)
7244 (find-file-read-only-other-window)
7245 (find-file-read-only-other-frame):
7246 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
7247 Doc fixes re toggle-read-only.
7249 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7251 * progmodes/python.el: Add comment about pipe buffering and
7252 solutions for missing/delayed output in inferior Python shells.
7255 * progmodes/python.el (python-mode): Don't set
7256 mode-require-final-newline. (Bug#17990)
7258 Make python.el work with IPython automatically. (Bug#15510)
7259 * progmodes/python.el:
7260 (python-shell-completion-setup-code): New value supporting iPython.
7261 (python-shell-completion-string-code): New value supporting iPython.
7262 (python-shell-completion-get-completions): Use them.
7263 (python-shell-completion-module-string-code): Make obsolete.
7264 (python-shell-prompt-input-regexps)
7265 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
7266 (python-shell-output-filter): Fix comment typo.
7268 Fix Python shell prompts detection for remote hosts.
7269 * progmodes/python.el (python-shell-prompt-detect):
7270 Replace call-process with process-file and make it more robust.
7272 Autodetect Python shell prompts. (Bug#17370)
7273 * progmodes/python.el:
7274 (python-shell-interpreter-interactive-arg)
7275 (python-shell-prompt-detect-enabled)
7276 (python-shell-prompt-detect-failure-warning)
7277 (python-shell-prompt-input-regexps)
7278 (python-shell-prompt-output-regexps): New vars.
7279 (python-shell-prompt-calculated-input-regexp)
7280 (python-shell-prompt-calculated-output-regexp): New vars.
7281 (python-shell-get-process-name)
7282 (python-shell-internal-get-process-name)
7283 (python-shell-output-filter)
7284 (python-shell-completion-get-completions): Use them.
7285 (python-shell-prompt-detect)
7286 (python-shell-prompt-validate-regexps): New functions.
7287 (python-shell-prompt-set-calculated-regexps): New function.
7288 (inferior-python-mode): Use it. Also honor overriden
7289 python-shell-interpreter and python-shell-interpreter-args.
7290 (python-shell-make-comint): Honor overriden
7291 python-shell-interpreter and python-shell-interpreter-args.
7292 (python-shell-get-or-create-process): Make it testable by allowing
7293 to call run-python non-interactively.
7294 (python-util-valid-regexp-p): New function.
7295 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
7296 (python-shell-prompt-output-regexp)
7297 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
7299 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
7301 * emacs-lisp/smie.el (smie-config--guess-1): Split from
7303 (smie-config--guess): Use it.
7305 * emacs-lisp/edebug.el: Use nadvice.
7306 (edebug-original-read): Remove.
7307 (edebug--read): Rename from edebug-read and add `orig' arg.
7308 (edebug-uninstall-read-eval-functions)
7309 (edebug-install-read-eval-functions): Use nadvice.
7310 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
7311 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
7312 (edebug-read-string, edebug-read-function): Use just `read'.
7313 (edebug-original-debug-on-entry): Remove.
7314 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
7316 (debug-on-entry): Override with nadvice.
7318 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
7319 it also makes sense to bind it to a non-mouse event.
7321 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
7323 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
7325 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
7328 * rect.el (rectangle--string-preview): Don't assume there
7329 a non-nil default (bug#17984).
7331 2014-07-16 Glenn Morris <rgm@gnu.org>
7333 * desktop.el (after-init-hook): Disable startup frame restoration
7334 in non-graphical situations. (Bug#17693)
7336 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
7337 if it was "empty", or used for a different set of files. (Bug#17884)
7339 2014-07-16 Eli Zaretskii <eliz@gnu.org>
7341 * bindings.el (mode-line-remote): If default-directory is not a
7342 string, don't call file-remote-p on it; instead state in the
7343 help-echo that it is nil. (Bug#17986)
7345 2014-07-14 Daniel Colascione <dancol@dancol.org>
7347 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
7348 to `macroexpand-all'
7350 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
7351 Use `macroexpand-all' instead of `cl-macroexpand-all'.
7353 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
7355 Fix bug: C-x v v discarded existing log message (Bug#17884).
7356 * vc/vc-dispatcher.el (vc-log-edit):
7357 Don't clobber an already-existing log message.
7359 2014-07-12 Glenn Morris <rgm@gnu.org>
7361 * vc/log-edit.el (log-edit-changelog-entries):
7362 Check for a visited-but-never-saved ChangeLog.
7364 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
7366 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
7367 a non-existing file (bug#17970).
7369 * faces.el (face-name): Undo last change.
7370 (x-resolve-font-name): Don't call face-name (bug#17956).
7372 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
7374 Fix dedenters and electric colon handling. (Bug#15163)
7375 * progmodes/python.el
7376 (python-rx-constituents): Add dedenter and block-ender.
7377 (python-indent-dedenters, python-indent-block-enders): Delete.
7378 (python-indent-context): Return new case for dedenter-statement.
7379 (python-indent-calculate-indentation): Handle new case.
7380 (python-indent-calculate-levels): Fix levels calculation for
7381 dedenter statements.
7382 (python-indent-post-self-insert-function): Fix colon handling.
7383 (python-info-dedenter-opening-block-message): New function.
7384 (python-indent-line): Use it.
7385 (python-info-closing-block)
7386 (python-info-closing-block-message): Remove.
7387 (python-info-dedenter-opening-block-position)
7388 (python-info-dedenter-opening-block-positions)
7389 (python-info-dedenter-statement-p): New functions.
7391 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
7393 * files.el (out-of-memory-warning-percentage): New defcustom.
7394 (warn-maybe-out-of-memory): Use it.
7396 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
7398 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
7399 when calling `read-string'. (Bug#17839)
7401 2014-07-10 Eli Zaretskii <eliz@gnu.org>
7403 * files.el (warn-maybe-out-of-memory): Fix the wording of the
7406 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
7408 * files.el (warn-maybe-out-of-memory): New function.
7409 (find-file-noselect): Use it.
7411 2014-07-09 Sam Steingold <sds@gnu.org>
7413 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
7414 `constant' like `bless', `return' &c
7416 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7418 * rect.el (apply-on-rectangle): Check forward-line really moved to the
7421 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7423 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
7424 the middle of a line (bug#17896).
7426 2014-07-09 Juri Linkov <juri@jurta.org>
7428 * startup.el (command-line): Append displaying the warning about
7429 the errors in the init file to the end of `after-init-hook'.
7432 * faces.el (face-name): Return input arg `face' as-is
7433 when it's not a symbol.
7434 (x-resolve-font-name): Don't check if the face is a symbol.
7437 * facemenu.el (list-colors-print): In help-echo format use %.2f
7438 instead of %d because now HSV values are floating-point components
7439 between 0.0 and 1.0.
7441 2014-07-09 Glenn Morris <rgm@gnu.org>
7443 * emulation/cua-rect.el (cua--activate-rectangle):
7444 Avoid setting cua--rectangle to nil. (Bug#17877)
7446 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
7448 * calendar/todo-mode.el: Fix wrong-type-argument error when
7449 marking multiple consecutive items.
7450 (todo-toggle-mark-item): Don't try to mark the empty lines at the
7451 end of the todo and done items sections. Note in doc string that
7452 items marked by passing a numeric prefix argument can include the
7453 last todo and first done items.
7454 (todo-mark-category): Don't try to mark the empty line between the
7455 todo and done items sections.
7457 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7459 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
7460 proper Lisp quoting (bug#17934).
7462 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
7463 require-final-newline since prog-mode already took care of it (bug#17947).
7465 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
7467 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
7468 refer to the Todo mode Info manual. Update the comment on
7470 (todo-find-filtered-items-file): Add todo-prefix overlays.
7471 (todo-filter-items): Reorder a let-bound variable to avoid a
7472 wrong-type-argument error on canceling the file choice dialog.
7474 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7476 * progmodes/octave.el (inferior-octave-mode):
7477 Set comint-input-ring-size to a number (bug#17912).
7479 2014-07-09 Juri Linkov <juri@jurta.org>
7481 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
7482 and `isearch-mode' associated with nil. (Bug#17849)
7484 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
7486 * linum.el (linum--face-height): New function (bug#17813).
7487 (linum-update-window): Use it to adjust margin to linum's width.
7489 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
7490 * eshell/em-smart.el (eshell-smart-scroll-window):
7491 Use with-selected-window.
7493 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
7494 Remove also pointless window&mark manipulation.
7496 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
7497 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
7498 (perl-continuation-line-p): Don't skip over anything else than labels.
7499 Return the previous char.
7500 (perl-calculate-indent): Use syntax-ppss instead of parse-start
7501 and update callers accordingly. For continuation lines, check the
7502 the case of array hashes.
7503 (perl-backward-to-noncomment): Make it non-interactive.
7504 (perl-backward-to-start-of-continued-exp): Rewrite.
7506 2014-07-08 Sam Steingold <sds@gnu.org>
7508 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
7511 2014-07-08 Juri Linkov <juri@jurta.org>
7513 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
7514 (vc-annotate-color-map): Use less saturated colors (20%) for
7516 (vc-annotate-very-old-color): Add default value for background-mode.
7517 (vc-annotate-background): Set default value to nil since now text on
7518 the default backgrounds should be legible in light and dark modes.
7519 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
7522 2014-07-08 Juri Linkov <juri@jurta.org>
7524 * simple.el (transpose-chars): Don't move point into read-only area.
7527 2014-07-08 Juri Linkov <juri@jurta.org>
7529 * window.el (with-displayed-buffer-window): New macro.
7530 (with-temp-buffer-window, with-current-buffer-window):
7531 Use `macroexp-let2' to evaluate and bind variables
7532 in the same order as macro arguments.
7533 (display-buffer--action-function-custom-type):
7534 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
7536 * minibuffer.el (minibuffer-completion-help): Replace
7537 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
7538 with actions that display *Completions* at-bottom when called
7539 from the minibuffer, or below-selected in a normal buffer.
7540 Associate `window-height' with `fit-window-to-buffer'.
7541 Let-bind `pop-up-windows' to nil.
7543 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
7544 instead of `with-current-buffer-window'. (Bug#17809)
7546 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
7548 * progmodes/hideif.el (hide-ifdef-env): Change to global.
7549 (hide-ifdef-env-backup): New variable.
7550 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
7551 New customizable variables.
7552 (hif-clear-all-ifdef-defined): New defun.
7553 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
7554 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
7555 (hif-tokenize): Fix for MS-DOS/Win EOL style.
7556 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
7557 Fix bug to hide the correct #elif region(s).
7558 (hif-range-elif): New defun.
7559 (hif-recurse-level): New var.
7560 (hif-evaluate-region, hif-evaluate-macro): New defun.
7561 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
7563 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
7566 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
7568 * net/dbus.el (dbus-peer-handler): New defun.
7569 (dbus-register-service): Register it. (Bug#17858)
7570 (dbus-managed-objects-handler): Fix docstring.
7572 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
7574 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
7575 (narrow-to-defun): New arg include-comments, defaulting to it
7578 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7580 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
7581 different calling convention to rectangle--unhighlight-for-redisplay.
7583 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
7585 * net/tramp.el (tramp-call-process): Handle error strings.
7587 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
7589 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
7590 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
7592 * net/trampver.el: Update release number.
7594 2014-07-03 Juri Linkov <juri@jurta.org>
7596 * desktop.el (desktop-save): Rename arg `auto-save' to
7597 `only-if-changed'. Doc fix. (Bug#17873)
7599 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7601 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
7602 Use insert-for-yank (bug#17271).
7604 2014-07-03 Leo Liu <sdl.web@gmail.com>
7606 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
7607 Support lexical-binding.
7609 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7611 * vc/log-edit.el (log-edit-goto-eoh): New function.
7612 (log-edit--match-first-line): Use it (bug#17861).
7614 2014-07-03 Glenn Morris <rgm@gnu.org>
7616 * vc/log-edit.el (log-edit-hook): Add missing :version.
7618 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
7620 * progmodes/python.el (python-indent-post-self-insert-function):
7621 Enhancements to electric indentation behavior inside
7624 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7626 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
7627 buffer-invisibility-spec (bug#17867).
7629 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
7631 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
7634 2014-07-03 Glenn Morris <rgm@gnu.org>
7636 * cus-edit.el (help):
7637 * finder.el (finder-known-keywords):
7638 * help.el (help-for-help-internal):
7639 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
7640 (ediff-redraw-registry-buffer):
7641 * vc/ediff-ptch.el (ediff-patch-file-internal):
7642 Doc fixes re "online" help. (Bug#17803)
7644 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
7645 (idlwave-mode): Doc URL update.
7647 2014-07-01 Juri Linkov <juri@jurta.org>
7649 * man.el: Display man pages immediately and use process-filter
7650 to format them asynchronously.
7651 (Man-width): Doc fix.
7653 (Man-start-calling): Use `with-selected-window' to get
7654 `frame-width' and `window-width'.
7655 (Man-getpage-in-background): Call `Man-notify-when-ready'
7656 immediately after creating a new buffer. Call `Man-mode' and set
7657 `mode-line-process' in the created buffer. Set process-filter to
7658 `Man-bgproc-filter' in start-process branch. In call-process branch
7659 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
7660 Use `Man-start-calling' inside `with-current-buffer'.
7661 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
7662 (Man-cleanup-manpage): Don't print messages.
7663 (Man-bgproc-filter): New function.
7664 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
7665 user moved it during asynchronous formatting. Move calls of
7666 `Man-fontify-manpage' and `Man-cleanup-manpage' to
7667 `Man-bgproc-filter'. Move the call of `Man-mode' to
7668 `Man-getpage-in-background'. Use `quit-restore-window'
7669 instead of `kill-buffer'. Use `message' instead of `error'
7670 because errors are caught by process sentinel.
7671 (Man-mode): Move calls of `Man-build-page-list',
7672 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
7673 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
7675 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
7676 for the message about the man page cleaned up.
7678 2014-07-01 Mario Lang <mlang@delysid.org>
7680 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
7681 cosutomization option `gnutls-verify-error'.
7683 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
7685 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
7686 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
7688 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
7689 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
7690 is suspended (bug#17857).
7692 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
7694 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
7695 Prefer utf-8 coding. (Bug#17859)
7697 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7699 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
7702 2014-06-30 Glenn Morris <rgm@gnu.org>
7704 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
7705 (autoload-ensure-default-file): Maybe make existing output writable.
7706 * Makefile.in (AUTOGEN_VCS): Remove.
7707 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
7709 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7711 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
7713 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7715 New if-let, when-let, thread-first and thread-last macros.
7717 * emacs-lisp/subr-x.el
7718 (internal--listify, internal--check-binding)
7719 (internal--build-binding-value-form, internal--build-binding)
7720 (internal--build-bindings): New functions.
7721 (internal--thread-argument, thread-first, thread-last)
7722 (if-let, when-let): New macros.
7724 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
7726 * net/rcirc.el (rcirc-buffer-process): Restore previous
7727 behaviour. (Bug#17772)
7729 2014-06-29 Alan Mackenzie <acm@muc.de>
7731 Don't call c-parse-state when c++-template-syntax-table is active.
7732 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
7733 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
7734 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
7736 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
7738 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
7739 account for file-wide setting of todo-top-priorities-overrides.
7740 Make code a bit cleaner.
7742 2014-06-28 Glenn Morris <rgm@gnu.org>
7744 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
7746 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
7748 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
7749 category-wide setting of todo-top-priorities-overrides, check for
7750 a file-wide setting and fontify accordingly.
7752 2014-06-28 Glenn Morris <rgm@gnu.org>
7754 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
7756 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
7758 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
7760 2014-06-28 K. Handa <handa@gnu.org>
7764 * composite.el: Setup composition-function-table for dotted circle.
7765 (compose-gstring-for-dotted-circle): New function.
7767 * international/characters.el: Add category "^" to all
7768 non-spacing characters.
7770 2014-06-28 Glenn Morris <rgm@gnu.org>
7772 * Makefile.in (doit): Remove force rule.
7773 (custom-deps, finder-data, autoloads, update-subdirs)
7774 (compile-one-process): PHONY targets do not need force rules.
7776 * Makefile.in (compile-main, compile, compile-always):
7777 No need to explicitly pass variables to ourself in recursive calls.
7779 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
7781 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
7783 2014-06-26 Glenn Morris <rgm@gnu.org>
7785 * Makefile.in (update-authors): Update for moved authors.el.
7787 2014-06-26 Leo Liu <sdl.web@gmail.com>
7789 * skeleton.el (skeleton-end-hook): Default to nil and move the
7790 work to skeleton-insert. (Bug#17850)
7792 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
7794 * calc/calc-alg.el (math-beforep):
7795 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
7796 Simplify because string-lessp can accept symbols as args.
7798 2014-06-26 Daiki Ueno <ueno@gnu.org>
7800 * emacs-lisp/package.el (package--check-signature):
7801 If package-check-signature is allow-unsigned, don't signal error when
7802 we can't verify signature because of missing public key
7805 2014-06-26 Glenn Morris <rgm@gnu.org>
7807 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
7808 Remove outdated declaration.
7810 * emacs-lisp/authors.el (authors-valid-file-names)
7811 (authors-renamed-files-alist): Additions.
7813 2014-06-26 Leo Liu <sdl.web@gmail.com>
7815 * textmodes/picture.el (picture-set-tab-stops):
7816 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
7817 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
7819 * progmodes/asm-mode.el (asm-calculate-indentation):
7820 Use indent-next-tab-stop.
7822 * indent.el (indent-accumulate-tab-stops): New function.
7824 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7826 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
7827 (package-desc-status): Obey it.
7829 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
7831 * calendar/todo-mode.el: Fix two bugs.
7832 (todo-insert-item--basic): If user cancels item insertion to
7833 another category before setting priority, show original category
7834 whether it is in the same or a different file.
7835 (todo-set-item-priority): After selecting category, instead of
7836 moving point to top, which extends an active region, restore it.
7838 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7840 * help-fns.el (describe-function-1): Check file-name is a string before
7841 calling help-fns--autoloaded-p (bug#17564).
7843 2014-06-26 Juri Linkov <juri@jurta.org>
7845 * desktop.el (desktop-auto-save-enable)
7846 (desktop-auto-save-disable): New functions.
7847 (desktop-save-mode, desktop-auto-save-timeout): Use them.
7848 (desktop-read): Disable the autosave before loading the desktop,
7849 and enable afterwards. (Bug#17351)
7851 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7853 Fix some indentation problem with \; and pipes (bug#17842).
7854 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
7855 (sh-smie--default-forward-token, sh-smie--default-backward-token):
7857 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
7858 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
7859 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
7861 2014-06-26 Glenn Morris <rgm@gnu.org>
7863 * emacs-lisp/find-func.el (find-function-C-source-directory):
7864 Use file-accessible-directory-p.
7866 * ps-samp.el: Make it slightly less awful.
7867 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
7868 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
7869 Only set local values.
7870 (ps-article-subject, ps-article-author): Use standard functions
7871 like mail-fetch-field.
7872 (ps-info-file, ps-info-node): Use match-string.
7873 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
7874 (ps-samp-ps-setup): ... new function.
7876 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
7877 Optimize away code unneeded on any modern Emacs.
7879 * emacs-lisp/authors.el: Move to ../admin.
7881 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
7883 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
7885 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
7886 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
7887 performance enhancements.
7888 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
7890 (hif-factor, hif-string-concatenation, intern-safe): Support string
7891 concatenation and argumented macro expansion.
7892 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
7893 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
7894 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
7895 (hif-canonicalize-tokens, hif-place-macro-invocation)
7896 (hif-parse-macro-arglist): Mostly new functions for supporting
7897 argumented macro expansion.
7898 (hif-string-concatenation, hif-stringify, hif-token-concat)
7899 (hif-token-stringification, hif-token-concatenation):
7900 Stringification and concatenation.
7901 (hif-find-next-relevant): Fix comments.
7902 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
7903 some cases involving #elif.
7904 (hif-find-define, hif-add-new-defines): New functions for automatically
7905 scanning of defined symbols.
7906 (hide-ifdef-guts): Fix for defined symbol auto scanning.
7907 (hide-ifdef-undef): Fix behavior to match CPP.
7909 2014-06-25 Glenn Morris <rgm@gnu.org>
7911 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
7912 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
7913 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
7914 files. They are not relevant to the original issue (bug#1004),
7915 and cause unnecessary recompilation (bug#2151).
7917 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
7919 * play/landmark.el: Use lexical-binding and avoid `intangible'.
7920 (landmark--last-pos): New var.
7921 (landmark--intangible-chars): New const.
7922 (landmark--intangible): New function.
7923 (landmark-mode, landmark-move): Use it.
7924 (landmark-mode): Remove properties.
7925 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
7926 (landmark-cross-qtuple):
7927 Don't worry about `intangible' any more.
7928 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
7929 (landmark-init-display): Don't set `intangible' and `point-entered'.
7930 (square): Remove. Inline it instead.
7931 (landmark--distance): Rename from `distance'.
7932 (landmark-calc-distance-of-robot-from): Rename from
7933 calc-distance-of-robot-from.
7934 (landmark-calc-smell-internal): Rename from calc-smell-internal.
7936 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
7938 * files.el (dir-locals-find-file, file-relative-name):
7939 * info.el (Info-complete-menu-item):
7940 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
7941 to compare-strings to avoid out-of-range errors.
7942 * subr.el (string-prefix-p): Adjust to match strict range
7943 checking in compare-strings.
7945 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
7947 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
7948 for comment lines non-greedy and stopping at newlines to fix stack
7949 overflows with large files.
7951 2014-06-24 Eli Barzilay <eli@barzilay.org>
7953 * calculator.el (calculator-last-input): Drop 'ascii-character property
7956 2014-06-24 Leo Liu <sdl.web@gmail.com>
7958 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
7959 tab-stop-list to nil. (Bug#16381)
7961 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
7962 (indent-rigidly-left-to-tab-stop)
7963 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
7964 (move-to-tab-stop): Change callers.
7966 2014-06-24 Eli Zaretskii <eliz@gnu.org>
7968 * skeleton.el (skeleton-insert): Yet another fix of the doc string
7969 wrt behavior of \n as the first/last element of a skeleton.
7971 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
7973 * net/tramp-adb.el (tramp-adb-handle-process-file):
7974 * net/tramp-sh.el (tramp-sh-handle-process-file):
7975 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
7976 the output buffer when DISPLAY is non-nil. (Bug#17815)
7978 2014-06-24 Glenn Morris <rgm@gnu.org>
7980 * play/landmark.el (landmark-move-down, landmark-move-up):
7981 Fix 2007-10-20 change - preserve horizontal position.
7983 2014-06-23 Sam Steingold <sds@gnu.org>
7985 * simple.el (kill-append): Remove undo boundary depending on ...
7986 (kill-append-merge-undo): New user option.
7988 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
7990 * simple.el (handle-shift-selection, exchange-point-and-mark)
7991 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
7992 (transient-mark-mode): Use&set the global value.
7993 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
7994 * emulation/edt.el (edt-emulation-off): Save&restore the global
7995 transient-mark-mode setting.
7996 * obsolete/pc-select.el (pc-selection-mode): Use the
7997 transient-mark-mode function.
7999 2014-06-23 Eli Zaretskii <eliz@gnu.org>
8001 * international/fontset.el (script-representative-chars):
8002 Add representative characters for scripts added in Unicode 7.0.
8003 (otf-script-alist): Synchronize with the latest registry of OTF
8006 * international/characters.el (char-script-table): Update for
8007 scripts added and codepoint ranges changed in Unicode 7.0.
8009 2014-06-23 Eli Barzilay <eli@barzilay.org>
8011 * calculator.el (calculator-standard-displayer): Fix bug in use of
8012 `calculator-groupize-number'.
8013 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
8014 `eval' code, so it works in v24.3.1 too.
8015 (calculator-last-input): Comment to clarify purpose.
8017 2014-06-22 Mario Lang <mlang@delysid.org>
8019 * textmodes/rst.el (rst-comment-region): From from -> from.
8021 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
8023 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
8025 * electric.el (electric-layout-post-self-insert-function):
8026 * emacs-lisp/ert.el (ert--insert-infos):
8027 * obsolete/vi.el (vi-set-mark):
8028 * term.el (term-handle-scroll):
8029 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
8030 * wid-edit.el (widget-editable-list-value-create):
8031 Prefer point-marker to copy-marker of point.
8033 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8035 Fix completion retrieval parsing (bug#17209).
8036 * progmodes/python.el (python-mode):
8037 (python-util-strip-string): New function.
8038 (python-shell-completion-get-completions): Use it.
8040 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8042 * skeleton.el (skeleton-insert): Fix last change.
8044 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
8046 Enhancements for outline integration (bug#17796).
8047 * progmodes/python.el (python-mode): Properly set
8048 outline-heading-end-regexp so that comments after colons for
8049 defuns are supported.
8051 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8053 * skeleton.el (skeleton-insert): Doc fix.
8055 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8057 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
8058 (smie-config-guess): Use smie-config-local so the rules are obeyed
8061 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
8062 since it's already done inside the loop (bug#17819).
8064 2014-06-21 Martin Rudalics <rudalics@gmx.at>
8066 * mouse.el (mouse-drag-line): Re-remove code initially removed
8067 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
8070 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8072 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
8073 align with the surrounding parent (bug#17721).
8075 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8077 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
8079 (texinfo-insert-block, texinfo-insert-@end)
8080 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
8081 local setting of skeleton-end-newline by adding an explicit \n to
8082 the skeletons where appropriate. (Bug#17801)
8084 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8086 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
8087 (smie-indent--hanging-p): Use it.
8088 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
8090 2014-06-21 Leo Liu <sdl.web@gmail.com>
8092 * simple.el (read-quoted-char): Don't let help chars pop up help
8095 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8097 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
8100 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
8101 Drop unknown events instead of burping.
8103 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8105 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
8106 and later. (Bug#17790)
8108 2014-06-21 Juri Linkov <juri@jurta.org>
8110 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
8111 to `soft'. (Bug#17554)
8113 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8115 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
8118 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
8120 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
8121 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
8123 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
8125 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
8126 `unread-command-events'.
8128 2014-06-19 William Xu <william.xwl@gmail.com>
8130 * progmodes/hideif.el (hif-string-to-number): Don't return float for
8131 hex integer constants (bug#17807).
8133 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
8135 * international/mule-util.el (truncate-string-ellipsis): New var.
8136 (truncate-string-to-width): Use it.
8138 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
8140 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
8141 (lisp-string-in-doc-position-p): New function, extracted from
8142 lisp-font-lock-syntactic-face-function.
8143 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
8145 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
8147 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
8149 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
8151 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
8152 (bubbles--game-over): Don't add `intangible' properties since they
8155 2014-06-18 Juri Linkov <juri@jurta.org>
8157 * vc/ediff-init.el (ediff-current-diff-Ancestor)
8158 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
8159 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
8160 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
8161 Add `min-colors 88' version with removed black/white foregrounds.
8164 2014-06-18 Juri Linkov <juri@jurta.org>
8166 * vc/diff-mode.el (diff-changed): Empty face definition to use
8167 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
8168 (diff-context): Use darker color on light background and
8169 lighter color on dark background.
8171 2014-06-18 Juri Linkov <juri@jurta.org>
8173 * vc/diff-mode.el (diff-refine-changed): Rename from
8174 `diff-refine-change' for consistency with `diff-changed'.
8175 (diff-refine-change): Add obsolete face alias. (Bug#10181)
8177 * vc/smerge-mode.el (smerge-refined-changed): Rename from
8178 `smerge-refined-change'.
8179 (smerge-refined-change): Add obsolete face alias.
8181 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
8183 * rect.el (rectangle-preview): New custom.
8184 (rectangle): New group.
8185 (rectangle--pos-cols): Add `window' argument.
8186 (rectangle--string-preview-state, rectangle--string-preview-window):
8188 (rectangle--string-flush-preview, rectangle--string-erase-preview)
8189 (rectangle--space-to, rectangle--string-preview): New functions.
8190 (string-rectangle): Use them.
8191 (rectangle--inhibit-region-highlight): New var.
8192 (rectangle--highlight-for-redisplay): Obey it. Make sure
8193 `apply-on-region' uses the point-crutches of the right window.
8194 Use :align-to rather than multiple spaces.
8196 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
8198 * ruler-mode.el (ruler-mode-window-col)
8199 (ruler-mode-mouse-set-left-margin)
8200 (ruler-mode-mouse-set-right-margin): Fix calculation of column
8201 from mouse position (Bug#17768).
8203 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
8205 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
8206 without varname or rhs causes crash.
8207 (dun-ftp): Fix bug where blank ftp password is allowed, making it
8208 impossible to win endgame.
8209 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
8211 (dun-help): Bump version number; update contact info.
8213 2014-06-15 Eli Barzilay <eli@barzilay.org>
8215 * calculator.el (calculator-prompt, calculator-remove-zeros)
8216 (calculator-mode-hook, calculator-operators, calculator-stack)
8217 (calculator-mode): Tweak docstring.
8218 (calculator-user-operators): Tweak docstring, fix a bug in the last
8220 (calculator-displayer): `std' case has an optional boolean.
8221 (calculator-displayers): Use the new boolean to group in decimal mode.
8222 (calculator-mode-map, calculator, calculator-message)
8223 (calculator-op-arity, calculator-add-operators)
8224 (calculator-string-to-number, calculator-displayer-prev)
8225 (calculator-displayer-next, calculator-remove-zeros)
8226 (calculator-eng-display, calculator-number-to-string)
8227 (calculator-update-display, calculator-last-input)
8228 (calculator-clear-fragile, calculator-digit, calculator-decimal)
8229 (calculator-exp, calculator-saved-move, calculator-clear)
8230 (calculator-copy, calculator-put-value, calculator-help)
8231 (calculator-expt, calculator-truncate): Minor code improvements.
8232 (calculator-need-3-lines): New function pulling out code from
8234 (calculator-get-display): Rename from `calculator-get-prompt', and
8236 (calculator-push-curnum): Rename from `calculator-curnum-value', and
8237 extended for all uses of it. All callers changed.
8238 (calculator-groupize-number): New utility for splitting a number into
8240 (calculator-standard-displayer): Improve code, new optional argument to
8241 use comma-split groups, make second argument optional too to use with
8242 'left/'right inputs. All callers changed.
8243 (calculator-reduce-stack-once): New utility, doing the meat of what
8244 `calculator-reduce-stack' used to do, much improved (mostly using
8245 `pcase' for conciseness and clarity).
8246 (calculator-reduce-stack): Now doing just the reduction loop using
8247 `calculator-reduce-stack-once'.
8248 (calculator-funcall): Improve code, make it work in v24.3.1 too.
8249 (calculator-last-input): Improve code, remove some old cruft.
8250 (calculator-quit): Kill `calculator-buffer' in electric mode too.
8251 (calculator-integer-p): Remove.
8252 (calculator-fact): Improve code, make it work on non-integer values
8253 too (using truncated numbers).
8255 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
8257 Sync with Tramp 2.2.10.
8259 * net/tramp.el (tramp-methods): Tweak docstring.
8260 (tramp-handle-file-accessible-directory-p): Check for
8261 `file-readable-p' instead of `file-executable-p'.
8262 (tramp-check-cached-permissions):
8263 Use `tramp-compat-file-attributes'.
8264 (tramp-call-process): Add new argument VEC. Adapt callees in all
8267 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
8268 (tramp-adb-maybe-open-connection): Don't set
8269 `tramp-current-*' variables.
8271 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
8272 file properties of temporary buffers.
8274 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
8276 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
8277 (tramp-gvfs-handle-delete-file): Flush file
8278 properties, not directory properties.
8279 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
8280 reading "unix::mode".
8281 (tramp-gvfs-handle-file-name-all-completions):
8282 Use "-h" option for "gvfs-ls".
8283 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
8284 (tramp-gvfs-send-command): Simplify traces.
8286 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
8287 (vc-git-program, vc-hg-program): Declare.
8288 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
8289 (tramp-methods) <nc>: Add new method.
8290 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
8291 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
8293 (tramp-default-user-alist): Add "nc".
8294 (top): Remove completion function for "sftp". Add completion
8295 functions for "nc" and "psftp".
8296 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
8297 Implement support for "nc" method.
8298 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
8299 (tramp-remote-coding-commands, tramp-call-local-coding-command):
8301 (tramp-sh-handle-write-region): Tweak error message.
8302 (tramp-sh-handle-vc-registered): Remove backends when the remote
8303 binary does not exist.
8304 (tramp-find-inline-encoding): Do not raise an error.
8305 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
8306 the "nc" case. Quote result also locally.
8308 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
8309 (tramp-smb-handle-set-file-acl): Use `start-process'.
8310 (tramp-smb-handle-insert-directory): Use progress reporter.
8311 (tramp-smb-handle-rename-file): Flush also file properties of
8314 * net/trampver.el: Update release number.
8316 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
8318 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
8320 (ses-localvars): Remove ses--local-printer-list, unused.
8321 (ses--metaprogramming): New macro. Use it to defvar variables.
8322 (ses-set-localvars): Simplify.
8323 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
8324 property-list into an alist.
8325 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
8326 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
8327 Remove; use defstruct accessors/setters instead.
8328 (ses-cell-formula-aset, ses-cell-printer-aset)
8329 (ses-cell-references-aset): Remove, use setf instead.
8330 (ses--alist-get): New function.
8331 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
8332 Use an alist instead of a plist and don't do move-to-front since the
8333 list is always short.
8334 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
8335 (ses-cell-property-set-fun, ses-cell-property-set)
8336 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
8337 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
8338 (ses--letref): New macro.
8339 (ses-cell-property-pop): Rewrite.
8340 (ses--cell): Rename from ses-cell and make it into a function.
8341 Make `formula' fallback on `value' if nil.
8342 (ses--local-printer): Rename from ses-local-printer and make it into
8344 (ses-set-cell): Turn it into a macro so finding the accessor from the
8345 field name is done at compile time.
8346 (ses-repair-cell-reference-all): Test presence of `sym' rather than
8347 `ref' before adding `sym' to :ses-repair-reference.
8348 (ses-calculate-cell): Use ses--letref rather than
8349 ses-cell-property-get-handle.
8350 (ses-write-cells): Use a single prin1-to-string.
8351 (ses-setter-with-undo): New function.
8352 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
8353 (ses-unset-with-undo): Remove.
8354 (ses-load): Prefer apply' over `eval'.
8355 (ses-read-printer, ses-set-column-width): Use standard "(default
8358 2014-06-15 Glenn Morris <rgm@gnu.org>
8360 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
8362 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
8363 Replace delete-duplicates and mapcan by cl- versions throughout.
8364 And cl-macroexpand-all by macroexpand-all.
8365 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
8367 2014-06-15 Eli Zaretskii <eliz@gnu.org>
8369 * subr.el (posn-col-row): Doc fix. (Bug#17768)
8371 2014-06-15 Juri Linkov <juri@jurta.org>
8373 * bindings.el: Put `ascii-character' property on keypad keys
8374 mapped to characters. (Bug#17759)
8376 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
8378 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
8379 bumping forward into a closing paren (bug#17761).
8381 * term/xterm.el (xterm--version-handler): Work around for OSX
8382 Terminal.app (bug#17607).
8384 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
8386 * play/dunnet.el (dun-describe-room, dun-mode):
8387 If a lamp is in the room, you won't be eaten by a grue.
8389 2014-06-13 Glenn Morris <rgm@gnu.org>
8391 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
8392 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
8393 (compile-always): GNU make automatically passes
8394 command-line arguments to sub-makes.
8396 * calendar/calendar.el (calendar-generate-window):
8397 Remove pointless call to font-lock-fontify-buffer.
8399 2014-06-13 Matthias Meulien <orontee@gmail.com>
8401 * simple.el (completion-list-mode-map): Navigate with tab and backtab
8404 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
8406 * simple.el (set-mark-command): Simplify a bit.
8408 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8410 * help.el (help--key-binding-keymap): New function.
8411 (help--binding-locus): New function.
8412 (describe-key): Mention the keymap in which the binding was
8415 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
8417 * hippie-exp.el (he--all-buffers): New function.
8418 (try-expand-line-all-buffers, try-expand-list-all-buffers)
8419 (try-expand-dabbrev-all-buffers): Use it.
8421 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
8423 * hippie-exp.el (try-expand-line-all-buffers)
8424 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
8425 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
8426 original buffer, in case they're buffer-local.
8428 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
8430 * ses.el (ses-initial-global-parameters-re): New defconst, a
8431 specific regexp is needed now that ses.el can handle both
8432 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
8434 (ses-localvars): Add local variables needed for local printer handling.
8435 (ses-set-localvars): Handle hashmap initialisation.
8436 (ses-paramlines-plist): Add param-line for number of local printers.
8437 (ses-paramfmt-plist): New defconst, needed for code factorization
8438 between functions `ses-set-parameter' and
8439 `ses-file-format-extend-paramter-list'
8440 (ses-make-local-printer-info): New defsubst.
8441 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
8442 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
8443 (ses-cell-printer-aset): New defmacro.
8444 (ses-local-printer-compile): New defun.
8445 (ses-local-printer): New defmacro.
8446 (ses-printer-validate, ses-call-printer): Add support for local
8448 (ses-file-format-extend-paramter-list): New defun.
8449 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
8451 (ses-load): Add support for local printer functions.
8452 (ses-read-printer): Update docstring and add support for local printer
8454 (ses-refresh-local-printer, ses-define-local-printer): New defun.
8455 (ses-safe-printer): Add support for local printer functions.
8457 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
8459 * ffap.el (ffap-lax-url): New var (bug#17723).
8460 (ffap-url-at-point): Use it.
8461 (ffap-file-at-point): Avoid returning just "/".
8463 2014-06-12 Matthias Meulien <orontee@gmail.com>
8465 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
8466 (python-mode-map): Bind it.
8468 * progmodes/python.el (class skeleton): Don't erase last char of class
8471 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
8473 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
8475 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
8477 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
8480 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
8482 * international/mule-cmds.el: Use lexical-binding.
8483 (ucs-names): Simplify.
8485 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
8487 * progmodes/python.el (run-python): Use read-shell-command.
8489 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
8491 * rect.el: Make it possible to move bounds past EOL or into TABs.
8492 (operate-on-rectangle): Use apply-on-rectangle.
8493 (rectangle--mark-crutches): New var.
8494 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
8495 (rectangle--crutches, rectangle--reset-crutches): New functions.
8496 (apply-on-rectangle): Obey crutches. Avoid setq.
8497 Fix missing final iteration if end is at EOB&BOL.
8498 (rectangle-mark-mode-map): Add remap bindings for
8499 exchange-point-and-mark and char/line movements.
8500 (rectangle--*-char): New function.
8501 (rectangle-exchange-point-and-mark, rectangle-right-char)
8502 (rectangle-left-char, rectangle-forward-char)
8503 (rectangle-backward-char, rectangle-next-line)
8504 (rectangle-previous-line): New commands.
8505 (rectangle--place-cursor): New function.
8506 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
8508 2014-06-08 Glenn Morris <rgm@gnu.org>
8510 * startup.el (initial-buffer-choice): Doc fix.
8511 Reset :version (adding an option does not merit a :version bump).
8513 * bookmark.el (bookmark-load):
8514 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
8516 2014-06-08 Juri Linkov <juri@jurta.org>
8518 * desktop.el: Activate auto-saving on window configuration changes.
8519 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
8520 `desktop-auto-save-set-timer' to/from
8521 `window-configuration-change-hook'.
8522 (desktop-auto-save-set-timer): Change REPEAT arg of
8523 `run-with-idle-timer' from t to nil.
8524 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
8526 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
8528 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
8529 vc-hg-command (bug#17570).
8531 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
8533 * international/mule-cmds.el (ucs-names): Add special entry for BEL
8536 2014-06-08 Glenn Morris <rgm@gnu.org>
8538 * startup.el (window-setup-hook): Doc fix.
8540 * emacs-lisp/package.el (package-check-signature)
8541 (package-unsigned-archives): Doc fixes.
8543 2014-06-08 Martin Rudalics <rudalics@gmx.at>
8545 * window.el (display-buffer-use-some-window): Don't make window
8546 used smaller than it was before (Bug#17671).
8548 2014-06-08 Eli Zaretskii <eliz@gnu.org>
8550 * menu-bar.el (menu-bar-open): Fix last change: use the PC
8551 'redisplay' instead of '(sit-for 0)'.
8553 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
8555 * net/tramp.el (tramp-ssh-controlmaster-options):
8556 Improve search regexp. (Bug#17653)
8558 2014-06-08 Glenn Morris <rgm@gnu.org>
8560 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
8562 2014-06-08 Eli Zaretskii <eliz@gnu.org>
8564 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
8566 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
8568 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
8571 * vc/vc-hg.el (vc-hg-log-graph): New var.
8572 (vc-hg-print-log): Use it.
8573 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
8574 graph output (bug#17515).
8576 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
8578 * mouse.el (mouse-posn-property): Ignore buffer position info when the
8579 even happened elsewhere.
8581 2014-06-06 Mario Lang <mlang@delysid.org>
8583 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
8584 `recenter' if `current-buffer' is equal to `window-buffer'.
8586 2014-06-05 Leo Liu <sdl.web@gmail.com>
8588 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
8590 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
8592 * textmodes/tildify.el (tildify-foreach-region-outside-env):
8593 New function which calls a callback on portions of the buffer that are
8594 outside of ignored environments.
8595 (tildify-build-regexp): Remove function since it is now
8596 incorporated in `tildify-foreach-region-outside-env' where it is
8597 optimized and simplified by the use of `mapconcat'.
8598 (tildify-tildify): Return number of substitutions made so that…
8599 (tildify-count): …can be removed.
8600 (tildify-find-env): Accept a new PAIRS argument which was
8601 previously looked up in `tildify-ignored-environments-alist' each
8602 time the function was called. With this change, the lookup is
8603 performed only once in `tildify-foreach-region-outside-env'.
8604 (tildify-region): Greatly simplify the function since now most of
8605 the work is done by `tildify-foreach-region-outside-env'.
8606 (tildify-mode-alist): Simplify slightly by avoiding if and setq
8607 and instead using or.
8609 * textmodes/tildify.el (tildify-ignored-environments-alist):
8610 Optimize environments regexes
8612 Each time beginning of an environment to ignore is found,
8613 `tildify-find-env' needs to identify regexp for the ending
8614 of the environment. This is done by trying all the opening
8615 regexes on matched text in a loop, so to speed that up, this
8616 loop should have fewer things to match, which can be done by
8617 using alternatives in the opening regexes.
8619 Coincidentally, this should make matching of the opening
8620 regexp faster as well thanks to the use of `regexp-opt' and
8621 having common prefix pulled from many regexes.
8623 * textmodes/tildify.el (tildify-string-alist)
8624 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
8625 of supported modes since `xml-mode' is no longer a thing but just
8626 an alias to the former. Also include comments and insides of tags
8627 in `tildify-ignored-environments-alist' for XML modes. Finally,
8628 since XML does not define “ ”[1], use a numeric reference for
8629 a no-break space (namely “ ”)
8631 [1] XML specification defines only a handful of predefined entities.
8632 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
8633 and includes only <, >, &, ' and " (meaning <,
8634 >, &, ' and " respectively). This is in contrast to HTML and even
8635 XHTML which defined a whole bunch of entities including “ ”.
8637 * textmodes/tildify.el (tildify-pattern-alist)
8638 (tildify-string-alist, tildify-ignored-environments-alist):
8639 Improve defcustom's types by adding more tags explaining what each
8640 value means and replace “sexp” used in
8641 `tildify-ignored-environments-alist' with a full type declaration.
8643 * textmodes/tildify.el (tildify-find-env): Fix matched group
8644 indexes in end-regex building
8646 When looking for a start of an ignore-environment, the regex is built
8647 by concatenating regexes of all the environments configured in
8648 `tildify-ignored-environments-alist'. So for example, the following
8649 list could be used to match TeX's \verb and \verb* commands:
8651 (("\\\\verb\\(.\\)" . (1))
8652 ("\\\\verb\\*\\(.\\)" . (1)))
8654 This would result in the following regex being used to find the start
8655 of any of the variants of the \verb command:
8657 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
8659 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
8660 won't match anything, and thus (match-string 1) will be nil, which
8661 will cause building of the end-matching regex to fail.
8663 Fix this by using capture groups from the time when the opening
8664 regexes are matched individually.
8666 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
8667 in `tildify-find-env'
8669 The `tildify-ignored-environments-alist' allows the end-regex to
8670 be provided not as a static string but mix of strings and indexes
8671 of groups matched the begin-regex. For example, the “\verb!…!”
8672 TeX-command (where “!” is an arbitrary character) is handled
8675 ("\\\\verb\\*?\\(.\\)" . (1))
8677 In the same way, the following should be supported as well:
8679 ("open-\\(.\\)" . ("end-" 1))
8681 However the tildify-find-env function fails at
8684 (if (stringp (setq aux (car expression)))
8685 expression ; BUG: expression is a list
8686 (regexp-quote (match-string aux))))
8688 where the string part is handled incorrectly.
8690 The most trivial fix would be to replace `expression' in the
8691 true-part of the if-statement with `aux', but instead, this commit
8692 optimizes `tildify-find-env' by changing it to use `mapconcat'
8693 rather than open-coded while-loop.
8695 2014-06-05 Mario Lang <mlang@delysid.org>
8697 * woman.el (woman-mapcan): Remove.
8698 (woman-parse-colon-path): Use cl-mapcan instead.
8700 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
8702 * register.el: Add link to Emacs manual in Commentary.
8704 2014-06-02 Sam Steingold <sds@gnu.org>
8706 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
8707 (popup-menu): ...here.
8708 (menu-bar-open): Use it to avoid an error when `lookup-key'
8711 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
8713 * net/tramp.el (tramp-call-process): Add traces.
8714 (tramp-handle-unhandled-file-name-directory): Return "/".
8716 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
8718 Sync with upstream verilog-mode revision 3cd8144.
8719 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
8720 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
8721 (verilog-type-font-keywords): Add nor.
8722 (verilog-batch-execute-func): Force reading of Local Variables.
8723 Fix printing "no changes to be saved" with verilog-batch.
8724 (verilog-auto-arg-ports): Doc fix.
8725 Add verilog-auto-arg-format to support newlines in AUTOARG.
8726 (verilog-auto-arg): Doc fix.
8728 2014-06-02 Glenn Morris <rgm@gnu.org>
8730 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
8731 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
8732 * emulation/ws-mode.el: Move to obsolete/.
8733 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
8735 2014-06-02 Eli Zaretskii <eliz@gnu.org>
8737 * simple.el (keyboard-quit): Force update of mode lines, to remove
8738 the "Def" indicator, if we were defining a macro. (Bug#17615)
8740 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8742 * minibuffer.el (minibuffer-force-complete-and-exit):
8743 Obey minibuffer-default (bug#17545).
8745 * progmodes/js.el (js-indent-line): Don't mix columns and chars
8748 * subr.el (set-transient-map): Don't wait for some "nested"
8749 transient-map to finish if we're only supposed to be active for
8750 the next command (bug#17642).
8752 2014-06-02 Leo Liu <sdl.web@gmail.com>
8754 * emacs-lisp/gv.el (window-buffer, window-display-table)
8755 (window-dedicated-p, window-hscroll, window-point, window-start):
8756 Fix gv-expander. (Bug#17630)
8758 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8760 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
8763 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
8764 for the single comma, since ", " is *very* common in normal French text
8767 2014-06-02 Glenn Morris <rgm@gnu.org>
8769 * emacs-lisp/package.el (package-check-signature)
8770 (package-unsigned-archives): Fix :version.
8772 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8774 * subr.el (sit-for): Don't run input-methods (bug#15614).
8776 2014-06-02 Glenn Morris <rgm@gnu.org>
8778 * cus-start.el: Fix some :version numbers.
8780 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8782 * simple.el (deactivate-mark): Set mark-active to nil even if
8783 deactivation is done via setting transient-mark-mode to nil,
8784 since one is buffer-local and the other is global.
8786 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
8787 there can't be more than 2 arguments (bug#17584).
8789 2014-06-02 Glenn Morris <rgm@gnu.org>
8791 * simple.el (filter-buffer-substring-functions)
8792 (filter-buffer-substring-function, buffer-substring-filters)
8793 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
8795 * minibuffer.el (completion-in-region-functions, completion-in-region)
8796 (completion--in-region): Doc fixes.
8798 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
8799 (expand-abbrev, abbrev--default-expand): Doc fixes.
8801 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
8803 Include sources used to create macuvs.h.
8804 * international/README: Refer to the Unicode Terms of Use rather
8805 than copying it bodily here, as that simplifies maintenance.
8807 2014-06-01 Glenn Morris <rgm@gnu.org>
8809 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
8811 2014-05-31 Glenn Morris <rgm@gnu.org>
8813 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
8815 2014-05-30 Glenn Morris <rgm@gnu.org>
8817 * loadup.el: Treat `command-line-args' more flexibly.
8819 2014-05-30 Alan Mackenzie <acm@muc.de>
8821 Guard (looking-at "\\s!") from XEmacs.
8822 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
8824 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
8826 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
8827 The argument COUNT is now optional, to be more backward-compatible.
8828 Doc fix. (Bug#17560)
8830 2014-05-29 Reuben Thomas <rrt@sc3d.org>
8832 * whitespace.el (whitespace-report-region):
8833 Simplify documentation.
8834 (whitespace-report-region): Allow report-if-bogus to take the
8835 value `never', for non-interactive use.
8836 (whitespace-report): Refer to whitespace-report-region's
8839 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
8841 * whitespace.el: Use font-lock-flush. Minimize refontifications.
8842 Side benefit: it works without jit-lock.
8843 (whitespace-point--used): New buffer-local var.
8844 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
8845 (whitespace-color-off): Use font-lock-flush.
8846 (whitespace-point--used, whitespace-point--flush-used): New functions.
8847 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
8848 (whitespace-empty-at-eob-regexp): Use them.
8849 (whitespace-post-command-hook): Rewrite.
8851 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
8852 (font-lock-fontify-buffer): Mark interactive-only.
8853 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
8855 (font-lock-specified-p): Remove redundant boundp check.
8856 (font-lock-flush-function, font-lock-ensure-function): New vars.
8857 (font-lock-turn-on-thing-lock): Set them.
8858 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
8859 (font-lock-after-change-function): Make `old-len' optional.
8860 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
8861 Call font-lock-flush, just in case.
8862 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
8864 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
8865 (vera-mode-map, vera-mode-menu): Remove bindings to it.
8866 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
8867 and with-syntax-table.
8868 * textmodes/conf-mode.el (conf-quote-normal):
8869 * progmodes/sh-script.el (sh-set-shell):
8870 * progmodes/prog-mode.el (prettify-symbols-mode):
8871 * progmodes/f90.el (f90-font-lock-n):
8872 * progmodes/cwarn.el (cwarn-mode):
8873 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
8874 * progmodes/compile.el (compilation-setup, compilation--unsetup):
8875 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
8876 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
8877 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
8878 font-lock-fontify-buffer-function and
8879 font-lock-unfontify-buffer-function.
8880 (rmail-unfontify-buffer-function, rmail-fontify-message):
8881 Use with-silent-modifications.
8882 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
8883 and font-lock-ensure.
8884 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
8886 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
8888 * emacs-lisp/package.el (package-generate-autoloads):
8889 Inhibit backup files.
8891 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
8893 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
8896 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
8898 * textmodes/tildify.el (tildify-buffer, tildify-region):
8899 Add dont-ask option.
8901 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
8903 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
8904 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
8906 * subr.el (internal--funcall-interactively): New.
8907 (internal--call-interactively): Remove.
8908 (called-interactively-p): Detect funcall-interactively instead of
8910 * simple.el (repeat-complex-command): Use funcall-interactively.
8911 (repeat-complex-command--called-interactively-skip): Remove.
8913 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
8915 * register.el (register-read-with-preview): Don't burp on
8916 frame switches (e.g. due to the frame we just popped).
8918 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
8919 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
8921 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
8923 * cus-face.el (custom-face-attributes): Add :distant-foreground.
8925 2014-05-26 Martin Rudalics <rudalics@gmx.at>
8927 * window.el (window--dump-frame): Remove interactive specification.
8929 2014-05-26 Glenn Morris <rgm@gnu.org>
8931 * hippie-exp.el (he-line-search-regexp):
8932 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
8934 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
8936 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
8937 to avoid errors when trying to create or visit a file foo.todo
8938 located outside to todo-directory, and to allow having such files
8939 without them being tied to Todo mode (bug#17482).
8940 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
8941 (todo-archive-done-item, todo-find-filtered-items-file)
8942 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
8943 (todo-category-completions, todo-read-category): When visiting a
8944 Todo file, make sure we're in the right mode and the buffer local
8946 (todo-make-categories-list, todo-reset-nondiary-marker)
8947 (todo-reset-done-string, todo-reset-comment-string):
8948 After processing all Todo files, kill the buffers of those files that
8949 weren't being visited before the processing.
8950 (todo-display-as-todo-file, todo-add-to-buffer-list)
8951 (todo-visit-files-commands): Comment out.
8952 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
8953 (auto-mode-alist): Remove add-to-list calls making Todo file
8954 extensions unrestrictedly tied to Todo modes.
8956 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
8958 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
8959 (advice-function-member-p): Tell it to check both names and functions
8961 (advice--add-function): Adjust call accordingly.
8963 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
8965 * calendar/todo-mode.el: Miscellaneous bug fixes.
8966 (todo-delete-file): When deleting an archive but not its todo
8967 file, make sure to update the todo file's category sexp.
8968 (todo-move-category): Keep the moved category's name unless the
8969 file moved to already has a category with that name. If the
8970 numerically last category of the source file was moved, make the
8971 first category current to avoid selecting a nonexisting category.
8972 (todo-merge-category): Fix implementation to make merging to a
8973 category in another file work as documented. Eliminate now
8974 insufficient and unnecessary renaming of archive category, correct
8975 document string accordingly, and clarify it. If the numerically
8976 last category of the source file was merged, make the first
8977 category current to avoid selecting a nonexisting category.
8978 (todo-archive-done-item): When there are marked items and point
8979 happens to be on an unmarked item, ignore the latter. Don't leave
8980 point below last item after archiving marked items.
8981 (todo-unarchive-items): Fix logic to ensure unarchiving an item
8982 from an archive with only one category deletes the archive only
8983 when the category is empty after unarchiving. Make sure the todo
8984 file's category sexp is updated.
8985 (todo-read-file-name): Allow an existing file name even when it is
8986 not required (todo-move-category needs this to work as documented).
8987 (todo-add-file): Call todo-validate-name to reject the name of an
8988 existing todo file (needed due to fix in todo-read-file-name).
8989 (todo-reset-nondiary-marker): Also reset in filtered items files.
8990 (todo-reset-done-string, todo-reset-comment-string): Also reset in
8991 regexp filtered items files.
8992 (todo-reset-highlight-item): Also reset in filtered items files.
8993 Fix incorrect variable reference in document string.
8995 2014-05-26 Glenn Morris <rgm@gnu.org>
8997 * window.el (window--dump-frame): Avoid error in --without-x builds.
8999 2014-05-26 Glenn Morris <rgm@gnu.org>
9001 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
9003 2014-05-26 Eli Zaretskii <eliz@gnu.org>
9005 * frame.el (set-frame-font): Doc fix.
9007 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
9009 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
9011 * emacs-lisp/package.el (package--download-one-archive):
9012 Use `write-region' instead of `save-buffer' to avoid running various
9014 (describe-package-1): Same. Insert newline at the end of the
9015 buffer if appropriate.
9017 2014-05-26 Juri Linkov <juri@jurta.org>
9019 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
9020 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
9021 Add more modifiers: meta, control, shift, hyper, super, alt.
9024 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
9025 to allow changing its value with `set-variable'.
9027 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
9029 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
9031 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
9033 (scheme-mode-variables): Set syntax-propertize-function instead of
9034 font-lock-syntactic-face-function.
9035 (scheme-font-lock-syntactic-face-function): Delete.
9037 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
9039 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
9042 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
9044 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
9045 for a temporary file name.
9047 2014-05-26 Eli Zaretskii <eliz@gnu.org>
9049 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
9051 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
9053 * net/dbus.el (dbus-init-bus, dbus-call-method)
9054 (dbus-call-method-asynchronously, dbus-send-signal)
9055 (dbus-method-return-internal, dbus-method-error-internal):
9056 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
9058 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9060 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
9061 methods which do not have a doc string. (Bug#17490)
9063 2014-05-25 Tassilo Horn <tsdh@gnu.org>
9065 * textmodes/reftex-ref.el (reftex-format-special): Make it work
9066 also for AMS Math's \eqref macro.
9068 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9070 Arrange to never byte-compile the generated -pkg.el file.
9072 * emacs-lisp/package.el (package-generate-description-file):
9073 Output first-line comment to set buffer-local var `no-byte-compile'.
9074 Suggested by Dmitry Gutov:
9075 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
9077 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9079 Fix bug: Properly quote args to generated -pkg.el `define-package'.
9081 * emacs-lisp/package.el (package-generate-description-file):
9082 Inline `package--alist-to-plist'; rewrite to selectively
9083 quote alist values that are not self-quoting.
9084 (package--alist-to-plist): Delete func.
9086 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
9088 * term/xterm.el (xterm-function-map): Add mapping for shifted
9091 2014-05-24 Daniel Colascione <dancol@dancol.org>
9093 * progmodes/subword.el (subword-find-word-boundary): Move point to
9094 correct spot before search. (Bug#17580)
9096 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
9099 2014-05-24 Leo Liu <sdl.web@gmail.com>
9101 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
9103 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
9105 * minibuffer.el (completion--sreverse): Remove.
9106 (completion--common-suffix): Use `reverse' instead.
9107 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
9109 2014-05-22 Glenn Morris <rgm@gnu.org>
9111 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
9113 2014-05-21 Daniel Colascione <dancol@dancol.org>
9115 * files.el (interpreter-mode-alist): Add mksh.
9117 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
9119 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
9120 mksh. Improve custom spec; allow regular expressions.
9121 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
9122 (sh-after-hack-local-variables): New function.
9123 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
9124 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
9126 (sh-canonicalize-shell): Rewrite to support regexes.
9128 2014-05-21 Leo Liu <sdl.web@gmail.com>
9130 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
9132 2014-05-19 Leo Liu <sdl.web@gmail.com>
9134 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
9136 2014-05-18 Glenn Morris <rgm@gnu.org>
9139 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
9141 2014-05-14 Sam Steingold <sds@gnu.org>
9143 * progmodes/python.el (python-shell-get-or-create-process):
9144 Do not bind `current-prefix-arg' so that C-c C-z does not talk
9145 back unless requested.
9147 2014-05-14 Glenn Morris <rgm@gnu.org>
9149 * subr.el (with-file-modes): New macro.
9150 * printing.el (pr-save-file-modes): Make obsolete.
9151 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
9152 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
9153 Add with-file-modes.
9154 * doc-view.el (doc-view-make-safe-dir):
9155 * epg.el (epg--start):
9156 * files.el (locate-user-emacs-file, make-temp-file)
9157 (backup-buffer-copy, move-file-to-trash):
9158 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
9159 * eshell/esh-util.el (eshell-with-private-file-modes)
9160 (eshell-make-private-directory):
9161 * net/browse-url.el (browse-url-mosaic):
9162 * obsolete/mailpost.el (post-mail-send-it):
9163 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
9164 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
9165 Use with-file-modes.
9167 * vc/emerge.el (emerge-make-temp-file): Simplify.
9169 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
9170 Stefan Monnier <monnier@iro.umontreal.ca>
9172 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
9175 2014-05-14 Glenn Morris <rgm@gnu.org>
9177 * vc/emerge.el (emerge-temp-file-prefix):
9178 Make pointless option obsolete.
9179 (emerge-temp-file-mode): Make non-functional option obsolete.
9181 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
9183 * net/browse-url.el (browse-url):
9184 Use `unhandled-file-name-directory' when setting `default-directory',
9185 in order to circumvent stalled remote connections. (Bug#17425)
9187 2014-05-14 Glenn Morris <rgm@gnu.org>
9189 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
9190 Optimize on Emacs, which has the relevant functions for ages.
9192 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
9194 * simple.el (undo-make-selective-list): Obey undo-no-redo.
9196 2014-05-12 Sam Steingold <sds@gnu.org>
9198 * calendar/time-date.el (seconds-to-string): New function to
9199 pretty print time delay in seconds.
9201 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
9203 * mpc.el (mpc-format): Trim Date to the year.
9204 (mpc-songs-hashcons): Shorten the Date field.
9206 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
9207 into autoloading just because of a silly indirection.
9209 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
9211 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
9213 2014-05-12 Glenn Morris <rgm@gnu.org>
9215 * emacs-lisp/find-gc.el: Move to ../admin.
9217 * printing.el (pr-version):
9218 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
9220 * net/browse-url.el (browse-url-mosaic):
9221 Create /tmp/Mosaic.PID as a private file.
9223 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
9225 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
9226 (advice--member-p): If name is given, only compare the name.
9227 (advice--remove-function): Don't stop at the first match.
9228 (advice--normalize-place): New function.
9229 (add-function, remove-function): Use it.
9230 (advice--add-function): Pass the name, if any, to
9231 advice--remove-function.
9233 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
9235 * electric.el (electric-indent-post-self-insert-function): Don't use
9236 `pos' after modifying the buffer (bug#17449).
9238 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
9240 * calendar/todo-mode.el (todo-insert-item-from-calendar):
9241 Correct argument list to conform to todo-insert-item--basic.
9243 2014-05-12 Glenn Morris <rgm@gnu.org>
9245 * files.el (cd-absolute): Test if directory is accessible
9246 rather than executable. (Bug#17330)
9248 * progmodes/compile.el (recompile):
9249 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
9251 * net/browse-url.el (browse-url-mosaic):
9252 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
9253 This is CVE-2014-3423.
9255 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
9257 * mouse.el: Use the normal toplevel loop while dragging.
9258 (mouse-set-point): Handle multi-clicks.
9259 (mouse-set-region): Handle multi-clicks for drags.
9260 (mouse-drag-region): Update call accordingly.
9261 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
9262 Use the normal event loop instead of a local while/read-event loop.
9263 (global-map): Remove redundant bindings for double/triple-mouse-1.
9264 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
9265 Generate synthetic down events when the protocol only sends up events.
9266 (xterm-mouse-last): Remove.
9267 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
9268 terminal parameter instead.
9269 (xterm-mouse--set-click-count): New function.
9270 (xterm-mouse-event): Detect/generate double/triple clicks.
9271 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
9273 * info.el (Info-quoted): New face.
9274 (Info-mode-font-lock-keywords): New var.
9275 (Info-mode): Use it.
9277 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
9278 are a hindrance for C-x C-e.
9280 2014-05-11 Leo Liu <sdl.web@gmail.com>
9282 * net/rcirc.el (rcirc-sentinel): Fix last change.
9284 2014-05-08 Sam Steingold <sds@gnu.org>
9286 * net/rcirc.el (rcirc-reconnect-delay): New user option.
9287 (rcirc-sentinel): Auto-reconnect to the server if
9288 `rcirc-reconnect-delay' is non-0 (but not more often than its
9289 value in case the host is off-line).
9291 2014-05-09 Eli Zaretskii <eliz@gnu.org>
9293 * progmodes/grep.el (lgrep): Fix a typo in last commit.
9295 2014-05-09 Glenn Morris <rgm@gnu.org>
9297 * files.el (file-expand-wildcards):
9298 * man.el (Man-support-local-filenames):
9299 * printing.el (pr-i-directory, pr-interface-directory):
9300 * progmodes/grep.el (lgrep, rgrep):
9301 * textmodes/ispell.el (ispell-call-process)
9302 (ispell-call-process-region, ispell-start-process)
9303 (ispell-init-process): Use file-accessible-directory-p.
9305 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9307 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
9308 (xterm-mouse--read-event-sequence-1000): Return nil if something
9310 (xterm-mouse-event): Propagate it.
9311 (xterm-mouse-translate-1): Handle it.
9313 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
9315 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
9316 four slots of the parameter list are filled, make sure to pass it
9317 to the argument list of todo-insert-item--basic.
9319 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9321 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
9322 Add optional `seen' argument to detect and break infinite loops.
9324 2014-05-08 Eli Zaretskii <eliz@gnu.org>
9326 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
9327 (trace-unsafe, trace-use-tree): Make parentheses style be
9328 according to Emacs style.
9330 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
9332 * net/tramp-sh.el (tramp-remote-process-environment):
9333 Remove HISTFILE and HISTSIZE; it's too late to set them here.
9335 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
9336 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
9337 extra "PSx=..." commands.
9338 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
9341 (tramp-uudecode): Replace the hard-coded temporary file name by a
9343 (tramp-remote-coding-commands): Enhance docstring.
9344 (tramp-find-inline-encoding): Replace "%t" by a temporary file
9346 This is CVE-2014-3424.
9348 2014-05-08 Glenn Morris <rgm@gnu.org>
9350 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
9351 (find-gc-source-files): Update some names.
9352 (trace-call-tree): Simplify and update.
9353 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
9354 This is CVE-2014-3422.
9356 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9358 * minibuffer.el (completion--try-word-completion): Revert fix for
9359 Bug#15980 (bug#17375).
9361 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
9362 Always store button numbers in the same way in xterm-mouse-last;
9363 Don't burp is xterm-mouse-last is not set as expected.
9364 Never return negative indices.
9366 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
9368 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
9369 Backtrack one char if the global/char-literal var matcher hits
9370 inside a string. The next char could be the beginning of an
9371 expression expansion.
9373 2014-05-08 Glenn Morris <rgm@gnu.org>
9375 * help-fns.el (describe-function-1): Test for an autoload before a
9376 macro, since `macrop' works on autoloads. (Bug#17410)
9378 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9380 * electric.el (electric-indent-functions-without-reindent): Add yaml.
9382 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
9383 Make sure the new point we return is within the new string (bug#17239).
9385 2014-05-05 Daniel Colascione <dancol@dancol.org>
9387 * progmodes/compile.el (compilation-error-regexp-alist-alist):
9388 Port `gnu' pattern to rx.
9390 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
9392 Remove unneeded prompt when closing a buffer with active
9393 emacsclient ("Buffer ... still has clients"), #16548.
9394 * server.el (server-start): Remove the only call to:
9395 (server-kill-buffer-query-function): Remove.
9397 2014-05-04 Leo Liu <sdl.web@gmail.com>
9399 * calendar/diary-lib.el (calendar-chinese-month-name-array):
9400 Defvar to pacify compiler.
9402 2014-05-04 Eli Zaretskii <eliz@gnu.org>
9404 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
9406 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
9408 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
9409 Use nil rather than `default' for the "default" appearance (bug#17388).
9410 * vc/ediff-util.el (ediff-inferior-compare-regions)
9411 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
9412 a misleading `default' value when it's really a boolean.
9413 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
9414 overlay is not visible.
9416 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
9418 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
9419 (todo-menu): Uncomment and update.
9421 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
9423 * calendar/todo-mode.el: Reimplement item editing to have the same
9424 basic user interface as item insertion, and make small UI and
9425 larger internal improvements to the latter.
9426 (todo-insert-item): Add reference to the Todo mode user manual to
9427 the documentation string.
9428 (todo-insert-item--basic): Rename from todo-basic-insert-item and
9429 adjust all callers. Change signature to combine diary and
9430 nonmarking arguments. Incorporate functionality of deleted item
9431 copying command and add error checking. Remove detailed
9432 descriptions of the arguments from the documentation string, since
9433 this is treated in the Todo mode user manual.
9434 (todo-copy-item, todo-edit-multiline-item)
9435 (todo-edit-done-item-comment, todo-edit-item-header)
9436 (todo-edit-item-time, todo-edit-item-date-from-calendar)
9437 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
9438 (todo-edit-item-date-year, todo-edit-item-date-month)
9439 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
9441 (todo-edit-item): Reimplement as wrapper command for
9442 todo-edit-item--next-key and make it distinguish done and not done
9444 (todo-edit-item--text): New function, replacing old command
9445 todo-edit-item and incorporating deleted commands
9446 todo-edit-multiline-item and todo-edit-done-item-comment.
9447 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
9448 Use only numeric value of prefix argument. Remove detailed
9449 descriptions of the arguments from the documentation string, since
9450 this is treated in the Todo mode user manual.
9451 (todo-edit-item--diary-inclusion): New function, replacing old
9452 command todo-edit-item-diary-inclusion and incorporating and fixing
9453 functionality of deleted command todo-edit-item-diary-nonmarking,
9454 making sure to remove todo-nondiary-marker when adding
9455 diary-nonmarking-symbol.
9456 (todo-edit-category-diary-inclusion): Make sure to delete
9457 diary-nonmarking-symbol when adding todo-nondiary-marker.
9458 (todo-edit-category-diary-nonmarking): Fix indentation.
9459 (todo-insert-item--parameters): Group diary and nonmarking
9460 parameters together.
9461 (todo-insert-item--apply-args): Adjust to signature of
9462 todo-insert-item--basic and incorporate copy parameter.
9463 Make small code improvements.
9464 (todo-insert-item--next-param): Improve prompt and adjust it to
9465 new parameter grouping. Remove obsolete code.
9466 (todo-edit-item--param-key-alist)
9467 (todo-edit-item--date-param-key-alist)
9468 (todo-edit-done-item--param-key-alist): New defconsts.
9469 (todo-edit-item--prompt): New variable.
9470 (todo-edit-item--next-key): New function.
9471 (todo-key-bindings-t): Bind "e" to todo-edit-item.
9472 Remove bindings of deleted commands.
9474 2014-05-04 Leo Liu <sdl.web@gmail.com>
9476 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
9478 2014-05-04 Glenn Morris <rgm@gnu.org>
9480 * allout-widgets.el (allout-widgets-tally)
9481 (allout-decorate-item-guides):
9482 * menu-bar.el (menu-bar-positive-p):
9483 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
9484 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
9485 * progmodes/js.el (js--inside-param-list-p)
9486 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
9487 * progmodes/prolog.el (region-exists-p):
9488 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
9489 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
9490 Doc fixes (replace `iff').
9492 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
9494 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
9496 2014-05-04 Leo Liu <sdl.web@gmail.com>
9498 Support Chinese diary entries in calendar and diary. (Bug#17393)
9499 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
9500 (calendar-chinese-from-absolute-for-diary)
9501 (calendar-chinese-to-absolute-for-diary)
9502 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
9503 (diary-chinese-list-entries): New functions to list and mark
9504 Chinese diary entries in the calendar window.
9505 (diary-chinese-anniversary)
9506 (diary-chinese-insert-anniversary-entry)
9507 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
9508 (diary-chinese-insert-yearly-entry): New commands to insert
9509 Chinese diary entries.
9511 * calendar/diary-lib.el (diary-font-lock-keywords):
9512 Support font-locking Chinese dates.
9514 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
9515 inserting Chinese diary entries.
9517 * calendar/calendar.el (diary-chinese-entry-symbol):
9518 New customizable variable.
9519 (calendar-mode-map): Add bindings for inserting Chinese diary
9522 2014-05-03 Juri Linkov <juri@jurta.org>
9524 * dired.el (dired-check-switches, dired-switches-recursive-p):
9525 New functions. (Bug#17218)
9526 (dired-switches-escape-p, dired-move-to-end-of-filename):
9527 Use `dired-check-switches'.
9528 (dired-insert-old-subdirs, dired-build-subdir-alist)
9529 (dired-sort-R-check): Use `dired-switches-recursive-p'.
9531 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
9533 * simple.el (undo-make-selective-list): New algorithm fixes
9534 incorrectness of position adjustments when undoing in region.
9536 (undo-elt-crosses-region): Make obsolete.
9537 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
9538 New functions to adjust positions using undo-deltas.
9540 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
9542 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
9543 the last consecutive closing paren (bug#17345).
9545 2014-04-30 Reuben Thomas <rrt@sc3d.org>
9547 * dired.el (dired-mode): make terminology for eXpunge command
9548 consistent. (Bug#17276)
9550 2014-04-30 Eli Zaretskii <eliz@gnu.org>
9552 * dired.el (dired-initial-position-hook, dired-initial-position):
9555 2014-04-30 Glenn Morris <rgm@gnu.org>
9557 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
9559 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
9561 * faces.el (face-spec-recalc): Apply X resources only after the
9562 defface spec has been applied. Thus, X resources are no longer
9563 overriden by the defface spec which also fixes issues on win32 where
9564 the toolbar coloring was wrong because it is set through X resources
9565 and was (wrongfully) overriden. (Bug#16694)
9567 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
9569 * textmodes/rst.el (electric-pair-pairs): Declare.
9570 (rst-mode): Set it (bug#17131).
9572 2014-04-30 Juri Linkov <juri@jurta.org>
9574 * desktop.el (desktop-value-to-string): Let-bind `print-length'
9575 and `print-level' to nil. (Bug#17351)
9577 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9579 * battery.el (battery-update): Handle the case where battery
9580 status is "N/A" (bug#17319).
9582 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
9584 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
9585 to syntax-propertize.
9586 (ps-mode-auto-indent): Mark as obsolete.
9587 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
9588 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
9589 word regexp operators.
9590 (ps-mode-map): Move initialization into declaration. Remove binding
9591 for TAB, RET, >, ], and }.
9592 (ps-mode-syntax-table): Move initialization into declaration.
9593 Don't give word syntax to non-word chars.
9594 (ps-run-mode-map): Move initialization into declaration.
9595 (ps-mode-menu-main): Remove auto-indent entry.
9596 (ps-mode-smie-rules): New function.
9597 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
9598 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
9599 (ps-mode--string-syntax-table): New const.
9600 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
9602 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
9603 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
9605 2014-04-27 Daniel Colascione <dancol@dancol.org>
9607 * term/xterm.el (xterm-paste): Use large finite timeout when
9608 reading event to avoid putting keys in this-command-keys.
9610 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
9612 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
9613 (perl-syntax-propertize-function): Use it. Extend handling of
9614 here-docs to the unquoted case.
9616 2014-04-25 Eli Zaretskii <eliz@gnu.org>
9618 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
9619 Use equal-including-properties to compare help-echo strings (bug#17331).
9621 2014-04-25 Leo Liu <sdl.web@gmail.com>
9623 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
9624 Fix syntax for @. (Bug#17325)
9626 2014-04-25 Daniel Colascione <dancol@dancol.org>
9628 * emacs-lisp/cl.el (gv): Require gv early to break eager
9629 macro-expansion cycles.
9631 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
9633 * simple.el (region-active-p): Check there's a mark (bug#17324).
9635 * simple.el (completion-list-mode-map): Use choose-completion for the
9636 mouse binding as well (bug#17302).
9637 (completion-list-mode, completion-setup-function): Adjust docstring and
9638 echo area message accordingly.
9639 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
9640 calling convention of choose-completion.
9641 * comint.el (comint-dynamic-list-completions):
9642 * term.el (term-dynamic-list-completions): Accept choose-completion.
9644 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
9645 &, |, +, - and * can't be a division (bug#17317).
9647 * term/xterm.el (xterm--version-handler): Don't use modern xterm
9648 features on gnome-terminal (bug#16988).
9650 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
9652 Improve Scheme font-locking for (define ((foo ...) ...) ...).
9654 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
9655 the declared object, ignore zero or more parens, not zero or one.
9657 2014-04-24 Leo Liu <sdl.web@gmail.com>
9659 * progmodes/xscheme.el (xscheme-expressions-ring)
9660 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
9661 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
9662 (xscheme-allow-output-p, xscheme-prompt)
9663 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
9665 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
9666 Comment out unused functions.
9668 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
9670 * info.el: Use lexical-binding and cl-lib.
9671 Use defvar-local and setq-local instead of make-local-variable.
9672 (Info-apropos-matches): Avoid add-to-list.
9673 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
9675 2014-04-24 Daniel Colascione <dancol@dancol.org>
9677 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
9679 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
9681 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
9683 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
9685 * dired.el (dired-insert-set-properties): Do not consider
9686 subdirectory headings and empty lines to be information that
9687 `dired-hide-details-mode' should hide. (Bug#17228)
9689 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9691 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
9692 Remove test messages.
9693 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
9696 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9698 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
9699 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
9701 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
9703 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9705 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
9706 Set "IFS=" when using read builtin, in order to preserve spaces in
9707 the file name. Add test messages for hunting a bug on hydra.
9708 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
9710 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9712 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
9713 Don't prettify a word within a symbol.
9715 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9717 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
9720 2014-04-22 Daniel Colascione <dancol@dancol.org>
9722 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
9723 use defun to define `function-put'.
9725 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9727 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
9728 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
9729 (lisp-mode-variables): Set font-lock-extra-managed-props.
9731 * emacs-lisp/byte-run.el (function-put): New function.
9732 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
9733 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
9734 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
9737 2014-04-22 Daniel Colascione <dancol@dancol.org>
9739 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
9740 Add `full-p' parameter; when nil, call `macroexpand' instead of
9743 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
9746 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
9747 Use lambda function values, not quoted lambdas.
9748 (byte-compile-recurse-toplevel): Remove extraneous &optional.
9750 * emacs-lisp/cl-macs.el
9751 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
9752 (cl-struct-slot-value): Conditionally use aref or nth so that the
9753 compiler produces optimal code.
9755 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9757 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
9758 (inline): Don't inline cl--set-elt.
9759 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
9760 Define as inlinable instead.
9761 (cl-struct-set-slot-value): Remove.
9763 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
9764 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
9767 2014-04-21 Daniel Colascione <dancol@dancol.org>
9769 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
9770 last two parameters after all.
9771 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
9772 (cl--compiler-macro-assoc,cl-struct-slot-value)
9773 (cl-struct-set-slot-value): Stop using them.
9775 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
9777 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
9778 entry in image-mode-winprops-alist.
9780 2014-04-21 Daniel Colascione <dancol@dancol.org>
9782 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
9783 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
9784 (byte-compile-toplevel-file-form): Use it.
9786 * emacs-lisp/cl-macs.el:
9787 (cl--loop-let): Properly destructure `while' clauses.
9789 2014-04-20 Daniel Colascione <dancol@dancol.org>
9791 * vc/vc.el (vc-root-dir): New public autoloaded function for
9792 generically finding the current VC root.
9793 * vc/vc-hooks.el (vc-not-supported): New error.
9794 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
9796 2014-04-20 Daniel Colascione <dancol@dancol.org>
9798 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
9800 (cl--const-expr-val): cl--const-expr-val should macroexpand its
9801 argument in case we're inside a symbol-macrolet.
9802 (cl--do-arglist, cl--compiler-macro-typep)
9803 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
9804 environment to `cl--const-expr-val'.
9805 (cl-struct-sequence-type,cl-struct-slot-info)
9806 (cl-struct-slot-offset, cl-struct-slot-value)
9807 (cl-struct-set-slot-value): New functions.
9809 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
9811 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
9812 assignments such as "case=hello" (bug#17297).
9814 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
9816 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
9818 (tramp-file-name-handler, tramp-completion-file-name-handler):
9819 Revert patch from 2014-04-10, it isn't necessary anymore.
9820 (tramp-autoload-file-name-handler)
9821 (tramp-register-autoload-file-name-handlers): New defuns.
9822 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
9823 (tramp-register-file-name-handlers): Remove also
9824 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
9825 Do not autoload its invocation, but eval it after loading of 'tramp.
9827 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
9829 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
9831 2014-04-17 Daniel Colascione <dancol@dancol.org>
9833 Add support for bracketed paste mode; add infrastructure for
9834 managing terminal mode enabling and disabling automatically.
9837 (xterm-mouse-mode): Simplify.
9838 (xterm-mouse-tracking-enable-sequence)
9839 (xterm-mouse-tracking-disable-sequence): New constants.
9840 (turn-on-xterm-mouse-tracking-on-terminal)
9841 (turn-off-xterm-mouse-tracking-on-terminal):
9842 Use tty-mode-set-strings and tty-mode-reset-strings terminal
9843 parameters instead of random hooks.
9844 (turn-on-xterm-mouse-tracking)
9845 (turn-off-xterm-mouse-tracking): Delete.
9847 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
9848 (xterm-paste-ending-sequence): New constant.
9849 (xterm-paste): New command used for bracketed paste support.
9851 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
9852 (terminal-init-xterm-bracketed-paste-mode): New function.
9853 (terminal-init-xterm): Call it.
9854 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
9855 and tty-mode-reset-strings instead of random hooks.
9856 (xterm-turn-on-modify-other-keys)
9857 (xterm-turn-off-modify-other-keys)
9858 (xterm-remove-modify-other-keys): Delete obsolete functions.
9860 * term/screen.el: Rewrite to just use the xterm code.
9861 Add copyright notice. Mention tmux.
9863 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
9865 * image-mode.el (image-mode-window-put): Also update the property of
9866 the "default window".
9867 * doc-view.el (doc-view-new-window-function): If no window
9868 exists, move to the last known page.
9870 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
9872 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
9873 here-documents (bug#17262).
9875 2014-04-16 Eli Zaretskii <eliz@gnu.org>
9877 * term/pc-win.el (x-list-fonts, x-get-selection-value):
9878 Provide doc strings, as required by snarf-documentation.
9880 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
9882 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
9883 arg of overlays-at. Use `invisible-p'.
9885 * obsolete/lucid.el (extent-at):
9886 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
9888 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
9890 2014-04-16 João Távora <joaotavora@gmail.com>
9892 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
9895 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
9897 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
9898 Use mapc to loop over a vector. (Bug#17257).
9900 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
9902 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
9903 patch, there are new problems with file names containing spaces.
9904 Get rid of backticks. (Bug#17238)
9906 2014-04-16 João Távora <joaotavora@gmail.com>
9908 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
9911 2014-04-16 Eli Zaretskii <eliz@gnu.org>
9913 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
9914 (blink-cursor-mode): Mention customization variables and the
9915 effect of 'blink-cursor-blinks'.
9917 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
9919 * simple.el (undo): Prevent insertion of identity mapping into
9920 undo-equiv-table so as undo-only does not inf loop in the presence
9921 of consecutive nils in undo list.
9923 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
9925 * faces.el (make-face): Deprecate optional argument as it is no
9926 longer needed/used since the conditional X resources handling
9927 has been pushed down to make-face-x-resource-internal itself.
9928 (make-empty-face): Don't pass optional argument to make-face.
9930 2014-04-16 Karl Fogel <kfogel@red-bean.com>
9932 * savehist.el (savehist-save): Remove workaround for a read-passwd
9933 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
9934 noticing that the shim was still present.
9936 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
9938 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
9940 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
9942 * faces.el (face-set-after-frame-default): Remove unused local variable.
9944 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
9946 * progmodes/grep.el: Use lexical-binding.
9947 (grep-expand-template): Pass explicit lexical env to `eval'.
9948 (zrgrep): Let-bind grep-find-template explicitly.
9950 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
9951 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
9953 2014-04-12 Eli Zaretskii <eliz@gnu.org>
9955 * international/characters.el <standard-case-table>: Add entries
9956 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
9957 Set category of Coptic characters be 'g' (Greek).
9959 2014-04-12 Leo Liu <sdl.web@gmail.com>
9961 * progmodes/octave.el (completion-table-with-cache):
9962 Define if not available.
9963 (octave-goto-function-definition, octave-sync-function-file-names)
9964 (octave-find-definition-default-filename):
9965 Backquote upattern for compatibility.
9967 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
9969 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
9970 name twice due to backticks. (Bug#17238)
9972 2014-04-12 Glenn Morris <rgm@gnu.org>
9974 * term/w32-win.el (x-win-suspend-error):
9975 * term/x-win.el (x-win-suspend-error): Sync docs.
9977 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
9979 * faces.el (make-face): Remove deprecated optional argument.
9980 The conditional application of X resources is handled directly by
9981 make-face-x-resource-internal since Emacs 24.4.
9982 (make-empty-face): Don't pass optional argument to make-face.
9984 2014-04-11 Glenn Morris <rgm@gnu.org>
9986 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
9988 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
9990 Ediff's overlay priorities cause more trouble than they solve.
9991 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
9992 (ediff-highest-priority): Remove function (bug#17234).
9993 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
9994 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
9995 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
9998 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
10000 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
10001 entry; use symbol boundaries to avoid mis-matches.
10003 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
10005 * net/tramp.el (tramp-file-name-handler)
10006 (tramp-completion-file-name-handler): Avoid recursive loading.
10008 * net/tramp-sh.el (tramp-make-copy-program-file-name):
10009 Quote result also locally.
10011 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
10013 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
10014 Remove left-over code.
10016 * newcomment.el (comment-indent-new-line): Sink code where it's used.
10017 Reuse the previous comment's indentation unconditionally if it's on its
10020 2014-04-09 Daniel Colascione <dancol@dancol.org>
10022 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
10023 `no-syntax-crossing' arguments. Forward to `up-list'.
10024 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
10025 Implement logic for escaping from strings. Use narrowing to deal
10028 2014-04-09 Leo Liu <sdl.web@gmail.com>
10030 * net/rcirc.el (rcirc-connection-info): New variable.
10031 (rcirc-connect): Use it to store connection info.
10032 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
10033 for killed process.
10034 (rcirc-cmd-reconnect): New command. (Bug#17045)
10035 (rcirc-mode, set-rcirc-encode-coding-system)
10036 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
10038 2014-04-09 Daniel Colascione <dancol@dancol.org>
10040 * emacs-lisp/cl-indent.el: Add comment claiming
10041 facility is also good for elisp.
10042 (lisp-indent-find-method): New function.
10043 (common-lisp-indent-function): Recognize cl-loop.
10044 (common-lisp-indent-function-1): Recognize cl constructs; use
10045 `lisp-indent-find-method' instead of `get' directly.
10046 (if): Use else-body style for elisp.
10048 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
10050 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
10051 Module methods. (Bug#17216)
10053 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10055 * help.el (describe-bindings): Fix buffer handling (bug#17210).
10056 (describe-bindings-internal): Mark obsolete.
10058 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10060 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
10061 buffer-file-name, and buffer-file-truename any more.
10063 2014-04-08 Leo Liu <sdl.web@gmail.com>
10065 Use lexical-binding and require cl-lib.
10066 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
10067 (rcirc-handler-generic, rcirc-fill-paragraph)
10068 (rcirc-format-response-string, rcirc-target-buffer)
10069 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
10070 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
10071 (rcirc-ctcp-sender-PING, rcirc-browse-url)
10072 (rcirc-markup-timestamp, rcirc-markup-attributes)
10073 (rcirc-markup-my-nick, rcirc-markup-urls)
10074 (rcirc-markup-bright-nicks, rcirc-markup-fill)
10075 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
10076 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
10077 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
10078 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
10079 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
10080 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
10081 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
10082 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
10083 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
10084 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
10087 2014-04-07 João Távora <joaotavora@gmail.com>
10089 * elec-pair.el (electric-pair--syntax-ppss):
10090 When inside comments parse from comment beginning.
10091 (electric-pair--balance-info): Fix typo in comment.
10092 (electric-pair--in-unterminated-string-p): Delete.
10093 (electric-pair--unbalanced-strings-p): New function.
10094 (electric-pair-string-bound-function): New var.
10095 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10096 according to `electric-pair--in-unterminated-string-p'
10098 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10099 Inhibit quote pairing if point-max is inside an unterminated string.
10100 (electric-pair--looking-at-unterminated-string-p): Delete.
10101 (electric-pair--in-unterminated-string-p): New function.
10103 2014-04-07 Glenn Morris <rgm@gnu.org>
10105 * shell.el (shell-directory-tracker):
10106 Go back to just ignoring failures. (Bug#17159)
10108 2014-04-07 João Távora <joaotavora@gmail.com>
10110 Fix `electric-pair-delete-adjacent-pairs' in modes binding
10111 backspace. (Bug#16981)
10112 * elec-pair.el (electric-pair-backward-delete-char): Delete.
10113 (electric-pair-backward-delete-char-untabify): Delete.
10114 (electric-pair-mode-map): Bind backspace to a menu item filtering
10115 a new `electric-pair-delete-pair' command.
10116 (electric-pair-delete-pair): New command.
10118 * progmodes/python.el (python-electric-pair-string-delimiter):
10119 Fix triple-quoting electricity. (Bug#17192)
10121 * elec-pair.el (electric-pair-post-self-insert-function):
10122 Don't skip whitespace when `electric-pair-text-pairs' and
10123 `electric-pair-pairs' were used. syntax to
10124 electric-pair--skip-whitespace. (Bug#17183)
10126 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10128 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
10131 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
10133 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
10134 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
10136 2014-04-07 Glenn Morris <rgm@gnu.org>
10138 * help.el (view-lossage): Doc tweak.
10140 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
10142 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
10143 only when inhibit-x-resources is nil, and do that earlier in the
10144 function. Doc fix. (Bug#16694)
10145 (face-spec-choose): Accept additional optional argument, whose
10146 value is returned if no matching attributes are found.
10147 (face-spec-recalc): Use the new optional argument when calling
10148 face-spec-choose. (Bug#16378)
10149 (make-face-x-resource-internal): Do nothing when
10150 inhibit-x-resources is non-nil. Don't touch the default face if
10151 reversed video is given--as was done in previous versions of Emacs.
10152 (face-set-after-frame-default): Don't call
10153 make-face-x-resource-internal here. (Bug#16434)
10155 2014-04-07 Tassilo Horn <tsdh@gnu.org>
10157 * doc-view.el (doc-view-bookmark-jump):
10158 Use `bookmark-after-jump-hook' to jump to the right page after the
10159 buffer is shown in a window. (bug#16090)
10161 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10163 * international/characters.el (mirroring): Fix last change:
10164 instead of loading uni-mirrored.el explicitly, do that implicitly
10165 by creating the 'mirroring' uniprop table. This avoids announcing
10166 the loading of uni-mirrored.el.
10168 2014-04-07 Glenn Morris <rgm@gnu.org>
10170 * files.el (buffer-stale--default-function)
10171 (buffer-stale-function, revert-buffer--default):
10172 * autorevert.el (auto-revert-buffers): Doc tweaks.
10174 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10176 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
10178 2014-04-07 Glenn Morris <rgm@gnu.org>
10180 * files.el (make-backup-file-name-function)
10181 (make-backup-file-name, make-backup-file-name--default-function)
10182 (make-backup-file-name-1, find-backup-file-name)
10183 (revert-buffer-function, revert-buffer-insert-file-contents-function)
10184 (buffer-stale--default-function, buffer-stale-function)
10185 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
10186 (revert-buffer, revert-buffer--default)
10187 (revert-buffer-insert-file-contents--default-function):
10188 Doc fixes related to defaults no longer being nil.
10189 (make-backup-file-name-function): Bump :version.
10190 Restore nil as a valid but deprecated custom type.
10192 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
10194 * progmodes/perl-mode.el (perl-syntax-propertize-function):
10195 Handle $' used as a variable (bug#17174).
10197 * progmodes/perl-mode.el (perl-indent-new-calculate):
10198 Handle forward-sexp failure (bug#16985).
10199 (perl-syntax-propertize-function): Add "foreach" and "for" statement
10200 modifiers introducing expressions (bug#17116).
10202 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
10204 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
10206 2014-04-05 Leo Liu <sdl.web@gmail.com>
10208 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
10209 Add define-compilation-mode.
10211 2014-04-04 João Távora <joaotavora@gmail.com>
10213 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
10214 parse from comment beginning.
10215 (electric-pair--balance-info): Fix typo in comment.
10216 (electric-pair--in-unterminated-string-p): Delete.
10217 (electric-pair--unbalanced-strings-p): New function.
10218 (electric-pair-string-bound-function): New var.
10219 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10220 according to `electric-pair--in-unterminated-string-p'.
10222 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
10224 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
10225 Move declaration before first use.
10226 (reftex-move-to-next-arg): Silence compiler warning.
10228 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
10230 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
10231 Use `window-total-width' instead of `window-width'.
10233 2014-04-03 Daniel Colascione <dancol@dancol.org>
10235 * subr.el (set-transient-map): Remove rms's workaround entirely;
10236 use new `suspicious-object' subr to mark our lambda for closer
10237 scrutiny during gc.
10239 2014-04-02 Richard Stallman <rms@gnu.org>
10241 * subr.el (set-transient-map): Comment out previous change.
10243 2014-04-02 Glenn Morris <rgm@gnu.org>
10245 * menu-bar.el (menu-bar-file-menu):
10246 * vc/ediff.el (ediff-current-file):
10247 Update for revert-buffer-function no longer being nil by default.
10249 * simple.el (command-execute): Respect nil disabled-command-function.
10251 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10253 * simple.el (command-execute): Do not execute the command when it
10254 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
10256 2014-04-02 Juri Linkov <juri@jurta.org>
10258 * dired-aux.el (dired-compress-file): Don't use string-match-p
10259 because its match data is used afterwards.
10261 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
10263 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
10266 2014-04-02 João Távora <joaotavora@gmail.com>
10268 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10269 Inhibit quote pairing if point-max is inside an unterminated string.
10270 (electric-pair--looking-at-unterminated-string-p):
10272 (electric-pair--in-unterminated-string-p): New function.
10274 2014-04-01 Daniel Colascione <dancol@dancol.org>
10276 * minibuffer.el (minibuffer-complete): Prevent assertion failure
10277 when trying to complete the prompt.
10279 2014-03-31 Leo Liu <sdl.web@gmail.com>
10281 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
10282 Refactor out eldoc-documentation-function-default.
10283 (eldoc-documentation-function-default): New function.
10284 (eldoc-documentation-function): Change value.
10286 2014-03-31 Glenn Morris <rgm@gnu.org>
10288 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
10290 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
10291 (vhdl-compose-components-package, vhdl-compose-configuration):
10292 Abbreviate default-directory (missing from some previous upstream sync).
10294 2014-03-31 Reto Zimmermann <reto@gnu.org>
10296 Sync with upstream vhdl mode v3.35.2.
10297 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
10298 (top-level): No longer require assoc.
10299 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
10300 New functions. Use throughout to replace aget etc.
10301 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
10302 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
10303 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
10304 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
10305 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
10306 except `vhdl-compiler'.
10307 (vhdl-error-regexp-add-emacs): Remove all other compilers,
10310 2014-03-31 Glenn Morris <rgm@gnu.org>
10312 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
10313 Revert 2014-03-26 merge goof; go back to using defalias.
10315 2014-03-30 Daniel Colascione <dancol@dancol.org>
10317 * comint.el (comint-send-input):
10318 Deactivate completion-in-region-mode before we send comint input.
10321 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
10324 2014-03-29 Glenn Morris <rgm@gnu.org>
10326 * textmodes/reftex.el: Manage most autoloads automatically.
10327 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
10328 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
10329 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
10330 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
10331 * textmodes/reftex-toc.el: Set generated-autoload-file,
10332 and add autoload cookies for reftex.el.
10333 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
10335 2014-03-28 Glenn Morris <rgm@gnu.org>
10337 * cus-start.el (report-emacs-bug-address): Set custom properties.
10338 * mail/emacsbug.el (report-emacs-bug-address):
10339 Variable is now defined in emacs.c.
10341 * mail/emacsbug.el (report-emacs-bug):
10342 Include system-configuration-features.
10344 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
10346 * simple.el (cycle-spacing): Never delete spaces on first run by
10347 default, but do so in a new 'fast mode and if there are already
10348 N spaces (the previous behavior).
10349 Compare N with its value in previous invocation so that changing
10350 prefix argument restarts `cycle-spacing' sequence.
10351 The idea is that with this change, binding M-SPC to
10352 `cycle-spacing' should not introduce any changes in behavior of
10353 the binding so long as users do not type M-SPC twice in a raw with
10354 the same prefix argument or lack thereof.
10356 2014-03-28 Glenn Morris <rgm@gnu.org>
10358 * faces.el (term-file-aliases): New variable.
10359 (tty-run-terminal-initialization): Respect term-file-aliases.
10360 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
10361 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
10362 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
10364 2014-03-27 Glenn Morris <rgm@gnu.org>
10366 * startup.el (inhibit-startup-hooks): Doc tweak.
10367 (normal-top-level): Simplify running of hooks.
10368 For window-setup-hook, respect inhibit-startup-hooks.
10369 (command-line-1): Don't set window-setup-hook to nil.
10371 Allow selective autoloading from obsolete/ directory.
10372 * Makefile.in (obsolete-autoloads): New rule.
10373 (autoloads): Run obsolete-autoloads.
10374 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
10375 * simple.el (iswitchb-mode): Remove hand-written autoloads.
10377 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
10379 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
10380 Highlight special globals with font-lock-builtin-face. (Bug#17057)
10382 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
10383 Don't propertize `?' or `!' as symbol constituent when after
10386 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
10388 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
10389 which is no longer needed and causes trouble in GTK builds (bug#17046).
10391 * emacs-lisp/package-x.el (package--archive-contents-from-url):
10392 Use url-insert-file-contents; package-handle-response no longer exists.
10394 2014-03-26 Daniel Colascione <dancol@dancol.org>
10396 * simple.el (process-menu-mode-map): New variable.
10397 (process-menu-delete-process): New command.
10399 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
10401 * emacs-lisp/package.el: Fix bug#16733 (again).
10402 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
10403 (url-http-target-url): Remove unused declarations.
10404 (package-handle-response): Remove.
10405 (package--with-work-buffer): Use url-insert-file-contents and simplify.
10406 (package--download-one-archive): Use current-buffer instead of
10407 dynamic binding of `buffer'.
10408 (describe-package-1): Do not decode readme-string.
10410 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
10412 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
10414 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
10415 from 2014-03-07, it decreases performance unnecessarily. Let-bind
10416 `remote-file-name-inhibit-cache' to nil in the second pass.
10417 (tramp-find-executable): Do not call "which" on SunOS.
10418 (tramp-send-command-and-check): Fix docstring.
10419 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
10420 check whether source directory has set the sticky bit.
10422 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
10424 * simple.el (primitive-undo): Only process marker adjustments
10425 validated against their corresponding (TEXT . POS). Issue warning
10426 for lone marker adjustments in undo history. (Bug#16818)
10427 (undo-make-selective-list): Add marker adjustments to selective
10428 undo list based on whether their corresponding (TEXT . POS) is in
10429 the region. Remove variable adjusted-markers, which was unused
10430 and only non nil during undo-make-selective-list.
10431 (undo-elt-in-region): Return nil when passed a marker adjustment
10432 and explain in function doc.
10434 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10436 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
10438 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
10440 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
10441 Match special global variables without curlies, too.
10442 (ruby-font-lock-keywords): Simplify the matcher for special global
10443 variables. Don't require a non-word character after the variable.
10446 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
10448 * simple.el (redisplay-highlight-region-function): Increase priority of
10449 overlay to make sure boundaries are visible (bug#15899).
10451 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
10453 * frameset.el (frameset--initial-params): Fix typo in parameter name.
10454 (frameset-restore): Compare display strings with equal.
10456 * frame.el (make-frame): Don't quote display name in error message,
10457 it is already a string.
10459 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
10461 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
10464 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
10466 * emacs-lisp/package.el (package--add-to-archive-contents):
10467 Include already installed and built-in packages in
10468 `package-archive-contents'.
10469 (package-install): Don't include already installed packages in the
10470 options during interactive invocation. (Bug#16762)
10471 (package-show-package-list): If the buffer is already displayed in
10472 another window, switch to that window.
10474 2014-03-26 Reto Zimmermann <reto@gnu.org>
10476 Sync with upstream vhdl mode v3.35.1.
10477 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
10478 (vhdl-compiler-alist): Doc fix.
10479 (vhdl-goto-line): Remove.
10480 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
10481 (vhdl-mode) <paragraph-start>: Fix value.
10482 (vhdl-fix-statement-region): Not `for' in wait-statement.
10483 (vhdl-beautify-region): Also (un)tabify.
10484 (vhdl-get-visible-signals):
10485 Scan declarative part of generate statements.
10486 (vhdl-template-record): Fix indentation for record type declaration.
10487 (vhdl-expand-abbrev, vhdl-expand-paren):
10488 Revert to using fset again rather than defalias.
10489 (vhdl-scan-directory-contents): Tweak.
10490 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
10491 (vhdl-compose-components-package):
10492 Replace vhdl-goto-line with forward-line.
10493 (top-level): Tweak speedbar frame selection.
10494 (vhdl-generate-makefile-1): Support for compilers with no
10495 unit-to-file name mapping (create directory with dummy files).
10497 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
10499 Sync with upstream verilog-mode revision 702457d.
10500 * progmodes/verilog-mode.el (verilog-mode-version): Update.
10501 (create-lockfiles): Declare.
10502 (verilog-read-decls): Fix module header imports, bug709.
10503 Reported by Victor Lau.
10504 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
10505 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
10506 interface-only modules, bug721. Reported by Dean Hoyt.
10508 2014-03-26 Glenn Morris <rgm@gnu.org>
10510 * obsolete/gulp.el: Move here from emacs-lisp/.
10512 * files.el (lock-buffer, unlock-buffer, file-locked-p):
10513 Remove fallback aliases, since they are always defined now.
10515 2014-03-24 Daniel Colascione <dancol@dancol.org>
10517 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
10518 instead of cl-loop search function.
10520 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
10522 * calendar/parse-time.el (parse-time-iso8601-regexp)
10523 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
10526 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
10528 * net/dns.el (network-interface-list): Define for XEmacs.
10530 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
10532 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
10533 the network interfaces changed.
10534 (dns-query): Use it to flush the data.
10536 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
10538 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
10540 2014-03-23 Daniel Colascione <dancol@dancol.org>
10542 Change subword-mode to use `find-word-boundary-function-table' and
10543 replace `capitalized-words-mode'. Also, convert to lexical binding.
10544 * progmodes/cap-words.el: Delete now-obsolete file.
10545 * progmodes/subword.el: Reimplement using
10546 `find-word-boundary-function-table'.
10547 (subword-mode-map): Hollow out.
10548 (capitalized-words-mode): Define as obsolete alias for
10550 (subword-mode, superword-mode): Tweak documentation to reflect new
10551 implementation; call `subword-setup-buffer'.
10552 (subword-forward, subword-capitalize): Add underscore to indicate
10554 (subword-find-word-boundary-function-table): New constant.
10555 (subword-empty-char-table): New constant.
10556 (subword-setup-buffer): New function.
10557 (subword-find-word-boundary): New function.
10559 2014-03-23 Daniel Colascione <dancol@dancol.org>
10561 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
10562 list to look for keyword arguments instead of `memq', fixing
10563 (Bug#3647) --- unfortunately, only for freshly-compiled code.
10564 Please make bootstrap.
10566 2014-03-22 Glenn Morris <rgm@gnu.org>
10568 * dired.el (dired-read-regexp): Make obsolete.
10569 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
10570 (dired-flag-files-regexp):
10571 * dired-aux.el (dired-mark-read-regexp):
10572 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
10574 * startup.el (fancy-startup-text):
10575 * help.el (describe-gnu-project): Visit online info about GNU project.
10577 * help-fns.el (help-fns--interactive-only): New function.
10578 (help-fns-describe-function-functions): Add the above function.
10579 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
10580 (next-line, previous-line): Remove hand-written interactive-only
10581 information from doc strings, it is auto-generated now.
10582 * bookmark.el (bookmark-write):
10583 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
10584 (epa-mail-import-keys): Mark interactive-only,
10585 and remove hand-written interactive-only information from doc strings.
10586 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
10587 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
10588 * files.el (not-modified):
10589 * simple.el (mark-whole-buffer): Mark interactive-only.
10591 * emacs-lisp/byte-run.el (defun-declarations-alist):
10592 Add interactive-only. Doc tweak.
10593 (macro-declarations-alist): Doc tweak.
10594 * subr.el (declare): Doc tweak (add xref to manual).
10595 * comint.el (comint-run):
10596 * files.el (insert-file-literally, insert-file):
10597 * replace.el (replace-string, replace-regexp):
10598 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
10599 (delete-forward-char, goto-line, insert-buffer, next-line)
10600 (previous-line): Set interactive-only via declare.
10602 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
10604 * emacs-lisp/package.el (package-desc): Use the contents of the
10605 quoted form, not its cdr. (Bug#16873)
10607 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
10609 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
10610 benefit of doc.c; change parameter profile to match the X function.
10612 2014-03-22 Leo Liu <sdl.web@gmail.com>
10614 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
10615 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
10617 2014-03-21 Richard Stallman <rms@gnu.org>
10619 * battery.el (battery-linux-sysfs): Search for each field
10620 from the beginning of the buffer.
10622 * subr.el (set-transient-map): Clear out function and value
10623 of the temporary symbol when we're done with it.
10625 * mail/rmailsum.el (rmail-summary-delete-forward):
10626 Optimize case of reaching end and handling count.
10627 (rmail-summary-mark-deleted): Optimize when N is current msg.
10628 Don't create new summary line.
10629 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
10630 (rmail-summary-undelete-many): Rewrite for speed.
10631 (rmail-summary-msg-number): New function.
10633 * mail/rmail.el (rmail-delete-message): Update summary.
10634 (rmail-undelete-previous-message): Handle repeat count arg.
10635 (rmail-delete-backward, rmail-delete-forward): Likewise.
10637 2014-03-21 Daniel Colascione <dancol@dancol.org>
10639 * mail/emacsbug.el (report-emacs-bug): Include memory usage
10640 information in bug reports.
10642 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
10644 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
10645 and `tramp-copy-env'.
10647 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
10648 (tramp-maybe-open-connection): Handle `tramp-login-env'.
10650 2014-03-21 Glenn Morris <rgm@gnu.org>
10652 * electric.el (electric-indent-post-self-insert-function): Add doc.
10654 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
10656 * emacs-lisp/package.el (package-compute-transaction):
10657 Use `version-list-<=' to compare the requirement version against
10658 the version of package already to be installed. Update the error
10659 message. (Bug#16826)
10661 * progmodes/ruby-mode.el (ruby-smie-rules):
10662 Add indentation rule for ` @ '. (Bug#17050)
10664 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
10666 * align.el (align-regexp): Remove superfluous backslash.
10668 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
10669 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
10670 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
10671 Fix docstring typos.
10672 (ffap-next): Use C-u in docstring.
10673 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
10674 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
10675 Remove superfluous backslashes.
10676 (ffap-string-at-point): Reflow docstring.
10678 * server.el (server-host): Reflow docstring.
10679 (server-unload-function): Fix docstring typo.
10680 (server-eval-at): Remove superfluous backslash.
10682 * skeleton.el (skeleton-insert): Remove superfluous backslash.
10683 (skeleton-insert): Doc fix.
10684 (skeleton-insert): Reflow docstring.
10686 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
10687 (tty-color-approximate, tty-color-by-index, tty-color-values)
10688 (tty-color-desc): Remove superfluous backslashes.
10690 2014-03-21 Glenn Morris <rgm@gnu.org>
10692 * cus-start.el (history-length): Bump :version.
10694 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
10695 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
10696 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
10697 Don't set `make-backup-files'.
10699 * info.el (info--prettify-description): New function,
10700 to give info-finder descriptions consistent case, punctuation.
10701 (Info-finder-find-node): Use it. Sort packages.
10702 Refer to "description" rather than "commentary".
10704 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
10706 * frameset.el (frameset--print-register): New function.
10707 (frameset-to-register): Use it.
10709 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
10711 * progmodes/hideif.el (hif-string-to-number): New function.
10712 (hif-tokenize): Use it to understand non-decimal floats.
10714 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
10716 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
10718 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
10720 * electric.el (electric-newline-and-maybe-indent): New command.
10721 Bind it globally to C-j.
10722 (electric-indent-mode): Don't mess with the global map any more.
10723 Don't drop the post-self-insert-hook is some buffer is still using it
10726 * bindings.el (global-map): Remove C-j binding.
10728 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
10729 the docstring of functions advised before dumping (bug#16993).
10731 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
10733 * ps-print.el (ps-generate-postscript-with-faces):
10734 Explicitly deactivate the mark (bug#16866).
10735 * simple.el (deactivate-mark): Update region highlight.
10737 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
10739 * emacs-lisp/package.el (describe-package-1):
10740 Decode commentary (bug#16733).
10742 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
10744 * custom.el (defcustom): Doc fix: recommend avoiding destructive
10745 modification of the value argument of :set (bug#16755).
10747 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
10749 * simple.el (newline-and-indent): Do autofill (bug#17031).
10751 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
10753 * newcomment.el (comment-normalize-vars): Only add escaping check
10754 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
10755 (comment-beginning): Use `narrow-to-region' instead of moving back
10757 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
10758 (comment-start-skip): Update the docstring.
10760 2014-03-18 Richard Stallman <rms@gnu.org>
10762 * dired.el (dired-display-file): Force use of other window.
10764 2014-03-18 Daniel Colascione <dancol@dancol.org>
10766 * startup.el (tty-handle-args): Remove debug message from 2007.
10768 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
10770 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
10771 (advice--make-interactive-form): Use it to avoid (auto)loading function.
10772 (advice--make-1, advice-add, advice-remove):
10773 Remove braindead :advice-pending hack.
10775 2014-03-17 Glenn Morris <rgm@gnu.org>
10777 * calendar/calendar.el (calendar-generate-month): Apply weekend
10778 face to the right days; fixes 2013-08-06 change. (Bug#17028)
10780 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
10782 * net/tramp.el (tramp-action-out-of-band): Read pending output.
10783 (tramp-call-process): Trace also DESTINATION.
10785 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
10786 Quote file names when they are local. Remove superfluous trace.
10788 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
10790 * newcomment.el (comment-beginning): If `comment-start-skip'
10791 doesn't match, move back one char and try again. (Bug#16971)
10793 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
10794 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
10795 Set `comment-start-skip' to a simpler value that doesn't try to
10796 check if the semicolon is escaped (this is handled by
10797 `syntax-ppss' now). (Bug#16971)
10799 * progmodes/scheme.el (scheme-mode-variables): Same.
10801 2014-03-16 Martin Rudalics <rudalics@gmx.at>
10803 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
10804 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
10805 current (Bug#16816, Bug#17007).
10806 (with-current-buffer-window): New macro doing the same as
10807 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
10808 * help.el (help-print-return-message): Warn in doc-string to not
10809 use this in `with-help-window'.
10810 (describe-bindings-internal): Call `describe-buffer-bindings'
10811 from within help buffer. See Juanma's scenario in (Bug#16816).
10812 (with-help-window): Update doc-string.
10813 * dired.el (dired-mark-pop-up):
10814 * files.el (save-buffers-kill-emacs):
10815 * register.el (register-preview): Use `with-current-buffer-window'
10816 instead of `with-temp-buffer-window'.
10818 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
10820 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
10821 Implement inserting into current buffer, documented in their docstrings.
10822 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
10823 (rst-section-tree-point, rst-forward-section, rst-indent)
10824 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
10825 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
10826 (rst-font-lock-handle-adornment-pre-match-form)
10827 (rst-repeat-last-character): Reflow docstrings.
10828 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
10829 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
10830 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
10831 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
10832 Fix docstring typos.
10833 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
10834 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
10835 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
10837 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
10839 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
10840 for compatibility with other ports.
10841 (ns-initialize-window-system): Use it. It is set in term/common-win.el
10842 from the -xrm command line argument, but in the Nextstep port its value
10843 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
10845 * progmodes/python.el (defconst, python-syntax-count-quotes)
10846 (python-indent-region, python-indent-shift-right)
10847 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
10848 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
10849 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
10850 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
10851 (inferior-python-mode, python-shell-make-comint, run-python-internal)
10852 (python-shell-buffer-substring, python-shell-send-buffer)
10853 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
10854 (python-completion-complete-at-point, python-fill-docstring-style)
10855 (python-eldoc-function, python-imenu-format-item-label)
10856 (python-imenu-format-parent-item-label)
10857 (python-imenu-format-parent-item-jump-label)
10858 (python-imenu--build-tree, python-imenu-create-index)
10859 (python-imenu-create-flat-index): Fix docstring typos.
10860 (python-indent-context, python-shell-prompt-regexp, run-python):
10861 Remove superfluous backslashes.
10862 (python-indent-line, python-nav-beginning-of-defun)
10863 (python-shell-get-buffer, python-shell-get-process)
10864 (python-info-current-defun, python-info-current-line-comment-p)
10865 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
10866 (python-indent-post-self-insert-function, python-shell-send-file)
10867 (python-shell-completion-get-completions)
10868 (python-shell-completion-complete-or-indent)
10869 (python-eldoc--get-doc-at-point): Reflow docstrings.
10871 2014-03-14 Glenn Morris <rgm@gnu.org>
10873 * emacs-lisp/package.el (package-menu-mode-map):
10874 Replace use of obsolete function alias. Tweak menu item text.
10876 * info.el (Info-finder-find-node):
10877 Ignore the `emacs' metapackage. (Bug#10813)
10879 * finder.el (finder-list-matches): Include unversioned packages
10880 in the result of a keyword search.
10882 * finder.el (finder--builtins-descriptions): New constant.
10883 (finder-compile-keywords): Use finder--builtins-descriptions.
10885 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
10887 * simple.el (blink-matching-paren): Describe the new value,
10888 `jump', enabling the old behavior.
10889 (blink-matching-open): Use that value. (Bug#17008)
10891 2014-03-14 Glenn Morris <rgm@gnu.org>
10893 * finder.el (finder-no-scan-regexp): Add leim-list.
10894 (finder-compile-keywords):
10895 Don't skip files with same basename. (Bug#14010)
10896 * Makefile.in (setwins_finder): New, excluding leim.
10897 (finder-data): Use setwins_finder.
10899 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
10900 (help-function-arglist, help-make-usage): Move from here...
10901 * help.el (help-split-fundoc, help-add-fundoc-usage)
10902 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
10903 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
10905 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
10907 * net/socks.el (socks, socks-override-functions)
10908 (socks-find-services-entry):
10909 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
10910 (hif-find-ifdef-block):
10911 * progmodes/modula2.el (m2-indent): Fix docstring typos.
10913 * net/tls.el (tls-program): Reflow docstring.
10915 * progmodes/pascal.el (pascal-mode-abbrev-table)
10916 (pascal-imenu-generic-expression, pascal-auto-endcomments)
10917 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
10918 (pascal-outline-mode): Fix docstring typos.
10919 (pascal-mode): Let define-derived-mode document mode hook.
10920 (pascal-uncomment-area): Reflow.
10921 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
10923 * progmodes/opascal.el (opascal-compound-block-indent)
10924 (opascal-case-label-indent): Fix docstring typos.
10925 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
10927 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
10929 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
10930 Fontify multiple adjacent negation chars. (Bug#17004)
10932 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
10934 * emacs-lisp/package.el (package--prepare-dependencies):
10935 Accept requirements without explicit version (bug#14941).
10937 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10939 * register.el (register-separator, copy-to-register): Doc fixes.
10940 (register-preview-default): Remove unnecessary call to concat.
10942 * frameset.el (frameset-restore): When checking for a visible frame,
10943 use the action map instead of calling visible-frame-list.
10945 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
10947 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
10949 2014-03-12 Martin Rudalics <rudalics@gmx.at>
10951 * window.el (fit-frame-to-buffer): Get maximum width from
10952 display's width instead of height.
10954 2014-03-12 Glenn Morris <rgm@gnu.org>
10956 * desktop.el (desktop-restore-frames)
10957 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
10958 (desktop-restore-reuses-frames): Doc tweaks.
10960 * electric.el (electric-indent-mode): Doc fix.
10962 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10964 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
10965 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
10966 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
10967 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
10968 (cvs-dired-use-hook): Fix docstring typos.
10969 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
10972 * vc/pcvs-defs.el (cvs-auto-remove-handled)
10973 (cvs-auto-remove-directories, cvs-default-ignore-marks)
10974 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
10975 (cvs-execute-single-dir): Fix docstring typos.
10977 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
10978 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
10980 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
10982 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
10983 Fix docstring typos.
10985 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10987 * frameset.el (frameset--jump-to-register): Add autoload; it could be
10988 called from jump-to-register after unloading the frameset package.
10990 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
10992 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
10993 (bug#16975). Deactivate the mark before setting it to nil.
10994 (activate-mark): Do nothing if region is already active.
10996 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
10998 * frameset.el (frameset--target-display): Remove definition; declare.
10999 (frameset-save, frameset-restore): Let-bind frameset--target-display.
11001 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
11003 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
11004 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
11005 when the advice is pending.
11006 (advice-remove): Remove this marker when not needed any more.
11008 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
11010 * frameset.el: Separate options for reusing frames and cleaning up.
11011 (frameset--reuse-list): Remove definition; declare.
11012 (frameset--action-map): Declare.
11013 (frameset--find-frame-if): Doc fix.
11014 (frameset--restore-frame): Cache frame action.
11015 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
11016 how to clean up the frame list after restoring. Remove cleaning
11017 options from REUSE-FRAMES. Change all keyword values to symbols.
11018 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
11020 * desktop.el (desktop-restore-forces-onscreen)
11021 (desktop-restore-reuses-frames): Use non-keyword values.
11022 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
11024 2014-03-10 Glenn Morris <rgm@gnu.org>
11026 * files.el (find-file): Doc fix: update info node name.
11028 * emacs-lisp/advice.el (ad-add-advice, defadvice):
11029 Doc fix: remove references to deleted info nodes.
11031 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
11033 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
11034 Do not add nil to the environment, when there's no remote `locale'.
11035 (tramp-find-inline-encoding): Check, that the remote host has
11036 installed perl, before sending scripts.
11038 2014-03-10 Leo Liu <sdl.web@gmail.com>
11040 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
11041 Clear eldoc-last-message. (Bug#16920)
11043 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
11045 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
11048 2014-03-09 Juri Linkov <juri@jurta.org>
11050 * ansi-color.el (ansi-color-names-vector): Copy default colors
11051 from `xterm-standard-colors' that look well on the default white
11052 background (and also on the black background) to avoid illegible
11053 color combinations like yellow-on-white and white-on-white.
11054 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
11056 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
11058 * frameset.el (frameset-restore): When no frame is visible, do not
11059 generate a list of frames, just make visible the selected one.
11061 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
11063 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
11064 it only contains the repository root. (Bug#16897)
11066 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
11068 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
11069 only when `remote-file-name-inhibit-cache' is nil.
11070 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
11072 2014-03-06 Martin Rudalics <rudalics@gmx.at>
11074 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
11076 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
11077 fit-frame-to-buffer variable. Fix doc-string.
11078 (fit-window-to-buffer): Set ONLY argument in call of
11079 fit-frame-to-buffer. Fix doc-string.
11081 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
11083 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
11084 (tramp-action-password): Clear password cache if needed.
11085 (tramp-read-passwd): Do not clear password cache.
11087 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
11088 cache unless it is the first password request.
11090 2014-03-06 Glenn Morris <rgm@gnu.org>
11092 * simple.el (newline): Doc tweak.
11094 * emacs-lisp/shadow.el (load-path-shadows-find):
11095 Ignore dir-locals. (Bug#12357)
11097 2014-03-05 Glenn Morris <rgm@gnu.org>
11099 * files.el (interpreter-mode-alist):
11100 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
11102 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11104 * frameset.el (frameset--initial-params): Filter out null entries.
11106 2014-03-05 Martin Rudalics <rudalics@gmx.at>
11108 * window.el (window-min-height, window-min-width):
11109 Rewrite doc-strings.
11110 (window-body-size): Add PIXELWISE argument to make it consistent
11113 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11115 * finder.el (finder-mode-map, finder-mode-syntax-table):
11116 Revert part of 2014-02-28 change.
11118 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
11120 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
11121 (eww-setup-buffer): Clear next/prev/etc more reliably.
11122 (eww-textarea-map): [tab] doesn't work on tty.
11123 Reported by Mario Lang.
11125 * net/shr.el (shr-map): Ditto.
11127 2014-03-04 Glenn Morris <rgm@gnu.org>
11129 * minibuffer.el (completion-hilit-commonality):
11130 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
11132 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
11134 * hilit-chg.el (hilit-chg-unload-function): New function.
11135 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
11136 (hilit-chg-map-changes): Prefer cardinal number to digit.
11137 (hilit-chg-display-changes): Reflow docstring.
11138 (highlight-changes-rotate-faces): Remove superfluous backslash.
11140 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
11142 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
11143 `tramp-send-command-and-check'.
11145 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
11147 * hexl.el (hexl-address-region, hexl-ascii-region)
11148 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
11149 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
11150 (hexl-forward-word, hexl-previous-line, hexl-next-line):
11151 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
11152 (hexl-mode): Doc fix.
11153 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
11154 (hexl-mode-ruler): Fix typos in docstrings.
11156 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
11157 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
11158 Remove superfluous backslashes.
11159 (strokes-last-stroke, strokes-global-map, strokes-mode):
11161 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
11162 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
11163 (strokes-distance-squared, strokes-global-set-stroke)
11164 (strokes-global-set-stroke-string): Doc fixes.
11165 (strokes-help): Fix typos; reflow docstring.
11167 2014-03-04 Martin Rudalics <rudalics@gmx.at>
11169 * window.el (window-in-direction): Fix doc-string.
11171 2014-03-04 Glenn Morris <rgm@gnu.org>
11173 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
11174 Explicit error if no grammar.
11175 (smie-config-save): Doc fix. Fix quote typo.
11177 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
11179 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
11180 electric-indent-mode-hook if we obey electric-indent-mode.
11181 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
11182 decide whether we obey electric-indent-mode.
11183 (c-change-set-fl-decl-start, c-extend-after-change-region):
11185 (c-electric-indent-mode-hook): Assume we do want to obey
11186 electric-indent-mode.
11188 * electric.el (electric-indent-mode-has-been-called): Remove.
11189 (electric-indent-mode): Fix accordingly.
11191 * files.el (hack-local-variables): Mention file name in warning.
11193 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
11195 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
11197 * bindings.el: Add comment describing why C-d binds to `delete-char'.
11198 * simple.el (delete-forward-char): Mark as interactive-only.
11200 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
11202 * icomplete.el (icomplete-completions):
11203 Follow-up to 2014-03-01 change.
11205 * icomplete.el: Miscellaneous doc fixes.
11206 Use Icomplete everywhere instead of icomplete for consistency.
11207 (icomplete-max-delay-chars): Fix typo.
11208 (icomplete-mode): Use \[].
11209 (icomplete-tidy, icomplete-exhibit): Reflow.
11210 (icomplete-minibuffer-setup-hook, icomplete-completions):
11211 Remove superfluous backlashes.
11213 * ido.el: Miscellaneous doc fixes.
11214 Use Ido everywhere instead of ido or `ido' for consistency.
11215 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
11216 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
11217 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
11218 (ido-separator): Extract obsolescence info from docstring and declare
11219 with make-obsolete-variable.
11220 (ido-minibuffer-setup-hook): Simplify example.
11221 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
11222 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
11223 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
11224 (ido-everywhere): Reflow docstring.
11225 (ido-toggle-vc): Doc fix.
11226 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
11227 of long list of keybindings.
11229 2014-03-03 Glenn Morris <rgm@gnu.org>
11231 * frame.el (display-pixel-height, display-pixel-width)
11232 (display-mm-dimensions-alist, display-mm-height)
11233 (display-mm-width): Doc tweaks.
11235 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
11237 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
11238 case of undo in region.
11240 2014-03-02 Martin Rudalics <rudalics@gmx.at>
11242 * window.el (fit-window-to-buffer): Fix argument in window-size
11243 call when window is horizontally combined.
11245 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
11247 * icomplete.el (icomplete-completions): Use string-width.
11248 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
11250 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
11252 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11253 Highlight regexp options. (Bug#16914)
11255 2014-03-01 Martin Rudalics <rudalics@gmx.at>
11257 * window.el (window--max-delta-1): Round down when calculating
11258 how many lines/columns we can get from a window.
11260 2014-03-01 Glenn Morris <rgm@gnu.org>
11262 * isearch.el (search-invisible): Doc fix.
11264 * minibuffer.el (completion-hilit-commonality):
11265 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
11266 * comint.el (comint-dynamic-list-completions): Doc fix.
11267 * comint.el (comint-dynamic-list-completions):
11268 * filecache.el (file-cache-minibuffer-complete):
11269 * tempo.el (tempo-display-completions):
11270 * eshell/em-hist.el (eshell-list-history):
11271 Replace use of obsolete argument of display-completion-list.
11273 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
11275 * icomplete.el (icomplete-completions):
11276 Revert back to using "..." when ?… cannot be displayed.
11278 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
11280 * finder.el (finder-unload-function): New function.
11282 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
11284 * dframe.el (dframe-detach):
11285 * find-dired.el (find-dired, find-name-dired):
11286 * finder.el (finder-mode-map, finder-mode-syntax-table)
11287 (finder-headmark, finder-select, finder-mouse-select):
11288 Fix docstring typos.
11290 2014-02-28 Martin Rudalics <rudalics@gmx.at>
11292 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
11293 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
11294 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
11295 Fix doc-string based on a suggestion by Nicolas Richard
11296 <theonewiththeevillook@yahoo.fr>.
11297 * help.el (with-help-window): Fix doc-string.
11299 2014-02-28 Ivan Kanis <ivan@kanis.fr>
11301 * net/shr.el (shr-image-animate): New option.
11302 (shr-put-image): Respect shr-image-animate.
11304 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
11306 * net/tramp-adb.el (tramp-adb-parse-device-names):
11307 Use `accept-process-output'.
11308 (tramp-adb-handle-file-truename): Cache the localname only.
11309 (tramp-adb-handle-make-directory)
11310 (tramp-adb-handle-delete-directory): Flush file properties correctly.
11311 (tramp-adb-handle-set-file-modes): Do not raise an error when file
11312 modes cannot be changed.
11314 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
11315 file properties of symlinks.
11317 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
11319 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
11320 required/optional fields to match development biblatex. (Bug#16781)
11322 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
11324 * saveplace.el (toggle-save-place):
11325 Fix argument handling. (Bug#16673)
11327 2014-02-28 Glenn Morris <rgm@gnu.org>
11329 * minibuffer.el (completions-first-difference)
11330 (completions-common-part, completion-hilit-commonality): Doc fixes.
11332 2014-02-28 Karl Berry <karl@gnu.org>
11334 * info.el (Info-mode-map): Add H for describe-mode,
11335 to synchronize with standalone Info.
11337 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
11339 * progmodes/sql.el (sql-interactive-mode):
11340 Avoid setting global comint-input-ring-separator. (Bug#16814)
11342 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
11344 * net/dbus.el (dbus--init-bus): Declare function.
11345 (dbus-path-local, dbus-interface-local): New defconst.
11346 (dbus-init-bus): Use them.
11347 (dbus-return-values-table): Extend doc.
11348 (dbus-handle-bus-disconnect): Extend error message.
11350 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
11352 * subr.el (y-or-n-p): Fix double space issue in message.
11354 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
11356 * net/tramp.el (tramp-call-process): Improve trace message.
11357 (tramp-handle-insert-file-contents): Trace error case.
11359 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
11360 <insert-directory>: Use `tramp-handle-insert-directory'.
11361 (tramp-adb-handle-insert-directory): Remove function.
11362 (tramp-adb-send-command-and-check): New defun, replacing
11363 `tramp-adb-command-exit-status'. Change all callees.
11364 (tramp-adb-handle-file-attributes)
11365 (tramp-adb-handle-directory-files-and-attributes): Use it.
11366 (tramp-adb-ls-output-name-less-p):
11367 Use `directory-listing-before-filename-regexp'.
11368 (tramp-adb-handle-delete-directory): Flush also file properties of
11369 the truename of directory.
11370 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
11371 (tramp-adb-handle-file-local-copy): Make the local copy readable.
11372 (tramp-adb-handle-write-region): Implement APPEND.
11373 (tramp-adb-handle-rename-file): Make it more robust. Flush file
11374 properties correctly.
11375 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
11376 variables. Check for connected devices only when needed.
11378 2014-02-27 Glenn Morris <rgm@gnu.org>
11380 * minibuffer.el (completion-table-dynamic)
11381 (completion-table-with-cache): Doc fixes.
11383 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
11384 (completing-read-multiple): Doc fixes.
11386 2014-02-27 Daniel Colascione <dancol@dancol.org>
11388 * minibuffer.el (completion--nth-completion): Fix indentation.
11390 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
11391 explicit tramp path is empty.
11393 2014-02-27 Glenn Morris <rgm@gnu.org>
11395 * emacs-lisp/crm.el (completing-read-multiple):
11396 Empower help-enable-auto-load.
11398 2014-02-26 Glenn Morris <rgm@gnu.org>
11400 * startup.el (command-line): Don't init the tty in daemon mode.
11402 Avoid calling tty-setup-hook twice, eg if a term file
11403 explicitly calls tty-run-terminal-initialization. (Bug#16859)
11404 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
11405 (tty-create-frame-with-faces): Use it.
11406 * startup.el (command-line): Pass run-hook argument
11407 to tty-run-terminal-initialization.
11409 * dired.el (dired-restore-desktop-buffer): Demote errors;
11410 eg in case a glob match fails. (Bug#16884)
11412 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
11414 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
11415 error from `read-from-string'. (Bug#16850)
11417 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
11418 result of `completing-read' in the interactive form. (Bug#16854)
11420 2014-02-25 Glenn Morris <rgm@gnu.org>
11422 * image.el (image-animate, image-animate-timeout):
11423 Stop animating images in dead buffers. (Bug#16878)
11425 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
11427 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
11429 * startup.el (term-setup-hook): Doc fix. Make obsolete.
11430 * term/sun.el (sun-raw-prefix-hooks):
11431 Use tty-setup-hook instead of term-setup-hook.
11432 (terminal-init-sun): Construct message from bytecomp plist.
11433 * term/wyse50.el (enable-arrow-keys): Doc fix.
11435 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
11437 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
11438 Fix docstring typos.
11440 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
11442 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
11444 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
11446 * minibuffer.el (completion--try-word-completion):
11447 Fix error when completing M-x commands (bug#16808).
11449 2014-02-24 Leo Liu <sdl.web@gmail.com>
11451 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
11453 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
11455 * apropos.el (apropos-print): Avoid formatting error when
11456 apropos-do-all and apropos-compact-layout are both t.
11458 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
11460 * apropos.el (apropos-property, apropos-all-words-regexp)
11461 (apropos-true-hit, apropos-variable, apropos-print):
11462 Fix docstring typos, and remove obsolete comment.
11464 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
11466 * net/tramp-sh.el (tramp-sh-handle-file-truename):
11467 Preserve trailing "/". (Bug#16851)
11469 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
11471 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
11472 after `=>' (bug#16811).
11473 (ruby-smie-rules): Handle the inconsistent second element of the
11474 list returned by `smie-indent--parent'.
11475 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
11478 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
11480 * elec-pair.el (electric-pair-text-syntax-table)
11481 (electric-pair-syntax-info, electric-pair--syntax-ppss)
11482 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
11483 (electric-pair--looking-at-unterminated-string-p): Doc fix.
11484 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
11486 2014-02-22 Glenn Morris <rgm@gnu.org>
11488 * imenu.el (imenu--generic-function): Doc fix.
11490 * register.el (frame-configuration-to-register): Make obsolete.
11492 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
11494 * desktop.el (desktop-save-buffer-p): Do not fail when
11495 desktop-files-not-to-save is nil. Return t for true result
11498 2014-02-22 Daniel Colascione <dancol@dancol.org>
11500 * net/secrets.el (secrets-create-item, secrets-search-items):
11501 Check that attribute values are strings, avoiding the construction
11502 of invalid dbus messages.
11504 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11506 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
11507 defun-declarations-alist.
11509 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
11511 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
11514 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11516 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
11517 (whitespace-newline, whitespace-trailing, whitespace-line)
11518 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
11519 (whitespace-space-after-tab): Fix typo in docstrings.
11521 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
11523 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
11525 * electric.el (electric-indent-functions-without-reindent):
11526 Add `yaml-indent-line'.
11528 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11530 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
11531 It has done nothing for years; should be removed after the release.
11533 * simple.el (choose-completion): Fix docstring typo.
11534 (read-quoted-char-radix): Remove unneeded * in docstring.
11535 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
11536 Don't escape parentheses unnecessarily in docstrings.
11538 2014-02-21 Martin Rudalics <rudalics@gmx.at>
11540 Fix handling of window-min-height/-width (Bug#16738).
11541 * window.el (window--dump-window, window--dump-frame):
11543 (window--min-size-1): Account for window dividers.
11544 When window-resize-pixelwise is nil, delay rounding till after the
11545 sum of the window components has been calculated.
11546 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
11547 nil make sure at least one text line and two text columns remain
11549 (window-resize): Signal an error when window-resize-apply fails.
11550 (window--resize-child-windows): Fix calculation of by how many
11551 pixels a window can still be shrunk via window-new-normal.
11552 (adjust-window-trailing-edge): Call window--resizable with
11553 correct TRAIL argument.
11555 (with-temp-buffer-window): Don't evaluate BODY within
11556 with-current-buffer (Bug#16816).
11558 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
11560 * net/tramp.el (tramp-check-cached-permissions):
11561 Call `file-attributes' with `suffix' being a symbol but a string.
11563 2014-02-21 Daniel Colascione <dancol@dancol.org>
11565 * net/dbus.el (dbus-init-bus-1): Declare new subr.
11566 (dbus-init-bus): New function: call into dbus-init-bus-1
11567 and installs a handler for the disconnect signal.
11568 (dbus-call-method): Rewrite to look for result in cons.
11569 (dbus-call-method-handler): Store result in cons.
11570 (dbus-check-event): Recognize events with nil sender as valid.
11571 (dbus-handle-bus-disconnect): New function. React to bus
11572 disconnection signal by synthesizing dbus error for each
11573 pending synchronous or asynchronous call.
11574 (dbus-notice-synchronous-call-errors): New function.
11575 (dbus-handle-event): Raise errors directly only when `dbus-debug'
11576 is true, not all the time.
11578 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11580 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
11581 Remove obsolescence declarations, these variables do not exist anymore.
11583 * savehist.el (savehist-save-minibuffer-history)
11584 (savehist-additional-variables, savehist-file, savehist-mode-hook)
11585 (savehist-save-hook, savehist-coding-system, savehist-loaded)
11586 (savehist-load, savehist-install, savehist-autosave): Fix typos;
11587 mostly, refer to "Savehist mode" when talking about the mode,
11588 and not the function.
11590 * saveplace.el (save-place): Remove redundant info in docstring.
11591 (save-place-forget-unreadable-files, toggle-save-place)
11592 (save-place-forget-unreadable-files, save-place-dired-hook):
11593 Fix typos and remove unneeded backslashes.
11595 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
11597 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
11598 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
11600 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
11601 <insert-directory>: Use `tramp-handle-insert-directory'.
11602 (tramp-gvfs-handle-insert-directory): Remove function.
11604 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
11605 Call `tramp-handle-insert-directory'.
11607 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
11609 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
11610 before the start of buffer/region (bug#16799).
11612 2014-02-20 Glenn Morris <rgm@gnu.org>
11614 * isearch.el (search-invisible): Doc fix.
11616 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
11618 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
11621 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
11623 * frameset.el (frameset-restore): Delay removing an old frame's
11624 duplicate id until the new frame has been correctly created.
11626 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
11628 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
11629 (tramp-check-cached-permissions): Call `file-attributes' if the
11632 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
11633 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
11635 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
11636 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
11637 (tramp-gvfs-maybe-open-connection): Set always connection
11638 properties, even if target is mounted already.
11640 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
11641 Set tramp-autoload cookie.
11642 (tramp-get-remote-touch): New defun.
11643 (tramp-sh-handle-set-file-times): Use it.
11644 (tramp-sh-handle-directory-files-and-attributes):
11645 Use `tramp-handle-directory-files-and-attributes' if neither stat
11646 nor perl are available on the remote host.
11648 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
11649 "/". Write long listing only when "l" belongs to the switches.
11651 * net/trampver.el: Update release number.
11653 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
11655 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
11657 2014-02-19 Martin Rudalics <rudalics@gmx.at>
11659 * window.el (window-state-put): Allow WINDOW to refer to an
11660 internal window (Bug#16793).
11662 2014-02-19 Glenn Morris <rgm@gnu.org>
11664 * textmodes/remember.el: Move provide statement to end.
11665 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
11666 (remember-notes): Doc fixes.
11668 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
11670 * delsel.el (delete-char): Restore incorrectly erased property
11673 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
11675 * frameset.el (frameset--restore-frame): When a frame is being reused
11676 and its root window is not alive, delete all the frame's windows before
11677 restoring the window state. This works around the issue in bug#16793.
11679 2014-02-18 Glenn Morris <rgm@gnu.org>
11681 * textmodes/remember.el (remember-data-directory)
11682 (remember-directory-file-name-format, remember-store-in-files)
11683 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
11684 (remember-notes-save-and-bury-buffer)
11685 (remember-notes--kill-buffer-query): Doc fixes.
11687 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
11689 2014-02-17 Alan Mackenzie <acm@muc.de>
11691 Connect electric-indent-mode up with CC Mode. Bug #15478.
11692 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
11693 to electric-indent-{,local-}-mode.
11694 (c-basic-common-init): Set electric-indent-inhibit.
11695 Initialise c-electric-flag from electric-indent-mode.
11696 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
11697 New hook functions which propagate electric-indent-mode to CC mode.
11699 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
11700 hit, toggle electric-indent-local-mode.
11702 * electric.el (electric-indent-mode-has-been-called):
11705 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
11707 * frameset.el (frameset-cfg-id): New function.
11708 (frameset--reuse-frame, frameset-restore): Use it.
11709 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
11711 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
11713 * ido.el (ido-file-internal): Remove unused var `d'.
11714 Use \` for to match BoS. Fit within 80n columns.
11716 2014-02-17 Daniel Colascione <dancol@dancol.org>
11718 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
11719 dbus-call-method check for completion using a busy-wait loop with
11722 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
11724 Sync with Tramp 2.2.9.
11726 * net/trampver.el: Update release number.
11728 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
11730 * ido.el (ido-file-internal): Don't add the name of an existing
11731 directory twice. (Bug#16747)
11733 2014-02-16 Glenn Morris <rgm@gnu.org>
11735 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
11736 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
11738 2014-02-15 Michael R. Mauger <michael@mauger.com>
11740 * progmodes/sql.el: Version 3.4
11741 (sql-oracle-options): New default value ("-L").
11742 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
11743 (sql-placeholders-filter): Correct placeholder pattern.
11744 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
11745 (sql-login-delay): New variable.
11746 (sql-product-interactive): Use it.
11748 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
11750 * frameset.el (frameset--jump-to-register): Check that buffer is live
11753 2014-02-15 Glenn Morris <rgm@gnu.org>
11755 * info.el (info-initialize): Revert 2014-01-10 change.
11757 2014-02-14 Glenn Morris <rgm@gnu.org>
11759 * replace.el (map-query-replace-regexp)
11760 (read-regexp-defaults-function, read-regexp): Doc fixes.
11762 * dired.el (dired-read-regexp):
11763 * faces.el (list-faces-display):
11764 * misearch.el (multi-isearch-read-matching-buffers)
11765 (multi-isearch-read-matching-files):
11766 * play/cookie1.el (cookie-apropos):
11767 * progmodes/grep.el (grep-read-regexp): Doc fixes.
11769 * textmodes/remember.el (remember): Use frameset-to-register
11770 rather than frame-configuration-to-register.
11772 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
11774 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
11775 incorrect keybinding.
11777 2014-02-13 Daniel Colascione <dancol@dancol.org>
11779 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
11780 when adding overlays so that line numbers from compiler match line
11783 2014-02-13 Glenn Morris <rgm@gnu.org>
11785 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
11787 * jit-lock.el (jit-lock-mode): Doc fix.
11789 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
11791 * apropos.el (apropos-read-pattern): When the user passes an empty
11792 string, give a more helpful error message than "Wrong type
11793 argument: stringp, nil".
11795 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
11797 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
11799 2014-02-13 Glenn Morris <rgm@gnu.org>
11801 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
11803 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
11805 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
11806 shift-select commands.
11808 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
11810 * progmodes/js.el (js-indent-line): Don't widen.
11811 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
11813 2014-02-12 Glenn Morris <rgm@gnu.org>
11815 * icomplete.el (icomplete): Add info-link to defgroup.
11816 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
11817 (icomplete-minibuffer-map, icomplete-mode)
11818 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
11820 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
11821 (package-menu-filter): Rename from package-menu-filter-interactive.
11824 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
11826 * frameset.el (frameset--jump-to-register): Select the required
11827 window and buffer before restoring position (bug#16696).
11829 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
11831 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
11833 2014-02-10 Glenn Morris <rgm@gnu.org>
11835 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
11837 2014-02-10 Eli Zaretskii <eliz@gnu.org>
11839 * w32-common-fns.el (x-get-selection): Doc fix.
11840 * select.el (x-get-selection): Doc fix. (Bug#15109)
11842 * face-remap.el (face-remap-add-relative)
11843 (face-remap-remove-relative, face-remap-reset-base)
11844 (face-remap-set-base): Call force-mode-line-update to redisplay
11845 the current buffer due to potential change in faces. (Bug#16709)
11847 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
11849 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
11850 script more robustly.
11852 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
11854 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
11856 * simple.el (choose-completion): Doc fix (bug#14160).
11858 * subr.el (event-start): Say what a nil EVENT value means.
11860 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
11863 * progmodes/grep.el (find-program): Doc fix (bug#14289).
11865 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
11867 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
11869 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
11870 predicate function (bug#15455).
11872 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
11874 * ielm.el (inferior-emacs-lisp-mode): Instead of
11875 `comment-use-global-state', set `comment-use-syntax'.
11877 2014-02-10 Glenn Morris <rgm@gnu.org>
11879 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
11881 2014-02-09 Alan Mackenzie <acm@muc.de>
11883 Fix c-invalidate-state-cache on narrowed buffers.
11884 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
11885 Widen when setting and clearing the CPP delimiter properties.
11887 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
11889 * help.el (describe-bindings): Doc fix (bug#9888).
11891 * files.el (save-buffer): Use ARG as the parameter name for
11892 consistency (bug#10346).
11893 (save-buffer): Clarify the 0 argument (bug#10346).
11895 * cus-edit.el (customize-apropos): Fix error string.
11896 (custom-buffer-create): Doc fix (bug#11122).
11897 (custom-sort-items): Doc fix (bug#11121).
11899 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
11901 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
11902 (icomplete-simple-completing-p): Mention the previous variable.
11904 * font-lock.el (font-lock-value-in-major-mode): Clarify the
11905 meaning of the parameter (bug#12282).
11907 * files.el (find-file-noselect): Clarify prompt when changing
11908 readedness (bug#13261).
11909 (locate-file): Suffixes aren't returned, so don't say that they
11911 (backup-inhibited): Doc clarification (bug#12525).
11913 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
11914 before we actually start to delete things (bug#16331).
11916 * subr.el (event-start): Doc fix (bug#14228).
11917 (event-end): Ditto.
11919 2014-02-09 Glenn Morris <rgm@gnu.org>
11921 * emacs-lisp/warnings.el (lwarn):
11922 Empower help-enable-auto-load. (Bug#15940)
11924 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
11926 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
11929 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
11931 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
11932 Insert output at end of buffer. (Bug#16120)
11934 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
11936 * simple.el (choose-completion-string-functions): Document new
11937 calling convention (bug#14153).
11938 (execute-extended-command): Clarify doc string (bug#13373).
11940 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
11942 * find-dired.el (find-name-dired): Doc fix (bug#14290).
11943 (find-grep-dired): Doc fix (bug#14288).
11945 2014-02-08 Juri Linkov <juri@jurta.org>
11947 * isearch.el (isearch-quote-char): Check character validity
11948 like in `quoted-insert' (bug#16677).
11950 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
11952 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
11954 * isearch.el (isearch-hide-immediately): Doc clarification
11957 * simple.el (line-move): Document utility function used many
11958 places in the Emacs sources (bug#14843).
11960 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
11961 (dired-prev-marked-file): Doc fix (bug#14855).
11962 (dired-up-directory): Doc fix (bug#14848).
11964 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
11966 * files.el (file-relative-name): Doc fix (bug#15159).
11968 * fringe.el (fringe-styles): Doc fix (bug#15239).
11970 * isearch.el (isearch-filter-predicate): Documentation typo fix
11973 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
11975 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
11977 * replace.el (replace-match-maybe-edit): Doc clarification
11980 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
11982 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
11984 2014-02-08 Glenn Morris <rgm@gnu.org>
11986 * help-fns.el (describe-variable):
11987 Check {file,dir}-local-variables-alist, and buffer-file-name,
11988 in the correct buffer.
11990 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
11992 * help-fns.el (describe-variable): Fix the case where
11993 a value is directory-local with no dir-locals file. (Bug#16635)
11995 2014-02-08 Glenn Morris <rgm@gnu.org>
11997 * abbrev.el (edit-abbrevs-mode):
11998 Derive from fundamental-mode. (Bug#16682)
12000 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
12002 * simple.el (quoted-insert): Check character validity (bug#16677).
12004 2014-02-07 Juri Linkov <juri@jurta.org>
12006 * desktop.el (desktop-read): Claim the lock when the owner is not
12007 the current process. (Bug#16157)
12009 2014-02-07 Juri Linkov <juri@jurta.org>
12011 * desktop.el (desktop-buffers-not-to-save): Change default from nil
12012 to "\\` ". (Bug#16651)
12014 2014-02-07 Juri Linkov <juri@jurta.org>
12016 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
12017 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
12018 (desktop-auto-save-cancel-timer): New function with some code from
12019 `desktop-auto-save-set-timer'.
12020 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
12021 Instead of setting `desktop-save-mode' to nil, call
12022 `desktop-save-mode' with arg 0. (Bug#16630)
12024 2014-02-07 Glenn Morris <rgm@gnu.org>
12026 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
12027 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
12028 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
12030 * obsolete/iswitchb.el: Move to obsolete/.
12031 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
12032 since obsolete/ is not scanned for autoloads.
12033 * emacs-lisp/authors.el (authors-valid-file-names):
12036 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
12037 Disable now non-functional find-file-hook.
12039 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
12041 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
12042 instead of ";" in order to avoid additional prompts. Let heredoc
12043 scripts read from tty. (Bug#16582)
12044 (tramp-send-command): No special handling of heredocs, it isn't
12047 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
12049 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
12050 with a space (bug#16664). Limit the symbols considered to the ones
12051 that are bound or fbound (bug#16646).
12053 2014-02-06 Glenn Morris <rgm@gnu.org>
12055 * epa.el (epa-mail-aliases): Doc fix.
12057 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
12059 * emacs-lisp/lisp.el (lisp-completion-at-point):
12060 Use `completion-table-merge' instead of `completion-table-in-turn'
12063 * minibuffer.el (completion-table-merge): New function.
12065 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
12067 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
12068 (tramp-sh-handle-set-file-acl)
12069 (tramp-sh-handle-start-file-process)
12070 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
12071 (tramp-find-executable, tramp-send-command): Use it.
12073 2014-02-05 Glenn Morris <rgm@gnu.org>
12075 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
12077 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
12079 * progmodes/python.el (python-shell-send-string)
12080 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
12082 2014-02-04 Anders Lindgren <andlind@gmail.com>
12084 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
12085 the names (bug#16620).
12087 2014-02-03 Martin Rudalics <rudalics@gmx.at>
12089 * faces.el (window-divider): New default value. Rewrite doc-string.
12090 (window-divider-first-pixel, window-divider-last-pixel): New faces.
12092 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
12094 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
12095 `protected' and `public' can also be called without arguments.
12097 2014-02-03 Glenn Morris <rgm@gnu.org>
12099 * register.el (window-configuration-to-register)
12100 (frame-configuration-to-register): Unadvertise unused argument.
12101 * frameset.el (frameset-to-register): Remove unused argument.
12103 * frameset.el (frameset-to-register):
12104 * kmacro.el (kmacro-to-register):
12105 * register.el (increment-register):
12106 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
12107 (calc-append-to-register, calc-prepend-to-register):
12108 * play/gametree.el (gametree-layout-to-register)
12109 (gametree-apply-register-layout):
12110 * textmodes/picture.el (picture-clear-rectangle-to-register)
12111 (picture-yank-rectangle-from-register):
12112 * vc/emerge.el (emerge-combine-versions-register):
12113 Use register-read-with-preview to read registers.
12115 2014-02-03 João Távora <joaotavora@gmail.com>
12117 * elec-pair.el (electric-pair-backward-delete-char): Don't error
12118 when at beginning of (possibly narrowed) buffer.
12120 2014-02-02 Daniel Colascione <dancol@dancol.org>
12122 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
12123 Also try to display local help from just before point.
12125 2014-02-02 Alan Mackenzie <acm@muc.de>
12127 c-parse-state. Don't "append-lower-brace-pair" in certain
12128 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
12129 recognised as a comment.
12131 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
12132 as well as normal comment starter.
12133 (c-parse-state-get-strategy): Extra return possibility
12135 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
12136 return value list to indicate replacement of a brace-pair cons
12138 (c-parse-state-1): With 'back-and-forward, only call
12139 c-append-lower-brace-pair-to state-cache when cons-separated.
12141 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
12143 * term/ns-win.el (ns-suspend-error): New function.
12144 (ns-initialize-window-system): Add ns-suspend-error to
12145 suspend-hook (Bug#16612).
12147 2014-02-02 Daniel Colascione <dancol@dancol.org>
12149 * progmodes/cc-defs.el (c-find-assignment-for-mode):
12150 Make loading cc-mode silent.
12152 2014-02-02 Daniel Colascione <dancol@dancol.org>
12154 * comint.el (comint-prompt-read-only): Change doc to suggest
12157 2014-02-02 Glenn Morris <rgm@gnu.org>
12159 * register.el (register-read-with-preview, point-to-register)
12160 (window-configuration-to-register, frame-configuration-to-register)
12161 (jump-to-register, number-to-register, view-register, insert-register)
12162 (copy-to-register, append-to-register, prepend-to-register)
12163 (copy-rectangle-to-register): Doc fixes.
12165 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
12167 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
12168 * emacs-lisp/find-func.el (find-function-C-source): Idem.
12169 * emacs-lisp/nadvice.el (advice--cd*r): New function.
12170 * help-fns.el (describe-function-1): Use it.
12172 2014-02-02 Glenn Morris <rgm@gnu.org>
12174 * register.el (register-preview-default): New function,
12175 split from register-preview.
12176 (register-preview-function): Rename from register-preview-functions,
12177 make it not a hook.
12178 (register-preview): Use register-preview-function.
12179 (register-read-with-preview): Error on non-character event. (Bug#16595)
12181 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
12183 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
12184 `:' before binary operators (bug#16609). Don't check for `:'
12185 before `[' and `(', or their syntax status. A percent literal
12186 can't end with either.
12187 (ruby-font-lock-keywords): For built-ins that require arguments,
12188 check that they're followed by something that looks like argument
12191 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
12193 * subr.el (butlast): Document what an omitted N means (bug#13437).
12196 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
12198 * net/shr.el (shr-generic): Make into a defsubst to make the stack
12199 depth shallower (bug#16587).
12200 (shr-tag-svg): Respect `shr-inhibit-images'.
12201 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
12203 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
12205 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
12206 (ruby-smie-grammar): Make "." right-associative. Make its priority
12207 lower than the ternary and all binary operators.
12208 (ruby-smie-rules): Indent "(" relative to the first non-"."
12209 parent, or the first "." parent at indentation.
12210 Use `ruby-align-chained-calls' for indentation of "." tokens.
12213 2014-01-31 Juri Linkov <juri@jurta.org>
12215 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
12216 from `make-hash-table'.
12218 * textmodes/ispell.el (ispell-init-process): Change message format
12219 to be consistent with other messages.
12221 2014-01-31 Glenn Morris <rgm@gnu.org>
12223 * delsel.el (delete-selection-mode): Doc fix.
12225 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
12226 (trace-function-background): Doc fixes.
12228 * ido.el (ido-use-virtual-buffers): Doc fix.
12229 Reset :version, since the default value has not changed.
12231 * register.el (register-preview-delay, register-read-with-preview):
12234 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
12235 do not mess with mail-buffer position (fixes 2009-11-03 change).
12236 * progmodes/cc-mode.el (c-submit-bug-report):
12237 Check auto-fill-mode is bound. (Bug#16592)
12239 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
12241 * startup.el (fancy-splash-image-file): New function,
12242 split from fancy-splash-head.
12243 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
12244 so that we are both using the same image. (Bug#16574)
12246 2014-01-30 Glenn Morris <rgm@gnu.org>
12248 * simple.el (eval-expression): Doc fix.
12250 * hexl.el (hexl-mode-hook):
12251 * ielm.el (ielm-mode-hook):
12252 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
12253 (lisp-interaction-mode-hook):
12254 * progmodes/cfengine.el (cfengine3-documentation-function):
12255 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
12257 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
12259 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
12260 is a symbol (bug#16584).
12262 2014-01-30 Glenn Morris <rgm@gnu.org>
12264 * help.el (help-for-help-internal): Add "P" to text.
12266 2014-01-29 Glenn Morris <rgm@gnu.org>
12268 * simple.el (just-one-space, cycle-spacing): Doc fixes.
12270 2014-01-28 Martin Rudalics <rudalics@gmx.at>
12272 * window.el (fit-frame-to-buffer): Fix calculations for margins and
12273 height constraints.
12275 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
12277 * progmodes/hideif.el: Extend to full CPP expression syntax.
12278 (hif-token-alist): Add missing tokens.
12279 (hif-token-regexp): Add support for float/octal/hex immediates.
12280 (hif-string-literal-regexp): New const.
12281 (hif-tokenize): Recognize strings and float/octal/hex immediates.
12282 (hif-exprlist): New function.
12283 (hif-parse-if-exp): Use it.
12284 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
12285 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
12286 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
12287 (hif-logxor, hif-comma): New functions.
12289 2014-01-28 Glenn Morris <rgm@gnu.org>
12291 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
12293 * indent.el (tab-stop-list): Doc fix. Add :version.
12295 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
12296 (cvs-append-to-ignore): Add compatibility alias.
12298 2014-01-27 Glenn Morris <rgm@gnu.org>
12300 * dired.el (dired-hide-details-mode): Don't autoload it,
12301 since it cannot be used outside Dired buffers anyway.
12303 * emulation/cua-base.el (cua-mode): Doc fix.
12305 * dired.el (dired-hide-details-hide-symlink-targets)
12306 (dired-hide-details-hide-information-lines)
12307 (dired-hide-details-mode): Doc fixes.
12309 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
12310 * strokes.el (strokes-file): Doc fix. Bump :version.
12311 (strokes-help): Doc fix.
12312 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
12313 * emulation/viper.el (viper): Doc fix for custom group.
12314 (top-level): Remove oh-so-no-longer-relevant text about vip.
12315 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
12317 * ido.el (ido-save-directory-list-file):
12318 * saveplace.el (save-place-file):
12319 * calendar/timeclock.el (timeclock-file):
12320 * net/quickurl.el (quickurl-url-file):
12321 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
12322 * progmodes/idlwave.el (idlwave-config-directory):
12323 * textmodes/remember.el (remember-data-file):
12326 2014-01-26 Glenn Morris <rgm@gnu.org>
12328 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
12329 Doc fix. Make obsolete.
12330 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
12332 * sort.el (delete-duplicate-lines): Doc fix.
12334 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12336 * progmodes/ada-mode.el (ada):
12337 * woman.el (woman): Link to info manual and Commentary section.
12339 * progmodes/flymake.el (flymake):
12340 * nxml/nxml-mode.el (nxml):
12341 * net/eww.el (eww):
12342 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
12343 * htmlfontify.el (htmlfontify):
12346 * ido.el (ido): Link to info manual.
12348 2014-01-25 Leo Liu <sdl.web@gmail.com>
12350 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
12352 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
12354 * net/shr.el (shr-tag-img): Prefer the title over the alt text
12357 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
12359 * net/eww.el (eww-download-callback):
12360 Fix reference to eww-download-directory.
12362 * emacs-lisp/bytecomp.el (byte-compile-file):
12363 Remove unused local variable `file-name'.
12365 2014-01-24 Glenn Morris <rgm@gnu.org>
12367 * woman.el (woman-default-faces, woman-monochrome-faces):
12368 Fix obsolescence specification.
12370 * subr.el (with-demoted-errors): Doc fix.
12372 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
12374 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
12375 (cl--macroexp-fboundp): New function.
12376 (cl--make-type-test): Use it.
12378 2014-01-23 Glenn Morris <rgm@gnu.org>
12380 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
12381 * simple.el (eval-expression): Doc fixes.
12383 2014-01-22 Glenn Morris <rgm@gnu.org>
12385 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
12387 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
12389 * emacs-lisp/package.el: Write files silently.
12390 (package-autoload-ensure-default-file, package--write-file-no-coding)
12391 (package-generate-description-file, package--download-one-archive)
12392 (package-install-from-archive): Tell `write-region' to stay quiet.
12393 (package-menu-mode, package-menu--print-info): Omit the Archive column
12394 if there's only one archive.
12395 (package-all-keywords, package--has-keyword-p): Remove dead code.
12397 2014-01-22 Glenn Morris <rgm@gnu.org>
12399 * version.el (emacs-bzr-version-bzr): Fix typo.
12401 * version.el (emacs-repository-get-version):
12402 Check either .bzr or .git, but not both.
12403 Make the git case actually use the DIR argument, and return nil
12404 rather than the empty string.
12405 Avoid error if .git exists but the git executable is not found.
12407 2014-01-22 Martin Rudalics <rudalics@gmx.at>
12409 Fixes in window size functions around Bug#16430 and Bug#16470.
12410 * window.el (window-total-size, window-size): New argument ROUND.
12411 (window--min-delta-1, window-min-delta, window--max-delta-1):
12412 Be more conservative when calculating the numbers of lines or
12413 columns a window can shrink (Bug#16430).
12414 (fit-window-to-buffer): Simplify code.
12415 * term.el (term-window-width): Call window-body-width again.
12417 2014-01-22 Glenn Morris <rgm@gnu.org>
12419 * image.el (image-format-suffixes): Doc fix.
12421 * international/quail.el (quail-define-package): Doc fix.
12423 * emacs-lisp/authors.el (authors-valid-file-names)
12424 (authors-renamed-files-alist): Additions.
12426 * vc/vc-git.el (vc-git-print-log): Remove --follow;
12427 reverts 2014-01-09 change. (Bug#16422)
12429 * calc/calc-embed.el (thing-at-point-looking-at):
12430 * emacs-lisp/map-ynp.el (x-popup-dialog):
12431 * obsolete/lmenu.el (x-popup-dialog):
12432 * emacs-lisp/package.el (url-recreate-url):
12433 * mail/mailclient.el (clipboard-kill-ring-save):
12434 * subr.el (x-popup-dialog): Update declaration.
12435 * mail/rmail.el (rmail-mime-message-p):
12436 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
12438 2014-01-21 Daniel Colascione <dancol@dancol.org>
12440 * progmodes/sh-script.el (sh--inside-noncommand-expression):
12441 Correctly detect when we're inside an arithmetic expansion form
12442 containing nested parenthesis.
12443 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
12444 to detect cases where we shouldn't expand "<<" to a heredoc
12447 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
12449 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
12450 (eldoc--message-command-p): New function.
12451 (eldoc-display-message-p): Use it.
12452 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
12453 message is not automatically erased for us.
12454 (eldoc-print-current-symbol-info): Erase previous message, if any.
12456 2014-01-21 Tassilo Horn <tsdh@gnu.org>
12458 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
12459 specify it's an interactive function.
12461 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
12462 Fix regex used for scanning for citation keys which failed for
12463 citations with optional arguments.
12465 2014-01-21 Leo Liu <sdl.web@gmail.com>
12467 * simple.el (read--expression): Don't enable eldoc-mode.
12469 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
12471 * simple.el (move-beginning-of-line): Make sure we don't move forward
12474 2014-01-20 Juri Linkov <juri@jurta.org>
12476 * saveplace.el (toggle-save-place, save-place-to-alist)
12477 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
12478 'dired-mode) before checking for dired-directory. (Bug#16477)
12480 2014-01-20 Juri Linkov <juri@jurta.org>
12482 * indent.el (indent-line-to): Use backward-to-indentation
12483 instead of back-to-indentation. (Bug#16461)
12485 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
12487 Revert some of the CANNOT_DUMP fix (Bug#16494).
12488 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
12489 but fixing this can wait until after the next release.
12490 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
12492 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
12494 * eshell/esh-mode.el (eshell-password-prompt-regexp):
12495 Use `password-word-equivalents'.
12496 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
12497 to t. (Bug#5664, Bug#13124)
12499 2014-01-19 Alan Mackenzie <acm@muc.de>
12501 Bind open-paren-in-column-0-is-defun-start to nil at some entry
12503 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
12504 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
12505 * progmodes/cc-mode.el (c-before-change, c-after-change)
12506 (c-font-lock-fontify-region): Bind it here.
12508 2014-01-19 Martin Rudalics <rudalics@gmx.at>
12510 * term.el (term-window-width): Call window-text-width instead of
12511 window-width (Bug#16470).
12513 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
12515 * simple.el (password-word-equivalents): Remove duplicates.
12516 Sort, to make this easier next time.
12517 Downcase. Omit ": " after "jelszó".
12519 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
12521 * term/common-win.el (saved-region-selection): Defvar it.
12522 (x-select-text): Set saved-region-selection (Bug#16382).
12524 2014-01-18 Glenn Morris <rgm@gnu.org>
12526 * emacs-lisp/authors.el (authors-aliases)
12527 (authors-renamed-files-alist): Add some entries.
12529 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
12531 * net/tramp.el (tramp-password-prompt-regexp):
12532 Use `password-word-equivalents' if available.
12533 (tramp-action-password, tramp-process-one-action)
12534 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
12536 2014-01-17 Chong Yidong <cyd@gnu.org>
12538 * simple.el (password-word-equivalents): New defcustom.
12539 * comint.el (comint-password-prompt-regexp): Use it. Bump version
12541 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
12544 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
12546 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
12547 (ruby-align-to-stmt-keywords): Change the default value.
12548 Use `ruby-alignable-keywords' to generate the possible customization
12550 (ruby-smie-rules): Instead of using a hardcoded list of alignable
12551 keywords, check against the value of `ruby-alignable-keywords'
12552 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
12554 2014-01-17 Glenn Morris <rgm@gnu.org>
12556 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
12558 Make M-x authors return zero *Authors Errors* from current logs.
12559 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
12560 (authors-ignored-files): Add some entries, remove others.
12561 (authors-ambiguous-files, authors-valid-file-names):
12563 (authors-renamed-files-alist): Add, remove, and adjust entries.
12564 (authors-renamed-files-regexps): Add some entries.
12565 Remove some very broad ones. Make some entries `lax'.
12566 (authors-lax-changelogs): New constant.
12567 (authors-disambiguate-file-name): Treat top-level specially.
12568 (authors-lax-changelog-p): New function.
12569 (authors-canonical-file-name): Check file as written against
12570 authors-valid-file-names. Do not special-case etc/.
12571 Handle `lax' logs and authors-renamed-files-regexps elements.
12573 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
12575 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
12576 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
12579 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
12581 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
12582 Assume we're already in the proper buffer.
12583 Inspired by Anders Lindgren <andlind@gmail.com>.
12584 (follow-post-command-hook): Call it from the right buffer.
12585 (follow-comint-scroll-to-bottom): Adjust call.
12586 (follow-all-followers): Use get-buffer-window-list.
12588 2014-01-15 Daniel Colascione <dancol@dancol.org>
12590 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
12591 `buffer-file-name' in interactive-form so that we don't leave
12592 pathless file names in `file-name-history'.
12594 2014-01-15 Juri Linkov <juri@jurta.org>
12596 * indent.el (indent-rigidly): Set deactivate-mark to nil
12597 in transient indentation mode. (Bug#16438)
12599 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
12601 * emacs-lisp/package.el (package-desc-keywords): New function
12603 (describe-package-1, package-all-keywords)
12604 (package--has-keyword-p): Use it.
12606 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
12608 * simple.el (define-alternatives): When creating the
12609 COMMAND-alternatives variable, assign COMMAND as its definition
12610 name so that `describe-variable' can relocate it.
12612 2014-01-14 Matthew Leach <matthew@mattleach.net>
12614 * font-lock.el (font-lock-keywords): Fix typo in docstring
12617 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
12619 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
12620 line instead of wrongly reset `add-coment' (bug#13577).
12622 2014-01-14 Daiki Ueno <ueno@gnu.org>
12624 * epa-file.el (epa-file-write-region): Encode the region according
12625 to `buffer-file-format'. Problem reported at:
12626 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
12628 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
12630 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
12631 so it applies in the right buffer (bug#16410).
12633 2014-01-13 Daniel Colascione <dancol@dancol.org>
12635 * textmodes/rst.el (rst-define-key): Provide deprecated
12636 keybindings through named functions instead of anonymous ones so
12637 that "??" doesn't appear in describe-mode output.
12639 2014-01-13 Bastien Guerry <bzg@gnu.org>
12641 * simple.el (define-alternatives): Call the selected command
12642 interactively. When setting `COMMAND--implementation' for the
12643 first time, tell the user how to chose another implementation.
12644 Enhance the docstring.
12646 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
12648 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
12649 (log-edit--match-first-line): New function.
12650 (log-edit-font-lock-keywords): Use it.
12651 (log-edit-mode): Make jit-lock-defer-multiline work.
12653 2014-01-13 Bastien Guerry <bzg@gnu.org>
12655 * rect.el (rectangle-mark-mode): When the region is not active,
12656 display a message saying that the mark as been set and that
12657 rectangle mode is in use.
12658 (rectangle--highlight-for-redisplay): Only put an overlay with a
12659 visible vertical bar when (display-graphic-p) is non-nil.
12660 This partially fixes Bug#16403.
12662 2014-01-13 Juri Linkov <juri@jurta.org>
12664 * info.el (Info-find-file): Go to DIR before displaying the error
12665 about a nonexistent file if no previous Info file is visited.
12666 Use `user-error' instead of `error' for "Info file %s does not exist".
12667 (Info-find-node-2): In case of a nonexistent node in unwind forms
12668 go to the Top node if there is no previous node to revert to.
12671 2014-01-13 Martin Rudalics <rudalics@gmx.at>
12673 fit-frame/window-to-buffer code fixes including one for Bug#14096.
12674 * window.el (fit-frame-to-buffer): Fix doc-string.
12675 Respect window-min-height/-width. Fit pixelwise when
12676 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
12677 when avoiding that frame goes partially off-screen.
12678 (fit-window-to-buffer): Respect window-min-height/-width
12681 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
12683 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
12684 after an empty line.
12686 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
12688 * net/shr.el (shr-render-region): Autoload.
12690 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
12692 * net/eww.el (eww-download-directory): Rename from
12693 `eww-download-path' (Bug#16419).
12695 2014-01-12 Leo Liu <sdl.web@gmail.com>
12697 * dired-x.el (dired-mode-map): Fix last change.
12699 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
12701 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
12704 * emacs-lisp/generic.el (generic--normalize-comments):
12705 Rename from generic--normalise-comments. All uses changed.
12706 * play/bubbles.el (bubbles--neighborhood-score)
12707 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
12708 (bubbles--neighborhood-available)
12709 (bubbles--update-neighborhood-score):
12710 Rename from names with 'neighbourhood'. All uses changed.
12712 2014-01-12 Leo Liu <sdl.web@gmail.com>
12714 Re-implement the feature of showing eldoc info after editing.
12715 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
12716 (eldoc-edit-message-commands): New function.
12717 (eldoc-print-after-edit): New variable.
12718 (eldoc-pre-command-refresh-echo-area): Emit message only by
12719 eldoc-message-commands.
12720 (eldoc-mode): Restrict eldoc-message-commands to editing commands
12721 if eldoc-print-after-edit is set. (Bug#16346)
12722 * simple.el (read--expression): Enable eldoc-mode.
12723 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
12725 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
12726 Eric S. Raymond <esr@thyrsus.com>
12728 * version.el (emacs-repository-get-version): Enhance so the
12729 function works correctly in either a Bazaar or Git repo.
12731 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
12733 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
12734 Goes with removal of the joke manpages from /etc.
12736 2014-01-10 Kenichi Handa <handa@gnu.org>
12738 * mail/rmail.el (rmail-get-coding-system):
12739 Check rmail-get-coding-function before "funcall"ing it.
12741 2014-01-10 Glenn Morris <rgm@gnu.org>
12743 * emacs-lisp/authors.el (authors-fixed-entries):
12744 Update for files that no longer exist.
12746 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
12748 * version.el (emacs-bzr-get-version): Restore compatibilty with
12751 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
12753 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
12756 2014-01-10 Eli Zaretskii <eliz@gnu.org>
12758 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
12760 2014-01-10 Chong Yidong <cyd@gnu.org>
12762 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
12764 2014-01-10 Anders Lindgren <andlind@gmail.com>
12766 * follow.el (follow-cache-command-list): Include right-char and
12769 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
12772 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
12773 * woman.el (woman-mark-horizontal-position):
12774 Rename from woman-mark-horizonal-position. Use changed.
12776 2014-01-10 Glenn Morris <rgm@gnu.org>
12778 * info.el (info-initialize): If running uninstalled, ensure our
12779 own info files are always found first, even if INFOPATH is set.
12781 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
12783 2014-01-09 David Engster <deng@randomsample.de>
12785 * emacs-lisp/eieio-custom.el:
12786 * emacs-lisp/eieio-opt.el: Set generated autoload file to
12787 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
12788 * emacs-lisp/eieio.el: Regenerate autoloads.
12790 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
12792 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
12793 following renames. (Bug#8756)
12795 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
12797 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
12799 (activate-mark): Add `no-tmm' argument.
12800 (set-mark, push-mark-command): Use it instead of running
12801 activate-mark-hook by hand.
12803 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
12805 In preparation for the move to git, sanitize out some
12806 Bazaar-specific names.
12808 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
12810 * version.el (emacs-bzr-version): Name changed to
12811 emacs-repository-version. Obsolete-variable alias made.
12812 * loadup.el: Follow through on this name change.
12813 * mail/emacsbug.el (report-emacs-bug): Factor out any
12814 assumption about the version control system in use.
12816 2014-01-08 David Engster <deng@randomsample.de>
12818 * help-fns.el (help-fns-describe-function-functions):
12819 New variable to call functions for augmenting help buffers.
12820 (describe-function-1): Remove explicit calls to
12821 `help-fns--compiler-macro', `help-fns--parent-mode' and
12822 `help-fns--obsolete'. Put them in above new variable instead, and
12823 call them through `run-hook-with-args'.
12824 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
12825 `eieio-describe-class'. Not meant for interactive use anymore,
12826 but to augment existing help buffers. Remove optional second
12827 argument. Create proper button for file location.
12828 Rewrite function to use `insert' instead of `princ' and `prin1' where
12830 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
12831 (eieio-method-def, eieio-class-def): Move further up.
12832 (describe-method, describe-generic, eieio-describe-method):
12834 (eieio-help-constructor, eieio-help-generic): Rename from
12835 `eieio-describe-constructor' and `eieio-describe-generic', resp.
12836 Rewrite to use `insert' in the current buffer and use proper help
12838 (eieio-help-find-method-definition)
12839 (eieio-help-find-class-definition): Also accept symbols as
12841 (eieio-help-mode-augmentation-maybee): Remove.
12842 (eieio-describe-class-sb): Use `describe-function'.
12843 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
12844 Add `eieio-help-generic' and `eieio-help-constructor'.
12846 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
12849 * language/china-util.el (hz-ascii-designation):
12850 Rename from hz-ascii-designnation.
12851 (hz-ascii-designation): Rename from hz-ascii-designnation.
12854 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
12856 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
12859 2014-01-08 Bastien Guerry <bzg@gnu.org>
12861 * emacs-lisp/package.el (package-delete):
12862 Correctly delete the package from package-alist.
12864 2014-01-08 Daiki Ueno <ueno@gnu.org>
12866 * emacs-lisp/package.el (url-recreate-url): Declare.
12867 (url-http-target-url): Declare.
12868 (package-handle-response): Include requested URL in the error message.
12869 (package--check-signature): Don't re-signal errors from
12870 package--with-work-buffer. Suggested by Stefan Monnier.
12872 2014-01-07 Bastien Guerry <bzg@gnu.org>
12874 * minibuffer.el (completion--try-word-completion): When both a
12875 hyphen and a space are possible candidates for the character
12876 following a word, display both candidates. (Bug#15980)
12878 2014-01-07 Martin Rudalics <rudalics@gmx.at>
12880 * window.el (balance-windows-2): While rounding don't give a
12881 window more than the remainder. Bug#16351, bug#16383.
12883 2014-01-07 Glenn Morris <rgm@gnu.org>
12885 * menu-bar.el (menu-bar-help-extra-packages): Remove.
12886 (menu-bar-help-menu): Use view-external-packages instead.
12888 2014-01-07 Bastien Guerry <bzg@gnu.org>
12890 * emacs-lisp/package.el (package-delete): Also delete the package
12891 name from `package-alist', not its description only.
12893 2014-01-07 Glenn Morris <rgm@gnu.org>
12895 * help.el (view-external-packages):
12896 * menu-bar.el (menu-bar-help-extra-packages):
12897 Visit efaq.info rather than etc/MORE.STUFF.
12899 2014-01-07 Juri Linkov <juri@jurta.org>
12901 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
12902 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
12904 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
12905 that shadows RET. (Bug#16342)
12907 2014-01-07 Chong Yidong <cyd@gnu.org>
12909 * isearch.el (isearch-yank-char, isearch-yank-word)
12910 (isearch-yank-line): Doc fix.
12912 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
12914 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
12915 * emacs-lisp/elint.el (elint-find-builtins):
12916 * emacs-lisp/eldoc.el (eldoc-symbol-function):
12917 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
12918 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
12919 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
12920 * apropos.el (apropos-safe-documentation):
12921 * subr.el (symbol-file): Remove redundant fboundp.
12922 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
12924 2014-01-06 Bastien Guerry <bzg@gnu.org>
12926 * hl-line.el (global-hl-line-overlay): Make a local variable.
12927 (global-hl-line-overlays): New variable to store all overlays.
12928 (global-hl-line-mode): Don't delete overlays from the current
12929 buffer when `global-hl-line-sticky-flag' is non-nil.
12930 (global-hl-line-highlight): Add new overlays to
12931 `global-hl-line-overlays'.
12932 (global-hl-line-unhighlight-all): New function to delete all
12933 overlays when turning off `global-hl-line-mode'.
12934 This fixes Bug#16183.
12936 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
12938 * subr.el (set-transient-map): Fix nested case and docstring.
12940 2014-01-06 Tassilo Horn <tsdh@gnu.org>
12942 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
12945 2014-01-06 Daniel Colascione <dancol@dancol.org>
12947 Fix defun navigation in vc log view.
12949 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
12950 like `beginning-of-defun'.
12951 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
12952 log-view-end-of-defun to log-view-end-of-defun-1. Replace
12953 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
12954 (log-view-extract-comment): Call `log-view-current-entry' directly
12955 instead of relying on broken `log-view-beginning-of-defun' behavior.
12957 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
12960 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
12961 * emacs-lisp/debug.el (cancel-debug-on-entry):
12962 * epg.el (epg-error-to-string):
12963 * files.el (recover-file):
12964 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
12965 * mail/emacsbug.el (report-emacs-bug-hook):
12966 * mail/sendmail.el (mail-recover):
12967 * ses.el (ses-yank-resize):
12968 * term/ns-win.el (ns-print-buffer):
12969 Spelling fixes in diagnostics, mostly for "canceled" with one L.
12970 * epg.el (epg-key-capability-alist): Rename from misspelled version.
12972 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
12974 2014-01-06 Leo Liu <sdl.web@gmail.com>
12976 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
12977 to avoid shadowing global key. (Bug#16354)
12979 2014-01-06 Daniel Colascione <dancol@dancol.org>
12981 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
12984 2014-01-05 Martin Rudalics <rudalics@gmx.at>
12986 * window.el (balance-windows): Add mising t to fix Bug#16351.
12988 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
12990 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
12992 (shr-insert): If we have a word that's longer than `shr-width',
12993 break after it anyway. Otherwise we'll do no breaking once we get
12996 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12998 * net/eww.el (eww): Support single/double quote for search.
12999 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
13000 (eww-history-quit): Delete and use quit-window.
13001 (eww-history-kill): Delete, because it doesn't work well and
13003 (eww-history-mode-map): Delete some keys and add easy-menu.
13005 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
13007 Fix misspelling of 'chinese' in rx (Bug#16237).
13008 * emacs-lisp/rx.el (rx-categories): Correct spelling of
13011 Change subword regexps back to vars (Bug#16296).
13012 * progmodes/subword.el (subword-forward-regexp)
13013 (subword-backward-regexp): Change these back to variables.
13015 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
13017 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
13018 syntax-begin-function (bug#16247).
13020 2014-01-03 Chong Yidong <cyd@gnu.org>
13022 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
13023 (advice--docstring): Delete variable.
13024 (advice--make-1): Leave the docstring empty.
13025 (advice-add): Use function-documentation for advised docstring.
13027 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
13028 Ignore function-documentation property when getting documentation.
13029 (ad-activate-advised-definition): Use function-documentation
13030 generate the docstring.
13031 (ad-make-advised-definition): Don't call
13032 ad-make-advised-definition-docstring.
13033 (ad-make-advised-definition-docstring, ad-advised-definition-p):
13036 * progmodes/sql.el (sql-help): Use function-documentation instead
13037 of dynamic-docstring-function property. No need to autoload now.
13038 (sql--help-docstring): New variable.
13039 (sql--make-help-docstring): Use it.
13041 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
13043 * ielm.el (ielm-tab): Retarget.
13044 (ielm-map): Use ielm-tab for tab.
13045 (ielm-complete-filename): Use comint-filename-completion.
13046 (ielm-complete-symbol): Remove.
13047 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
13048 remove ielm-tab from completion-at-point-functions (bug#16224).
13050 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
13051 Beware signals raised by predicates (bug#16201).
13053 2014-01-02 Richard Stallman <rms@gnu.org>
13055 * dired-aux.el (dired-do-print): Handle printer-name.
13057 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
13058 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
13059 (rmail-epa-decrypt): Turn off mime processing.
13061 * mail/rmail.el (rmail-make-in-reply-to-field):
13062 Add parens in message-id.
13064 * mail/rmail.el (rmail-get-coding-function): Variable.
13065 (rmail-get-coding-system): Use it.
13067 2013-12-31 Eli Zaretskii <eliz@gnu.org>
13069 * international/mule-conf.el: Unify the charset indian-is13194.
13070 (indian-is13194): Specify unify-map.
13072 2013-12-31 Leo Liu <sdl.web@gmail.com>
13074 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
13076 2013-12-30 Daniel Colascione <dancol@dancol.org>
13078 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
13079 of printing a useless when we resume from sleep.
13081 * progmodes/sh-script.el
13082 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
13083 in indentation code. (Bug#16233)
13085 2013-12-28 João Távora <joaotavora@gmail.com>
13087 * elec-pair.el (electric-pair-post-self-insert-function):
13088 Don't open extra newlines at beginning of buffer. (Bug#16272)
13090 2013-12-28 Eli Zaretskii <eliz@gnu.org>
13092 * frame.el (window-system-for-display): Don't allow to create a
13093 GUI frame from a -nw session on MS-Windows. (Bug#14739)
13095 2013-12-28 Glenn Morris <rgm@gnu.org>
13097 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
13100 * apropos.el (apropos-match-face):
13101 * calculator.el (calculator-displayer):
13102 * dabbrev.el (dabbrev-search-these-buffers-only):
13103 * face-remap.el (buffer-face-mode-face):
13104 * simple.el (yank-handled-properties):
13105 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
13106 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
13107 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
13108 (hashcash-double-spend-database):
13109 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
13110 (ruby-deep-indent-paren-style):
13111 * textmodes/flyspell.el (flyspell-auto-correct-binding):
13112 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
13113 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
13114 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
13115 Specify custom types.
13117 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
13118 * bookmark.el (bookmark-bmenu-use-header-line):
13119 * doc-view.el (doc-view-scale-internally):
13120 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
13121 * register.el (register-preview-delay):
13122 * net/shr.el (shr-bullet):
13123 * progmodes/cfengine.el (cfengine-cf-promises)
13124 (cfengine-parameters-indent):
13125 * progmodes/octave.el (inferior-octave-error-regexp-alist):
13126 * textmodes/reftex-vars.el (reftex-label-regexps):
13127 * vc/log-edit.el (log-edit-setup-add-author): Add version.
13129 * net/tls.el (tls-certtool-program): Fix default value.
13131 * desktop.el (desktop-restore-in-current-display):
13132 * newcomment.el (comment-empty-lines):
13133 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
13134 (idlwave-pad-keyword):
13135 * progmodes/tcl.el (tcl-tab-always-indent):
13136 * textmodes/reftex-vars.el (reftex-index-default-tag):
13137 * elec-pair.el (electric-pair-skip-whitespace):
13138 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
13140 * emacs-lisp/authors.el (authors-ignored-files)
13141 (authors-valid-file-names, authors-renamed-files-alist): Additions.
13143 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
13145 * shell.el (shell-dynamic-complete-command): Doc fix.
13146 (shell--command-completion-data): Shell completion now matches
13147 executable filenames from the current buffer's directory, on
13148 systems in which this behavior is the default (windows-nt, ms-dos).
13150 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
13152 * net/shr.el (shr-insert): Don't infloop if the width is zero.
13154 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
13156 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
13159 * electric.el: Move all electric-pair-* to elec-pair.el.
13160 * elec-pair.el: New file, split from electric.el.
13162 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
13164 * net/shr.el (shr-find-fill-point): Don't try to fill if the
13165 indentation level is larger than the width, because that will
13167 (shr-insert): Fill repeatedly long texts, so that Japanese is
13168 formatted correctly (bug#16263).
13169 (shr-find-fill-point): Off by one error in comparison with the
13172 2013-12-26 João Távora <joaotavora@gmail.com>
13174 * electric.el (electric-pair-mode): More flexible engine for skip-
13175 and inhibit predicates, new options for pairing-related functionality.
13176 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
13177 if that keeps or improves their balance in buffers.
13178 (electric-pair-delete-adjacent-pairs): Delete the pair when
13179 backspacing over adjacent matched delimiters.
13180 (electric-pair-open-extra-newline): Open extra newline when
13181 inserting newlines between adjacent matched delimiters.
13182 (electric--sort-post-self-insertion-hook):
13183 Sort post-self-insert-hook according to priority values when
13184 minor-modes are activated.
13185 * simple.el (newline-and-indent): Call newline with interactive
13187 (blink-paren-post-self-insert-function): Set priority to 100.
13188 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
13189 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
13190 comments. Locally set electric-pair-skip-whitespace to 'chomp and
13191 electric-pair-open-newline-between-pairs to nil.
13193 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
13195 * progmodes/python.el: Use lexical-binding.
13196 (python-nav-beginning-of-defun): Stop searching ASAP.
13198 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
13200 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
13201 Fix interactive spec. Doc fix. (Bug#15754)
13203 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
13205 * emacs-lisp/byte-run.el (eval-when-compile):
13206 * progmodes/cc-defs.el (cc-eval-when-compile):
13207 Fix edebug spec (bug#16184).
13209 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
13211 * net/shr.el (shr-visit-file): Remove debugging function.
13212 (shr-insert): Don't infloop if we can't find a good place to break
13213 the line (bug#16256).
13215 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
13217 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
13218 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
13219 python-nav-lisp-forward-sexp-safe.
13220 (python-nav--forward-sexp): New argument SAFE allows switching
13221 forward sexp movement behavior for parens.
13222 (python-nav-forward-sexp): Throw errors on unterminated parens
13224 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
13225 (python-nav-backward-sexp-safe): New functions.
13226 (python-shell-buffer-substring):
13227 Use `python-nav-forward-sexp-safe'.
13229 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
13231 * net/shr.el (shr-find-fill-point): Don't break lines before a
13233 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
13234 (shr-find-fill-point): Remove the special checks for the quotation
13235 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
13237 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13239 * net/eww.el (eww-form-textarea): Use a different face for
13240 textareas than text input since they have different keymaps
13243 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
13245 * progmodes/python.el (python-nav-beginning-of-statement):
13246 Speed up (Bug#15295).
13248 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
13250 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
13251 the window configuration.
13253 2013-12-24 Eli Zaretskii <eliz@gnu.org>
13255 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
13256 we run on MS-Windows or MS-DOS.
13258 2013-12-24 Martin Rudalics <rudalics@gmx.at>
13260 * window.el (balance-windows-area): Call window-size instead of
13261 window-height and window-width. Bug#16241.
13263 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
13265 * net/eww.el (eww-bookmark-quit): Remove.
13266 (eww-bookmark-browse): Restore the window configuration when you
13267 choose a bookmark (bug#16144).
13269 2013-12-24 Daniel Colascione <dancol@dancol.org>
13271 * icomplete.el: Remove redundant :group arguments to `defcustom'
13273 (icomplete-show-matches-on-no-input): New customizable variable.
13274 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
13275 we have something to show.
13276 (icomplete-exhibit): Compute completions even if we have no user input.
13278 2013-12-23 Daniel Colascione <dancol@dancol.org>
13280 * icomplete.el: Move `provide' to end of file.
13282 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
13284 * net/gnutls.el (gnutls-verify-error): Add version tag.
13286 2013-12-23 Chong Yidong <cyd@gnu.org>
13288 * subr.el (set-transient-map): Rename from
13289 set-temporary-overlay-map. Doc fix.
13291 * face-remap.el (text-scale-adjust):
13292 * indent.el (indent-rigidly):
13293 * kmacro.el (kmacro-call-macro):
13294 * minibuffer.el (minibuffer-force-complete):
13295 * repeat.el (repeat):
13296 * simple.el (universal-argument--mode):
13297 * calendar/todo-mode.el (todo-insert-item--next-param):
13298 * progmodes/f90.el (f90-abbrev-start): Callers changed.
13300 * indent.el (indent-rigidly): Use substitute-command-keys.
13302 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13304 * net/eww.el (eww-tag-select): Add text-property to jump to next
13306 (eww): Add non-supported ftp error.
13308 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13310 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
13311 comments. Handle electric indent after typing `?' and `!'.
13313 2013-12-22 Chong Yidong <cyd@gnu.org>
13315 * faces.el (face-spec-recalc): If the theme specs are not
13316 applicable to a frame, fall back on the defface spec.
13317 This prevents themes from obliterating faces on low-color terminals.
13319 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13321 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
13322 after `{'. We need it after block openers, and it doesn't seem
13323 to hurt after hash openers.
13325 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13327 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
13328 extracted from `ruby-smie-rules'.
13329 (ruby--electric-indent-chars): New variable.
13330 (ruby--electric-indent-p): New function.
13331 (ruby-mode): Use `electric-indent-functions' instead of
13332 `electric-indent-chars'.
13334 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13336 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
13338 (ruby-smie-rules): Indent plus one level after `=>'.
13340 2013-12-21 Richard Stallman <rms@gnu.org>
13342 * simple.el (newline): Doc fix.
13344 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13346 * net/eww.el (eww-list-histories, eww-list-histories)
13347 (eww-history-browse, eww-history-quit, eww-history-kill)
13348 (eww-history-mode-map, eww-history-mode): New command and
13349 functions to list browser histories.
13350 (eww-form-text): Support text form with disabled
13351 and readonly attributes.
13352 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
13354 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13356 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
13357 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
13358 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
13359 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
13361 (eww-bookmark-mode-map): Add menu.
13362 (eww-render, eww-mode): Use `setq-local'.
13363 (eww-tool-bar-map): New variable.
13364 (eww-mode): Set `tool-bar-map'.
13365 (eww-view-source): Check for `html-mode' with `fboundp'.
13367 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
13369 * net/shr.el (shr--extract-best-source): Don't bug out on audio
13370 elements with text inside. Also remove debugging.
13372 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
13374 * cus-start.el (all): Add ns-use-srgb-colorspace.
13376 2013-12-21 Chong Yidong <cyd@gnu.org>
13378 * custom.el (custom-theme-recalc-face): Do nothing if the face is
13379 undefined. Thus, theme settings for undefined faces do not take
13380 effect until the faces are defined with defface, the same as with
13383 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
13384 (face-spec-reset-face): Don't assign extra properties in temacs.
13385 (face-spec-recalc): Apply X resources too.
13387 2013-12-21 Chong Yidong <cyd@gnu.org>
13389 * faces.el (face-spec-set):
13390 * cus-face.el (custom-theme-set-faces, custom-set-faces):
13391 * custom.el (defface): Doc fixes (Bug#16203).
13393 * indent.el (indent-rigidly-map): Add docstring, and move commands
13394 into named functions.
13395 (indent-rigidly-left, indent-rigidly-right)
13396 (indent-rigidly-left-to-tab-stop)
13397 (indent-rigidly-right-to-tab-stop): New functions. Decide on
13398 indentation direction based on bidi direction, and accumulate
13399 sequential commands in a single undo boundary.
13400 (indent-rigidly--pop-undo): New utility function.
13402 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
13404 * faces.el (read-face-name): Require crm.el when using crm-separator.
13406 2013-12-20 Daniel Colascione <dancol@dancol.org>
13408 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
13409 so that we don't reflow comments into the shebang line.
13411 2013-12-20 Juri Linkov <juri@jurta.org>
13413 * saveplace.el (save-place-to-alist): Add `dired-filename' as
13414 a position when `dired-directory' is non-nil. Check integer
13415 positions with `integerp'.
13416 (toggle-save-place, save-places-to-alist): Add check for
13418 (save-place-find-file-hook): Check integer positions with
13420 (save-place-dired-hook): Use `dired-goto-file' when
13421 `dired-filename' is found in the assoc list. Check integer
13422 positions with `integerp'.
13423 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
13425 * dired.el (dired-initial-position-hook): Rename back from
13426 `dired-initial-point-hook'.
13427 (dired-initial-position): Rename `dired-initial-point-hook' to
13428 `dired-initial-position-hook'.
13429 (dired-file-name-at-point): Doc fix. (Bug#15329)
13431 2013-12-20 Juri Linkov <juri@jurta.org>
13433 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
13434 (read-regexp-suggestions): New function.
13435 (read-regexp): Use `read-regexp-defaults-function' to get default values.
13436 Use `read-regexp-suggestions'. Add non-empty default to history
13438 (occur-read-regexp-defaults-function): Remove function.
13439 (occur-read-primary-args): Use `regexp-history-last' instead of
13440 `occur-read-regexp-defaults-function'.
13442 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
13443 (hi-lock-line-face-buffer, hi-lock-face-buffer)
13444 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
13445 `hi-lock-read-regexp-defaults-function'. Doc fix.
13446 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
13447 with `find-tag-default-as-symbol-regexp'. Doc fix.
13448 (hi-lock-read-regexp-defaults): Remove function.
13449 (hi-lock-regexp-okay): Add check for null.
13451 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
13452 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
13454 * subr.el (find-tag-default-as-symbol-regexp): New function.
13455 (find-tag-default-as-regexp): Move symbol regexp formatting to
13456 `find-tag-default-as-symbol-regexp'.
13458 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
13460 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
13463 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
13465 * calendar/todo-mode.el: New implementation of item insertion
13466 commands and key bindings.
13467 (todo-key-prompt): New face.
13468 (todo-insert-item): New command.
13469 (todo-insert-item--parameters): New defconst, replacing defvar
13470 todo-insertion-commands-args-genlist.
13471 (todo-insert-item--param-key-alist): New defconst, replacing
13472 defvar todo-insertion-commands-arg-key-list.
13473 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
13474 (todo-insert-item--argsleft, todo-insert-item--apply-args)
13475 (todo-insert-item--next-param): New functions.
13476 (todo-insert-item--args, todo-insert-item--argleft)
13477 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
13479 (todo-key-bindings-t): Change binding of "i" from
13480 todo-insertion-map to todo-insert-item.
13481 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
13482 (todo-insertion-command-name, todo-insertion-commands-names)
13483 (todo-define-insertion-command, todo-insertion-commands)
13484 (todo-insertion-key-bindings, todo-insertion-map): Remove.
13486 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
13488 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
13489 (todo-toggle-item-highlighting): Use eval-and-compile instead of
13491 (todo-move-category): Allow choosing a non-existing todo file to
13492 move the category to, and create that file.
13493 (todo-default-priority): New user option.
13494 (todo-set-item-priority): Use it.
13495 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
13496 (desktop-restore-file-buffer): Declare.
13497 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
13498 (todo-modes-set-2): Locally set desktop-save-buffer to
13499 todo-desktop-save-buffer.
13500 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
13501 (auto-mode-alist): Add autoload cookie.
13503 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
13505 * emacs-lisp/subr-x.el: Renamed from helpers.el.
13506 helpers.el was a poor choice of name.
13507 (string-remove-prefix): New function.
13508 (string-remove-suffix): New function.
13510 2013-12-20 Martin Rudalics <rudalics@gmx.at>
13512 Fix assignment for new window total sizes.
13513 * window.el (window--pixel-to-size): Remove function.
13514 (window--pixel-to-total-1, window--pixel-to-total):
13515 Fix calculation of new total sizes.
13517 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
13519 * comint.el (comint-output-filter): Fix rear-nonsticky property
13520 placement (Bug#16010).
13522 2013-12-20 Chong Yidong <cyd@gnu.org>
13524 * faces.el (read-color): Minor fix for completion function.
13526 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
13528 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
13529 New option. (Bug#16182)
13530 (ruby-smie--indent-to-stmt-p): Use it.
13531 (ruby-smie-rules): Revert the logic in the handling of `when'.
13532 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
13533 (ruby-deep-arglist, ruby-deep-indent-paren)
13534 (ruby-deep-indent-paren-style): Update docstrings to note that the
13535 vars don't have any effect with SMIE.
13537 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
13539 * calc/calc.el (calc-enter, calc-pop): Use the variable
13540 `calc-context-sensitive-enter'.
13542 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
13544 * net/shr.el (shr-insert): Protect against infloops in degenerate
13547 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13549 * progmodes/octave.el (octave): Add link to manual and octave
13551 (octave-mode-menu): Link to octave-mode manual.
13553 2013-12-20 Leo Liu <sdl.web@gmail.com>
13555 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
13556 insertion using skeleton-end-newline. (Bug#16138)
13558 2013-12-20 Juri Linkov <juri@jurta.org>
13560 * replace.el (occur-engine): Use `add-face-text-property'
13561 to add the face property to matches and titles. (Bug#14645)
13563 * hi-lock.el (hi-green): Use lighter color "light green" closer to
13564 the palette of other hi-lock colors.
13565 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
13567 2013-12-19 Juri Linkov <juri@jurta.org>
13569 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
13570 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
13571 (minibuffer-history-symbol): Move variable declaration closer to
13574 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
13577 2013-12-19 Juri Linkov <juri@jurta.org>
13579 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
13581 (log-edit-hook): Add it to :options. (Bug#16170)
13583 2013-12-19 Juri Linkov <juri@jurta.org>
13585 * simple.el (eval-expression-print-format): Don't check for
13586 command names and the last command. Always display additional
13587 formats of the integer result in the echo area, and insert them
13588 to the current buffer only with a zero prefix arg.
13589 Display character when char-displayable-p is non-nil.
13590 (eval-expression): With a zero prefix arg, set `print-length' and
13591 `print-level' to nil, and insert the integer values from
13592 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
13594 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
13595 `eval-last-sexp-arg-internal'. Doc fix.
13596 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
13597 `eval-last-sexp-print-value'. Doc fix.
13598 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
13599 Set `print-length' and `print-level' to nil when arg is zero.
13600 (eval-last-sexp): Doc fix.
13601 (eval-defun-2): Print the integer values from
13602 `eval-expression-print-format' at the end.
13604 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
13605 values from `eval-expression-print-format' at the end.
13607 * ielm.el (ielm-eval-input): Print the integer
13608 values from `eval-expression-print-format' at the end.
13610 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
13612 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
13613 2013-12-11T19:01:44Z!tzz@lifelogs.com.
13615 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
13617 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
13618 (hl-line-highlight, global-hl-line-highlight): Use it.
13619 (hl-line-overlay): Use defvar-local.
13621 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
13623 * term/ns-win.el: Require dnd.
13624 (global-map): Remove drag items.
13625 (ns-insert-text, ns-set-foreground-at-mouse)
13626 (ns-set-background-at-mouse):
13627 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
13628 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
13631 2013-12-19 Glenn Morris <rgm@gnu.org>
13633 * emacs-lisp/ert.el (ert-select-tests):
13634 Fix string/symbol mixup. (Bug#16121)
13636 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
13638 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
13639 keywords to their parent.
13641 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
13643 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
13644 first arg to be a string (fixed dead code), or an operator symbol.
13645 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
13647 (ruby-smie-rules): Remove parent token check in the `.' clause, it
13648 did nothing. Don't respond to `(:after ".")', it will be called
13649 with :before anyway. Remove the ` @ ' rule, it didn't seem to
13650 change anything. Only return indentation for binary operators
13651 when they are hanging. De-dent opening paren when its parent is
13652 `.', otherwise it looks bad when the dot is not at bol or eol
13655 2013-12-19 Juri Linkov <juri@jurta.org>
13657 * replace.el (query-replace-read-args): Split a non-negative arg
13658 and a negative arg into separate elements.
13659 (query-replace, query-replace-regexp, replace-string)
13660 (replace-regexp): Add arg `backward'. Doc fix.
13661 (replace-match-maybe-edit): When new arg `backward' is non-nil,
13662 move point to the beginning of the match.
13663 (replace-search, replace-highlight): Use new arg `backward'
13664 to set the value of `isearch-forward'.
13665 (perform-replace): Add arg `backward' and use it to perform
13666 replacement backward. (Bug#14979)
13668 * isearch.el (isearch-query-replace): Use a negative prefix arg
13669 to call `perform-replace' with a non-nil arg `backward'.
13671 2013-12-18 Juri Linkov <juri@jurta.org>
13673 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
13674 to the default list. Move `log-edit-show-files' to the end.
13675 Add more available functions to options.
13676 (log-edit): Move default specific settings to
13677 `log-edit-insert-message-template'. Don't move point.
13678 (log-edit-insert-message-template): New function.
13679 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
13682 2013-12-18 Juri Linkov <juri@jurta.org>
13684 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
13685 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
13687 2013-12-18 Leo Liu <sdl.web@gmail.com>
13689 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
13692 2013-12-18 Eli Zaretskii <eliz@gnu.org>
13694 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
13695 formats for displaying file sizes when the -s switch is given.
13696 Instead, compute a separate format for displaying the size in
13697 blocks, which is displayed in addition to the "regular" size.
13698 When -h is given in addition to -s, produce size in blocks in
13699 human-readable form as well. (Bug#16179)
13701 2013-12-18 Tassilo Horn <tsdh@gnu.org>
13703 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
13704 Reference tables with ~\ref{...} instead of only \ref{...}.
13706 2013-12-18 Chong Yidong <cyd@gnu.org>
13708 * cus-edit.el (custom-magic-alist): Fix "themed" description
13711 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
13712 is non-nil, do not create a new entry in the symbol's theme-value
13713 or theme-face property; update theme-settings only (Bug#14664).
13714 (custom-available-themes): Doc fix.
13716 * cus-theme.el (custom-new-theme-mode-map): Add bindings
13719 * replace.el (occur-engine): Avoid infloop (Bug#7593).
13721 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
13723 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
13726 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
13728 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
13730 2013-12-18 Glenn Morris <rgm@gnu.org>
13732 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
13733 * cus-start.el (load-prefer-newer): New option.
13735 2013-12-18 Le Wang <l26wang@gmail.com>
13737 * comint.el (comint-previous-matching-input-from-input):
13738 Retain point (Bug#13404).
13740 2013-12-18 Chong Yidong <cyd@gnu.org>
13742 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
13744 2013-12-18 Glenn Morris <rgm@gnu.org>
13746 * mail/emacsbug.el (report-emacs-bug):
13747 Only mention enable-multibyte-characters if non-standard.
13749 2013-12-17 Juri Linkov <juri@jurta.org>
13751 * arc-mode.el (archive-extract-by-file): Check if directory exists
13752 before deletion to not show irrelevant errors if it doesn't exist.
13754 2013-12-17 Juri Linkov <juri@jurta.org>
13756 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
13759 * net/eww.el (browse-web): Add alias to `eww'.
13760 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
13761 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
13763 * net/browse-url.el (browse-url-browser-function): Move `eww'
13764 closer to similar functions.
13766 * startup.el (fancy-startup-screen, fancy-about-screen):
13767 Set browse-url-browser-function to eww-browse-url locally.
13770 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
13772 * window.el (window--pixel-to-total): Remove unused `mini' var.
13773 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
13774 (split-window): Remove unused `new' var.
13775 (window--display-buffer): Remove unused `frame' and `delta' vars.
13776 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
13777 and display-width'.
13779 2013-12-17 Martin Rudalics <rudalics@gmx.at>
13781 * dired.el (dired-mark-pop-up):
13782 * register.el (register-preview): Don't bind
13783 split-height-threshold here since it's now done in
13784 display-buffer-below-selected.
13786 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
13788 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
13789 xterm-rgb-convert-to-16bit.
13790 (rxvt-register-default-colors): Standardize with
13791 xterm-register-default-colors (Bug#14078).
13793 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
13795 * simple.el (kill-region): Pass mark first, then point, so that
13796 kill-append works right (Bug#12819).
13797 (copy-region-as-kill, kill-ring-save): Likewise.
13799 2013-12-17 Leo Liu <sdl.web@gmail.com>
13801 * net/rcirc.el (rcirc-add-face):
13802 * eshell/em-prompt.el (eshell-emit-prompt):
13803 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
13806 2013-12-17 Chong Yidong <cyd@gnu.org>
13808 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
13809 Suggested by Xue Fuqiao.
13811 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
13813 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
13815 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
13817 * net/shr.el (shr-insert-document): Remove unused var
13818 `shr-preliminary-table-render'.
13819 (shr-rescale-image): Remove unused arg `force'.
13820 (shr-put-image): Update calls accordingly.
13821 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
13823 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
13825 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
13826 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
13827 :close-all, to see which indentation method to use (Bug#16116).
13828 (smie-rules-function): Document the method :close-all.
13830 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
13832 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
13834 * net/eww.el (eww-display-html): If we can't find the anchor we're
13835 looking for, then go to point-min.
13837 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
13839 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
13840 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
13841 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
13842 Expand dir too, in case it's relative.
13844 2013-12-16 Juri Linkov <juri@jurta.org>
13846 * desktop.el (desktop-auto-save-timeout): Change default to
13847 `auto-save-timeout'. Doc fix.
13848 (desktop-save): Skip the timestamp in desktop-saved-frameset
13849 when checking for auto-save changes.
13850 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
13851 `desktop-auto-save' is called repeatedly by the idle timer.
13852 (desktop-auto-save-set-timer): Replace `run-with-timer' with
13853 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
13856 2013-12-16 Juri Linkov <juri@jurta.org>
13858 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
13860 (isearch-pre-command-hook): Check `this-command' for symbolp.
13862 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
13864 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
13866 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
13868 * progmodes/cfengine.el (cfengine3--current-word): Remove.
13869 (cfengine3--current-function): Bring in the current-function
13870 functionality from `cfengine3--current-word'.
13871 (cfengine3-completion-function): Bring in the
13872 bounds-of-current-word functionality from
13873 `cfengine3--current-word'.
13875 2013-12-16 Martin Rudalics <rudalics@gmx.at>
13877 * window.el (display-buffer-below-selected):
13878 Bind split-height-threshold to 0 as suggested by Juri Linkov.
13880 2013-12-16 Leo Liu <sdl.web@gmail.com>
13882 * progmodes/compile.el (compile-goto-error): Do not push-mark.
13883 Remove NOMSG arg and all uses changed.
13885 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
13887 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
13888 (cua--deactivate-rectangle): Don't deactivate the mark.
13889 (cua-set-rectangle-mark): Don't set mark-active since
13890 cua--activate-rectangle already does it for us.
13891 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
13892 non-rectangular region.
13894 * emulation/cua-base.el (cua-repeat-replace-region):
13895 Use with-current-buffer.
13897 * net/gnutls.el: Use cl-lib.
13898 (gnutls-negotiate): `mapcan' -> cl-mapcan.
13900 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
13902 * emacs-lisp/package.el (package-built-in-p): Support both
13903 built-in and the package.el converted package descriptions.
13904 (package-show-package-list): Allow keywords.
13905 (package-keyword-button-action): Use it instead of
13906 `finder-list-matches'.
13907 (package-menu-filter-interactive): Interactive filtering (by
13909 (package-menu--generate): Support keywords and change keymappings
13910 and headers when they are given.
13911 (package--has-keyword-p): Helper function.
13912 (package-menu--refresh): Use it.
13913 (package--mapc): Helper function.
13914 (package-all-keywords): Use it.
13915 (package-menu-mode-map): Set up menu items and keybindings to
13916 provide a filtering UI.
13918 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
13920 * net/gnutls.el (gnutls-verify-error): New defcustom to control
13921 the behavior when a certificate fails validation. Defaults to
13922 old behavior: never abort, just warn.
13923 (gnutls-negotiate): Use it.
13925 2013-12-14 Martin Rudalics <rudalics@gmx.at>
13927 * window.el (display-buffer-below-selected): Never split window
13928 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
13930 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
13932 * emacs-lisp/package.el (package--prepare-dependencies): New function.
13933 (package-buffer-info): Use it (bug#15108).
13935 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
13937 * icomplete.el (icomplete-completions): Make sure the prefix is already
13938 displayed elsewhere before hiding it (bug#16219).
13940 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
13942 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
13943 open-paren tokens when preceded by a open-paren, too.
13944 (ruby-smie-rules): Handle virtual indentation after open-paren
13945 tokens specially. If there is code between it and eol, return the
13946 column where is starts (Bug#16118).
13948 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
13950 * progmodes/cfengine.el: Fix `add-hook' doc.
13951 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
13952 (cfengine3--current-word): Fix parameters.
13953 (cfengine3-make-syntax-cache): Simplify further.
13954 (cfengine3-completion-function, cfengine3--current-function):
13955 Use `assq' for symbols.
13956 (cfengine3--current-function): Fix `cfengine3--current-word' call.
13958 2013-12-13 Glenn Morris <rgm@gnu.org>
13960 * loadup.el (load-path): Warn if site-load or site-init changes it.
13961 No more need to reset it when bootstrapping.
13963 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
13965 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
13966 locations for cf-promises.
13967 (cfengine-mode-syntax-functions-regex): New caching variable.
13968 (cfengine3-fallback-syntax): Fallback syntax for cases where
13969 cf-promises doesn't run.
13970 (cfengine3--current-word): Reimplement using
13971 `cfengine-mode-syntax-functions-regex'.
13972 (cfengine3-completion-function, cfengine3--current-function):
13973 Use `cfengine3-make-syntax-cache' directly.
13974 (cfengine3-clear-syntax-cache): New function.
13975 (cfengine3-make-syntax-cache): Simplify and create
13976 `cfengine-mode-syntax-functions-regex' on demand.
13977 (cfengine3-format-function-docstring): Don't call
13978 `cfengine3-make-syntax-cache' explicitly.
13980 2013-12-13 Martin Rudalics <rudalics@gmx.at>
13982 Fix windmove-find-other-window broken after pixelwise resizing
13984 * windmove.el (windmove-other-window-loc): Revert change from
13986 (windmove-find-other-window): Call window-in-direction.
13987 * window.el (window-in-direction): New arguments SIGN, WRAP and
13988 MINI to emulate original windmove-find-other-window behavior.
13990 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
13992 * simple.el (blink-matching--overlay): New variable.
13993 (blink-matching-open): Instead of moving point, highlight the
13994 matching paren with an overlay
13995 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
13997 * faces.el (paren-showing-faces, show-paren-match)
13998 (show-paren-mismatch): Move from paren.el.
14000 2013-12-13 Leo Liu <sdl.web@gmail.com>
14002 * indent.el (indent-region): Disable progress reporter in
14003 minibuffer. (Bug#16108)
14005 * bindings.el (visual-order-cursor-movement): Fix version.
14007 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
14009 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
14010 Also match after beginning of line.
14011 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
14012 files. Thanks to Russell Sim. (Bug#15378)
14014 2013-12-13 Juri Linkov <juri@jurta.org>
14016 * simple.el <Keypad support>: Remove key bindings duplicated
14017 with bindings.el. (Bug#14397)
14019 2013-12-13 Juri Linkov <juri@jurta.org>
14021 * comint.el (comint-mode-map): Replace `delete-char' with
14022 `delete-forward-char'. (Bug#16109)
14024 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
14026 * progmodes/python.el (python-indent-calculate-indentation):
14027 Fix de-denters cornercase. (Bug#15731)
14029 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
14031 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
14032 (advice--make): Pay attention to `depth'.
14033 (advice--make-1): Don't autoload commands eagerly.
14034 * emacs-lisp/elp.el (elp-instrument-function):
14035 * emacs-lisp/trace.el (trace-function-internal):
14036 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
14038 * iswitchb.el (iswitchb-mode): Don't belittle ido.
14040 2013-12-12 Eli Zaretskii <eliz@gnu.org>
14042 * term/w32-win.el (w32-handle-dropped-file):
14043 * startup.el (normal-top-level):
14044 * net/browse-url.el (browse-url-file-url):
14045 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
14046 decode file names using 'utf-8' rather than
14047 file-name-coding-system.
14049 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
14051 * progmodes/python.el (python-indent-context)
14052 (python-indent-calculate-indentation): Fix auto-identation
14053 behavior for comment blocks. (Bug#15916)
14055 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14057 * progmodes/python.el (python-indent-calculate-indentation):
14058 When determining indentation, don't treat "return", "pass", etc., as
14059 operators when they are just string constituents. (Bug#15812)
14061 2013-12-12 Juri Linkov <juri@jurta.org>
14063 * uniquify.el (uniquify-buffer-name-style): Change default to
14064 `post-forward-angle-brackets'.
14066 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
14067 `uniquify'. Change default to `post-forward-angle-brackets'.
14069 2013-12-11 Glenn Morris <rgm@gnu.org>
14071 * emacs-lisp/package.el (finder-list-matches):
14072 Autoload rather than falsely declaring.
14074 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
14076 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
14077 (eww-mode-map): Use them.
14079 2013-12-11 Martin Rudalics <rudalics@gmx.at>
14081 * window.el (display-buffer-in-side-window): Fix doc-string
14084 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
14086 * vc/vc-git.el: Silence byte-compiler warnings.
14087 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
14088 (log-edit-set-header): Declare.
14090 2013-12-11 Eli Zaretskii <eliz@gnu.org>
14092 * Makefile.in (custom-deps, finder-data): Run output file names
14093 through unmsys--file-name. (Bug#16099)
14095 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
14097 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
14098 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
14100 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
14101 instead of deleting the selection "by hand" (bug#16098).
14102 Rely on insert-for-yank to yank rectangles.
14103 (cua-highlight-region-shift-only): Mark obsolete.
14104 (cua-mode): Don't enable/disable transient-mark-mode,
14105 shift-select-mode (cua-mode works both with and without them), and
14106 pc-selection-mode (obsolete).
14107 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
14108 (cua--deactivate-rectangle): Deactivate it.
14110 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
14111 (delete-selection-helper): Make sure yank starts at the top of the
14113 (minibuffer-keyboard-quit): Use region-active-p.
14115 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
14117 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
14118 to `delete' (bug#16109).
14120 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14122 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
14123 info manual and show keybindings and set `:group' keyword.
14125 2013-12-11 Juri Linkov <juri@jurta.org>
14127 * delsel.el (delete-active-region): Let-bind `this-command'
14128 to prevent `kill-region' from changing its original value.
14129 (delete-selection-helper): Handle `overwrite-mode' for the type
14130 `kill' exactly the same way as for the type `t'.
14131 (insert-char, quoted-insert, reindent-then-newline-and-indent):
14132 Support more commands. (Bug#13312)
14134 2013-12-11 Juri Linkov <juri@jurta.org>
14136 * bindings.el: Map kp keys to non-kp keys systematically
14137 with basic modifiers control, meta and shift. (Bug#14397)
14139 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14141 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
14142 "Close browser" menu items. Fix wrong function of "List
14145 2013-12-11 Juri Linkov <juri@jurta.org>
14147 * misearch.el (multi-isearch-buffers): Set the value of
14148 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
14149 arg of isearch-forward to t.
14150 (multi-isearch-buffers-regexp): Set the value of
14151 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
14152 arg of isearch-forward-regexp to t.
14153 (multi-isearch-files): Set the value of
14154 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
14155 arg of isearch-forward to t.
14156 (multi-isearch-files-regexp): Set the value of
14157 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
14158 arg of isearch-forward-regexp to t. (Bug#16035)
14160 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
14161 arg of isearch-forward to t.
14162 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
14163 arg of isearch-forward-regexp to t.
14164 (dired-isearch-filter-filenames): Remove unnecessary check for
14165 `dired-isearch-filenames'.
14167 * comint.el (comint-history-isearch-backward):
14168 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
14169 (comint-history-isearch-backward-regexp):
14170 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
14172 2013-12-10 Eli Zaretskii <eliz@gnu.org>
14174 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
14175 unmsys--file-name. (Bug#16099)
14177 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
14179 * emacs-lisp/package.el (package-keyword-button-action):
14180 Remove finder.el require dependency.
14182 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
14184 * emacs-lisp/package.el: Require finder.el.
14185 (describe-package-1): Add keyword buttons.
14186 (package-make-button): New convenience function.
14187 (package-keyword-button-action): Keyword button action using
14188 `finder-list-matches'.
14190 2013-12-09 Eli Zaretskii <eliz@gnu.org>
14192 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
14195 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
14197 * autorevert.el (auto-revert-notify-add-watch): Do not handle
14200 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
14202 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
14203 after the end of a percent literal.
14205 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
14207 * progmodes/ruby-mode.el (ruby-forward-string): Document.
14208 Handle caret-delimited strings (Bug#16079).
14210 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
14212 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
14213 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
14214 `ruby-parse-partial' (Bug#16078).
14216 2013-12-09 Leo Liu <sdl.web@gmail.com>
14218 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
14220 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
14222 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
14223 (js-switch-indent-offset): New option.
14224 (js--proper-indentation): Use it. And handle the case when
14225 "default" is actually a key in an object literal.
14226 (js--same-line): New function.
14227 (js--multi-line-declaration-indentation): Use it.
14228 (js--indent-in-array-comp, js--array-comp-indentation):
14230 (js--proper-indentation): Use them, to handle array comprehension
14233 2013-12-08 Leo Liu <sdl.web@gmail.com>
14235 * progmodes/flymake.el (flymake-highlight-line): Re-write.
14236 (flymake-make-overlay): Remove arg MOUSE-FACE.
14237 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
14239 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
14241 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
14243 (redisplay-highlight-region-function): Use it.
14245 * emulation/cua-base.el (cua--explicit-region-start)
14246 (cua--last-region-shifted): Remove.
14247 (cua--deactivate): Use deactivate-mark.
14248 (cua--pre-command-handler-1): Don't handle shift-selection.
14249 (cua--post-command-handler-1): Don't change transient-mark-mode.
14250 (cua--select-keymaps): Use region-active-p rather than
14251 cua--explicit-region-start or cua--last-region-shifted.
14252 (cua-mode): Enable shift-select-mode.
14254 2013-12-08 Leo Liu <sdl.web@gmail.com>
14256 * progmodes/flymake.el (flymake-popup-current-error-menu):
14257 Rename from flymake-display-err-menu-for-current-line. Reimplement.
14258 (flymake-posn-at-point-as-event, flymake-popup-menu)
14259 (flymake-make-emacs-menu): Remove. (Bug#16077)
14261 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
14263 * rect.el (rectangle-mark-mode): Activate mark even if
14264 transient-mark-mode is off (bug#16066).
14265 (rectangle--highlight-for-redisplay): Fix boundary condition when point
14266 is > mark and at bolp.
14268 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
14269 (region-extract-function): Use it.
14270 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
14271 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
14273 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
14274 kill-ring-save, kill-region, delete-char, delete-forward-char.
14275 Ignore self-insert-iso.
14277 * emulation/cua-gmrk.el (cua--init-global-mark):
14278 Ignore `self-insert-iso'.
14280 * emulation/cua-base.el (cua--prefix-copy-handler)
14281 (cua--prefix-cut-handler): Rely on region-extract-function rather than
14282 checking cua--rectangle.
14283 (cua-delete-region): Use region-extract-function.
14284 (cua-replace-region): Delete function.
14285 (cua-copy-region, cua-cut-region): Obey region-extract-function.
14286 (cua--pre-command-handler-1): Don't do the delete-selection thing.
14287 (cua--self-insert-char-p): Ignore `self-insert-iso'.
14288 (cua--init-keymaps): Don't remap delete-selection commands.
14289 (cua-mode): Use delete-selection-mode instead of rolling our own
14292 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
14293 Obey region-extract-function.
14295 Make registers and delete-selection-mode work on rectangles.
14296 * register.el (describe-register-1): Don't modify the register's value.
14297 (copy-to-register): Obey region-extract-function.
14298 * delsel.el (delete-active-region): Obey region-extract-function.
14300 2013-12-08 Leo Liu <sdl.web@gmail.com>
14302 * progmodes/flymake.el (flymake, flymake-error-bitmap)
14303 (flymake-warning-bitmap, flymake-fringe-indicator-position)
14304 (flymake-compilation-prevents-syntax-check)
14305 (flymake-start-syntax-check-on-newline)
14306 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
14307 (flymake-start-syntax-check-on-find-file, flymake-log-level)
14308 (flymake-xml-program, flymake-master-file-dirs)
14309 (flymake-master-file-count-limit)
14310 (flymake-allowed-file-name-masks): Relocate.
14311 (flymake-makehash, flymake-float-time)
14312 (flymake-replace-regexp-in-string, flymake-split-string)
14313 (flymake-get-temp-dir): Remove.
14314 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
14315 (flymake-current-row, flymake-selected-frame)
14316 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
14317 related functions. (Bug#16077)
14319 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
14321 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
14323 2013-12-07 Tassilo Horn <tsdh@gnu.org>
14325 * help-fns.el (describe-function-1): Use new advice-* functions
14326 rather than old ad-* functions. Fix function type description and
14327 source links for advised functions and subrs.
14329 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
14331 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
14333 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
14335 * progmodes/compile.el (compilation-start):
14336 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
14338 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
14339 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
14341 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
14343 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
14344 Touch up the last change.
14346 2013-12-06 Leo Liu <sdl.web@gmail.com>
14348 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
14349 (inferior-octave-startup): Always use "octave> " for prompt.
14350 (octave-goto-function-definition)
14351 (octave-sync-function-file-names)
14352 (octave-find-definition-default-filename): Remove redundant backquotes.
14354 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
14356 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
14358 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
14359 where appropriate already.
14360 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
14361 end of method names (Bug#15874).
14363 2013-12-06 Juri Linkov <juri@jurta.org>
14365 * isearch.el (isearch--saved-overriding-local-map):
14366 New internal variable.
14367 (isearch-mode): Set it to the initial value of
14368 `overriding-terminal-local-map'.
14369 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
14370 with `isearch--saved-overriding-local-map'. (Bug#16035)
14372 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
14374 * progmodes/octave.el (inferior-octave-completion-table):
14375 Turn back into function, use `completion-table-with-cache'
14376 (Bug#11906). Update all references.
14378 * minibuffer.el (completion-table-with-cache): New function.
14380 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
14382 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
14384 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
14386 * net/eww.el (eww-current-source): New variable to store page
14388 (eww-display-html, eww-mode, eww-save-history)
14389 (eww-restore-history): Use it.
14390 (eww-view-source): New command to view page source.
14391 Opportunistically uses `html-mode' to highlight the buffer.
14392 (eww-mode-map): Install it.
14394 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
14396 * net/dbus.el (dbus-unregister-service)
14397 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
14399 (dbus-unregister-service): Skip :serial entries in
14400 `dbus-registered-objects-table'.
14401 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
14403 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
14405 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
14406 around keywords with extra `split-string' argument.
14408 2013-12-04 Martin Rudalics <rudalics@gmx.at>
14410 * windmove.el (windmove-other-window-loc): Handle navigation
14411 between windows (excluding the minibuffer window - Bug#16017).
14413 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
14415 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
14416 in D-Bus type syntax.
14417 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
14418 preserve unibyte strings. (Bug#16048)
14420 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
14422 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
14423 Call force-mode-line-update is the proper buffer (bug#16042).
14425 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
14427 * vc/log-edit.el (log-edit-add-new-comment): Rename to
14428 `log-edit-remember-comment', make argument optional. Adjust all
14430 (log-edit-mode): Add `log-edit-remember-comment' to
14431 `kill-buffer-hook' locally.
14432 (log-edit-kill-buffer): Don't remember comment explicitly since
14433 the buffer is killed anyway.
14435 2013-12-04 Juri Linkov <juri@jurta.org>
14437 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
14438 add-hook and remove-hook for multi-buffer search. (Bug#16035)
14440 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
14442 * notifications.el (notifications-close-notification): Call the
14443 D-Bus method with ID being a `:uint32'. (Bug#16030)
14445 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
14447 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
14449 2013-12-03 Juri Linkov <juri@jurta.org>
14451 * progmodes/compile.el (compilation-start): Rename window alist
14452 entry `no-display-ok' to `allow-no-window'.
14454 * simple.el (shell-command): Add window alist entry
14455 `allow-no-window' to `display-buffer'.
14456 (async-shell-command): Doc fix.
14458 * window.el (display-buffer-no-window): New action function.
14459 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
14461 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
14463 * vc/log-edit.el (log-edit-set-header): Extract from
14464 `log-edit-toggle-header'.
14465 (log-edit-extract-headers): Separate the summary, when extracted
14466 from header, from the rest of the message with an empty line.
14468 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
14469 line, if present, to the Summary header.
14471 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
14473 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
14474 in current-buffer (bug#16029).
14476 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
14478 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
14479 (debugger-mode-map): Bind it.
14480 (debugger--backtrace-base): New function.
14481 (debugger-eval-expression): Use it.
14482 (debugger-frame-number): Skip local vars when present.
14483 (debugger--locals-visible-p, debugger--insert-locals)
14484 (debugger--show-locals, debugger--hide-locals): New functions.
14486 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
14488 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
14490 (tramp-get-remote-locale): New defun.
14491 (tramp-open-connection-setup-interactive-shell): Use it.
14493 2013-12-02 Leo Liu <sdl.web@gmail.com>
14495 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
14497 * progmodes/sh-script.el (sh-shell-process):
14498 * progmodes/octave.el (inferior-octave-process-live-p):
14499 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
14500 (gdb-inferior-io-sentinel):
14501 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
14503 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
14505 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
14506 `save-selected-window' to `log-edit-hide-buf'. This makes
14507 `log-edit-show-files' idempotent.
14508 (log-edit-show-files): Mark the new window as dedicated.
14510 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
14512 * vc/log-edit.el (log-edit-mode-map): Add binding for
14513 `log-edit-kill-biffer'.
14514 (log-edit-hide-buf): Add a FIXME comment.
14515 (log-edit-add-new-comment): New function, extracted from
14517 (log-edit-done, log-edit-add-to-changelog): Use it.
14518 (log-edit-kill-buffer): New command.
14520 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14522 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
14523 instead of killing the buffer.
14525 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
14527 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
14529 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14531 * net/eww.el (eww-form-checkbox-selected-symbol)
14532 (eww-form-checkbox-symbol): New customizable variable.
14533 (eww-form-checkbox, eww-toggle-checkbox):
14534 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
14536 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
14537 (shr--get-media-pref, shr--extract-best-source): New function.
14538 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
14539 no :src tag was specified.
14541 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
14542 (eww-render): Handle `eww-use-external-browser-for-content-type'.
14543 Use \\` to match beginning of string instead of ^.
14544 (eww-browse-with-external-browser): Provide optional URL parameter.
14545 (eww-render): Set `eww-current-title' back to "".
14547 * net/shr.el (shr-tag-video): Display content for video if no
14548 poster is available.
14549 (shr-tag-audio): Add support for <audio> tag.
14551 * net/eww.el (eww-text-input-types): New const.
14552 (eww-process-text-input): Treat input types in
14553 `eww-text-input-types' as text.
14555 * net/shr.el (shr-tag-table): Fix comment typo.
14557 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14559 * net/eww.el (eww-follow-link): New command to avoid reloading
14560 pages when we follow #target links (bug#15243).
14561 (eww-quit): Special mode buffers shouldn't query before exiting.
14563 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14565 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
14568 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14570 * net/eww.el (eww-restore-history): Update the window title after
14571 moving in the history.
14572 (eww-current-dom): New variable used to save the current DOM.
14574 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
14576 * vc/log-edit.el (log-edit-mode-map): Add binding for
14577 `log-edit-beginning-of-line'.
14578 (log-edit-setup-add-author): New user option.
14579 (log-edit-beginning-of-line): New command.
14580 (log-edit): Move major mode call above the contents setup so that
14581 the local variable values are already applied.
14582 (log-edit): Only insert "Author: " when
14583 `log-edit-setup-add-author' is non-nil.
14584 (log-edit): When SETUP is non-nil, position point after ": "
14585 instead of point-min.
14587 2013-12-01 Glenn Morris <rgm@gnu.org>
14589 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
14591 2013-11-30 Eli Zaretskii <eliz@gnu.org>
14593 * startup.el (fancy-splash-frame): On MS-Windows, trigger
14594 redisplay to make sure the initial frame gets a chance to become
14595 visible. (Bug#16014)
14597 2013-11-30 Martin Rudalics <rudalics@gmx.at>
14599 Support resizing frames and windows pixelwise.
14600 * cus-start.el (frame-resize-pixelwise)
14601 (window-resize-pixelwise): New entries.
14602 * emacs-lisp/debug.el (debug): Use window-total-height instead
14603 of window-total-size.
14604 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
14605 * help.el (describe-bindings-internal): Use help-buffer as
14606 argument for with-help-window.
14607 (temp-buffer-max-width): New option.
14608 (resize-temp-buffer-window, help-window-setup)
14609 (with-help-window): Rewrite.
14610 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
14612 * window.el (frame-char-size, window-min-pixel-height)
14613 (window-safe-min-pixel-height, window-safe-min-pixel-width)
14614 (window-min-pixel-width, window-safe-min-pixel-size)
14615 (window-combination-p, window-safe-min-size)
14616 (window-resizable-p, window--size-to-pixel)
14617 (window--pixel-to-size, window--resize-apply-p): New functions.
14618 (window-safe-min-height): Fix doc-string.
14619 (window-size, window-min-size, window--min-size-1)
14620 (window-sizable, window-sizable-p, window--min-delta-1)
14621 (window-min-delta, window--max-delta-1, window-max-delta)
14622 (window--resizable, window--resizable-p, window-resizable)
14623 (window-full-height-p, window-full-width-p, window-at-side-p)
14624 (window--in-direction-2, window-in-direction)
14625 (window--resize-reset-1, window--resize-mini-window)
14626 (window-resize, window-resize-no-error)
14627 (window--resize-child-windows-normal)
14628 (window--resize-child-windows, window--resize-siblings)
14629 (window--resize-this-window, window--resize-root-window)
14630 (window--resize-root-window-vertically)
14631 (adjust-window-trailing-edge, enlarge-window, shrink-window)
14632 (maximize-window, minimize-window, delete-window)
14633 (quit-restore-window, window-split-min-size, split-window)
14634 (balance-windows-2, balance-windows)
14635 (balance-windows-area-adjust, balance-windows-area)
14636 (window--state-get-1, window-state-get, window--state-put-1)
14637 (window--state-put-2, window-state-put)
14638 (display-buffer-record-window, window--display-buffer):
14639 Make functions handle pixelwise sizing of windows.
14640 (display-buffer--action-function-custom-type)
14641 (display-buffer-fallback-action):
14642 Add display-buffer-in-previous-window.
14643 (display-buffer-use-some-window): Resize window to height it had
14645 (fit-window-to-buffer-horizontally): New option.
14646 (fit-frame-to-buffer): Describe new values.
14647 (fit-frame-to-buffer-bottom-margin): Replace with
14648 fit-frame-to-buffer-margins.
14649 (window--sanitize-margin): New function.
14650 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
14651 using window-text-pixel-size.
14653 2013-11-30 Glenn Morris <rgm@gnu.org>
14655 * emacs-lisp/bytecomp.el (byte-compile-form):
14656 Make the `interactive-only' warning like the `obsolete' one.
14657 * comint.el (comint-run):
14658 * files.el (insert-file-literally, insert-file):
14659 * replace.el (replace-string, replace-regexp):
14660 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
14661 (goto-line, insert-buffer, next-line, previous-line):
14662 Tweak `interactive-only' spec.
14664 Stop keeping (most) generated cedet grammar files in the repository.
14665 * Makefile.in (semantic): New.
14666 (compile-main): Depend on semantic.
14668 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
14670 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
14671 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
14673 * uniquify.el (uniquify-buffer-name-style): Change default.
14675 * loadup.el: Preload "uniquify".
14677 * time.el (display-time-update): Update all mode lines (bug#15999).
14679 * electric.el (electric-indent-mode): Enable by default.
14680 * loadup.el: Preload "electric".
14682 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
14684 * emacs-lisp/helpers.el (string-empty-p): New function.
14685 (string-blank-p): New function.
14687 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
14689 * imenu.el (imenu--index-alist): Add missing dot to the docstring
14692 2013-11-29 Andreas Politz <politza@fh-trier.de>
14693 * imenu.el (imenu--subalist-p): Don't error on non-conses and
14694 allow non-lambda lists as functions.
14695 (imenu--in-alist): Don't recurse into non-subalists.
14696 (imenu): Don't pass function itself as an argument (Bug#14029).
14698 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
14700 * progmodes/python.el (python-mode-map): Remove binding for ":".
14701 (python-indent-electric-colon): Remove command.
14702 (python-indent-post-self-insert-function): Integrate the previous code
14703 of python-indent-electric-colon. Make it conditional on
14704 electric-indent-mode.
14705 (python-mode): Add ?: to electric-indent-chars.
14706 Move python-indent-post-self-insert-function to the end of
14707 post-self-insert-hook.
14709 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
14711 * doc-view.el (doc-view-goto-page): Update mode-line.
14713 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
14715 2013-11-27 Glenn Morris <rgm@gnu.org>
14717 * international/charprop.el, international/uni-bidi.el:
14718 * international/uni-category.el, international/uni-combining.el:
14719 * international/uni-comment.el, international/uni-decimal.el:
14720 * international/uni-decomposition.el, international/uni-digit.el:
14721 * international/uni-lowercase.el, international/uni-mirrored.el:
14722 * international/uni-name.el, international/uni-numeric.el:
14723 * international/uni-old-name.el, international/uni-titlecase.el:
14724 * international/uni-uppercase.el:
14725 Remove generated files from VCS repository.
14727 2013-11-27 Eli Zaretskii <eliz@gnu.org>
14729 * filenotify.el (file-notify-add-watch): Don't special-case
14730 w32notify when computing the directory to watch.
14732 2013-11-27 Glenn Morris <rgm@gnu.org>
14734 Make bootstrap without generated uni-*.el files possible again.
14735 * loadup.el: Update command-line-args checking for unidata-gen.
14736 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
14737 * composite.el, international/characters.el:
14738 Handle unicode tables being undefined.
14740 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
14741 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
14742 (compile-main): Depend on leim rule.
14744 * loadup.el: Move leim-list.el to leim/ subdirectory.
14745 * startup.el (normal-top-level): No more leim directory.
14746 * international/ja-dic-cnv.el (skkdic-convert):
14747 Disable version-control and autoloads in output files.
14748 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
14749 Disable version-control and autoloads in output files.
14750 * leim/quail: Move here from ../leim.
14751 * leim/quail/hangul.el (hangul-input-method-activate):
14752 Add autoload cookie.
14753 (generated-autoload-load-name): Set file-local value.
14754 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
14755 (generated-autoload-load-name): Set file-local value.
14757 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
14759 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
14760 (eww-add-bookmark): Ask confirmation when add to bookmarks.
14761 (eww-quit): Ask confirmation before quitting eww.
14763 2013-11-26 Eli Zaretskii <eliz@gnu.org>
14765 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
14766 reading output from Diff on MS-Windows and MS-DOS.
14768 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
14770 * emacs-lisp/helpers.el (string-reverse): New function.
14772 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
14774 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
14775 names on MS Windows, like "/[::1]:".
14777 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
14780 2013-11-26 Glenn Morris <rgm@gnu.org>
14782 * progmodes/python.el (python-indent-guess-indent-offset):
14783 Avoid corner-case error. (Bug#15975)
14785 Preload leim-list.el. (Bug#4789)
14786 * loadup.el: Load leim-list.el when found.
14787 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
14789 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
14791 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
14793 * emacs-lisp/helpers.el (string-join): New function.
14795 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
14797 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
14798 Mark as obsolete and replace it with a symbol property.
14799 (byte-compile-form): Use new 'interactive-only property.
14800 * comint.el, files.el, replace.el, simple.el:
14801 Apply new 'interactive-only properly.
14803 2013-11-25 Martin Rudalics <rudalics@gmx.at>
14805 * window.el (display-buffer-at-bottom): Make sure that
14806 split-window-sensibly creates the new window on bottom
14809 2013-11-23 David Kastrup <dak@gnu.org>
14811 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
14812 on the conflict markers when available.
14813 (smerge--get-marker): New function.
14814 (smerge-end-re, smerge-base-re): Add subgroup.
14816 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
14818 * frame.el (handle-focus-in, handle-focus-out): Add missing
14821 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
14823 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
14824 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
14826 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
14828 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
14829 (gomoku--last-pos): New var.
14830 (gomoku--intangible-chars): New const.
14831 (gomoku--intangible): New function.
14832 (gomoku-mode): Use it. Derive from special-mode.
14833 (gomoku-move-up): Adjust line count.
14834 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
14835 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
14836 Simplify accordingly.
14838 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
14839 Remove blink-cursor code.
14840 (blink-cursor-timer-function, blink-cursor-suspend):
14841 Don't special-case GUIs.
14842 (blink-cursor-mode): Use focus-in/out-hook.
14844 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
14846 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
14847 work when annotation is invisible (Bug#13886).
14849 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
14851 * json.el (json-alist-p): Only return non-nil if the alist has
14852 simple keys (Bug#13518).
14854 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
14856 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
14857 when control-statement is the first statement in a buffer (Bug#15956).
14859 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
14861 * imenu.el (imenu-generic-skip-comments-and-strings):
14862 New option (Bug#15560).
14863 (imenu--generic-function): Use it.
14865 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
14867 * minibuffer.el (completion--in-region-1): Scroll the correct window.
14870 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
14872 * emacs-lisp/helpers.el: Add some string helpers.
14873 (string-trim-left): Removes leading whitespace.
14874 (string-trim-right): Removes trailing whitespace.
14875 (string-trim): Removes leading and trailing whitespace.
14877 * subr.el (string-suffix-p): New function.
14879 2013-11-23 Glenn Morris <rgm@gnu.org>
14881 * progmodes/python.el (python-shell-send-file):
14882 Add option to delete file when done. (Bug#15647)
14883 (python-shell-send-string, python-shell-send-region): Use it.
14885 2013-11-23 Ivan Shmakov <ivan@siamics.net>
14887 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
14888 to set buffer-read-only to t, never to nil. (Bug#15938)
14890 * textmodes/tex-mode.el (latex-noindent-environments):
14891 Add safe-local-variable property. (Bug#15936)
14893 2013-11-23 Glenn Morris <rgm@gnu.org>
14895 * textmodes/enriched.el (enriched-mode): Doc fix.
14896 * emacs-lisp/authors.el (authors-renamed-files-alist):
14897 Add enriched.doc -> enriched.txt.
14899 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
14901 2013-11-22 Leo Liu <sdl.web@gmail.com>
14903 * progmodes/octave.el (inferior-octave-startup): Spit out error
14906 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
14908 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
14911 (ruby-encoding-magic-comment-style): Add :version.
14913 2013-11-22 Leo Liu <sdl.web@gmail.com>
14915 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
14917 (octave-help-mode): Adapt to change to help-mode-finish to use
14918 derived-mode-p on 2013-09-17.
14919 (inferior-octave-prompt): Also match octave-gui.
14920 (octave-kill-process): Don't ask twice. (Bug#10564)
14922 2013-11-22 Leo Liu <sdl.web@gmail.com>
14924 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
14925 (inferior-octave-startup, inferior-octave-check-process)
14926 (inferior-octave-track-window-width-change)
14927 (octave-completion-at-point, octave-eldoc-function): Use it.
14928 (octave-kill-process): Provide confirmation. (Bug#10564)
14930 2013-11-21 Leo Liu <sdl.web@gmail.com>
14932 * progmodes/octave.el (octave-mode, inferior-octave-mode):
14933 Fix obsolete variable comment-use-global-state.
14935 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14937 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
14938 Add `octave-source-file'.
14939 (octave-source-file): New function. (Bug#15935)
14941 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
14943 * net/eww.el (eww-local-regex): New variable.
14944 (eww): Use it to detect localhost and similar.
14946 2013-11-21 Leo Liu <sdl.web@gmail.com>
14948 Add completion for command `ag'.
14949 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
14950 (pcomplete/ag): New function.
14951 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
14953 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
14955 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
14957 (make-obsolete): Remove interactive spec.
14959 2013-11-21 Glenn Morris <rgm@gnu.org>
14961 * startup.el (command-line-1): Use path-separator with -L.
14963 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
14965 * emacs-lisp/package.el (describe-package-1): Add package archive
14968 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
14970 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
14971 Change default to "# encoding: %s" to differentiate it from the
14972 default Ruby encoding comment template.
14974 2013-11-20 Era Eriksson <era+emacsbugs@iki.fi>
14976 * ses.el (ses-mode): Doc fix. (Bug#14748)
14978 2013-11-20 Leo Liu <sdl.web@gmail.com>
14980 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
14982 2013-11-19 Dan Nicolaescu <dann@gnu.org>
14984 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
14985 when rebase or bisect are in progress.
14987 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
14989 * filenotify.el (file-notify-add-watch): Doc fix.
14991 2013-11-19 Leo Liu <sdl.web@gmail.com>
14993 * obsolete/rcompile.el: Mark obsolete.
14995 * progmodes/compile.el (compilation-start)
14996 (compilation-goto-locus, compilation-find-file):
14997 Pass no-display-ok and handle nil value from display-buffer.
15000 * window.el (display-buffer-alist, display-buffer): Document the
15001 new parameter no-display-ok. Return either a window or nil
15002 but never a non-window value.
15004 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
15006 * electric.el (electric-indent-mode-map): Remove.
15007 (electric-indent-mode): Change the global-map instead (bug#15915).
15009 * textmodes/text-mode.el (paragraph-indent-minor-mode):
15012 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
15014 * emacs-lisp/nadvice.el (remove-function): Align with
15015 add-function's behavior.
15017 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
15018 (gdb--string-regexp): New constant.
15019 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
15020 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
15021 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
15023 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
15024 Adjust use accordingly.
15025 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
15027 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
15029 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
15030 interpolation curlies (Bug#15914).
15032 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
15034 * calc/calc.el (calc-context-sensitive-enter): New variable.
15035 (calc-enter): Use `calc-context-sensitive-enter'.
15037 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
15039 * progmodes/cfengine.el: Version bump.
15040 (cfengine-cf-promises): New defcustom to locate cf-promises.
15041 (cfengine3-vartypes): Add new "data" type.
15042 (cfengine3--current-word): New function to get current name-like
15043 word or its bounds.
15044 (cfengine3--current-function): New function to look up a CFEngine
15045 function's definition.
15046 (cfengine3-format-function-docstring): New function.
15047 (cfengine3-make-syntax-cache): New function.
15048 (cfengine3-documentation-function): New function: ElDoc glue.
15049 (cfengine3-completion-function): New function: completion glue.
15050 (cfengine3-mode): Set `compile-command',
15051 `eldoc-documentation-function', and add to
15052 `completion-at-point-functions'.
15054 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
15056 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
15057 `tramp-current-connection'.
15059 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
15061 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
15062 nil/self/true/false with "end of symbol".
15064 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
15066 * subr.el (version-regexp-alist): Fix a typo.
15068 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
15070 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
15071 "en_US.utf8" and "LC_CTYPE" to "".
15072 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
15073 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
15075 2013-11-15 Leo Liu <sdl.web@gmail.com>
15077 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
15079 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15081 * progmodes/gud.el (ctl-x-map):
15082 Remove C-x SPC binding. (Bug#12342)
15083 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
15085 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
15087 * subr.el (version-regexp-alist):
15088 Recognize hg, svn and darcs versions as snapshot versions.
15090 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
15091 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
15092 (ruby--encoding-comment-required-p): Extract from
15093 `ruby-mode-set-encoding'.
15094 (ruby-mode-set-encoding): Add the ability to always insert an
15095 utf-8 encoding comment. Fix and simplify coding comment update
15098 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
15100 * net/tramp-gvfs.el (top): Run init code only when
15101 `tramp-gvfs-enabled' is not nil.
15102 (tramp-gvfs-enabled): Check also :system bus.
15104 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15106 Sync with upstream verilog-mode revision 78e66ba.
15107 * progmodes/verilog-mode.el (verilog-end-of-defun)
15108 (verilog-type-completion, verilog-get-list): Remove unused funcs.
15109 (verilog-get-end-of-defun): Remove unused argument.
15110 (verilog-comment-depth): Remove unused local `e'.
15111 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
15112 Don't pass arg to verilog-get-end-of-defun.
15114 2013-11-14 Glenn Morris <rgm@gnu.org>
15116 * obsolete/assoc.el (aget): Prefix dynamic variable.
15118 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
15120 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15122 * widget.el, hfy-cmap.el: Remove bogus package version number.
15124 2013-11-13 Glenn Morris <rgm@gnu.org>
15126 * replace.el (replace-eval-replacement):
15127 Try to give more helpful error message. (Bug#15836)
15129 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
15130 (archive-7z-update): Avoid custom type mismatches.
15132 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
15134 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
15136 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
15137 address can be empty.
15139 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
15140 Accept nil SWITCHES.
15141 (tramp-gvfs-handle-write-region): Implement APPEND.
15143 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
15145 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
15146 binary "|" operator and closing block args delimiter.
15147 Remove FIXME comment referring to Ruby 1.8-only syntax.
15148 (ruby-smie--implicit-semi-p): Not after "|" operator.
15149 (ruby-smie--closing-pipe-p): New function.
15150 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
15151 (ruby-smie-rules): Indent after "|".
15153 2013-11-12 Glenn Morris <rgm@gnu.org>
15155 * ps-print.el (ps-face-attribute-list):
15156 Handle anonymous faces. (Bug#15827)
15158 2013-11-12 Martin Rudalics <rudalics@gmx.at>
15160 * window.el (display-buffer-other-frame): Fix doc-string.
15163 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15165 * subr.el (force-mode-line-update): Delete, move to buffer.c.
15167 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
15169 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
15170 (tramp-sh-handle-file-local-copy): Don't write a message when
15171 saving temporary files.
15173 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
15174 both directories are remote.
15175 (tramp-smb-handle-directory-files): Do not return double entries.
15176 Do not expand full file names.
15177 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
15178 (tramp-smb-handle-write-region): Implement APPEND.
15179 (tramp-smb-get-stat-capability): Fix a stupid bug.
15181 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15183 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
15185 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15187 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
15188 throwing error over malformed let/let* (bug#15814).
15190 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15192 * iswitchb.el (iswitchb-mode): Mark obsolete.
15194 2013-11-11 Glenn Morris <rgm@gnu.org>
15196 * international/uni-bidi.el, international/uni-category.el:
15197 * international/uni-name.el, international/uni-numeric.el:
15198 Regenerate for Unicode 6.3.0.
15200 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
15202 * net/tramp.el (tramp-methods):
15203 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
15204 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
15206 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
15208 * progmodes/sh-script.el (sh-font-lock-keywords-var):
15209 Force highlighting text after Summary keyword in doc face for rpm.
15211 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
15213 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
15214 available and the word has no wildcards, append one to the grep pattern.
15215 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
15216 (ispell-complete-word): Call `ispell-lookup-words' with the value
15217 independent of `ispell-look-p'.
15219 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15221 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
15223 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
15226 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
15228 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
15229 (ruby-font-lock-keywords): Use backquote.
15231 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15233 * progmodes/ruby-mode.el (ruby-smie--forward-token)
15234 (ruby-smie--backward-token): Only consider full-string matches.
15236 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
15238 * faces.el (describe-face): Add distant-foreground.
15240 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
15242 * progmodes/ruby-mode.el: Improve encoding comment handling.
15243 (ruby-encoding-magic-comment-style): New option.
15244 (ruby-custom-encoding-magic-comment-template): New option.
15245 (ruby--insert-coding-comment, ruby--detect-encoding):
15246 New functions extracted from `ruby-mode-set-encoding'.
15247 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
15248 to control the style of the auto-inserted encoding comment.
15250 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15252 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
15253 Use `smie-backward-sexp' with token argument.
15255 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
15257 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
15258 Remove instrumentation code.
15260 2013-11-08 Glenn Morris <rgm@gnu.org>
15262 * progmodes/autoconf.el (autoconf-mode):
15263 Tweak comment-start-skip. (Bug#15822)
15265 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
15267 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
15268 at bobp (bug#15826).
15269 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
15271 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
15273 * man.el (Man-start-calling): New macro, extracted from
15274 Man-getpage-in-background.
15275 (Man-getpage-in-background): Use it.
15276 (Man-update-manpage): New command.
15277 (Man-mode-map): Bind it.
15279 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15281 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
15282 of "and", "or", "&&" and "||".
15283 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
15284 argument. Prohibit opening curly brace because it could only be a
15285 block opener in that position.
15286 (ruby-smie--forward-token, ruby-smie--backward-token):
15287 Separate "|" from "&" or "*" going after it. That can happen in block
15289 (ruby-smie--indent-to-stmt): New function, seeks the end of
15290 previous statement or beginning of buffer.
15291 (ruby-smie-rules): Use it.
15292 (ruby-smie-rules): Check if there's a ":" before a curly block
15293 opener candidate; if there is, it's a hash.
15295 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
15297 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
15298 (cl--block-wrapper): Fix last accidental change.
15300 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
15302 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
15303 Instrument, in order to hunt failure on hydra.
15305 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15307 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
15308 malformed bindings form (bug#15814).
15310 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
15312 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
15313 "." compared to " @ ". This incidentally fixes some indentation
15314 examples with "do".
15315 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
15316 (ruby-smie-grammar): New tokens: "and" and "or".
15317 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
15318 Exclude "and" and "or". Remove "do" in order to work around token
15320 (ruby-smie-rules): Add all infix tokens. Handle the case of
15321 beginning-of-buffer.
15323 2013-11-06 Glenn Morris <rgm@gnu.org>
15325 * Makefile.in (setwins_almost, setwins_for_subdirs):
15326 Avoid accidental matches.
15328 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
15330 * menu-bar.el (popup-menu): Use key-binding.
15332 2013-11-06 Eli Zaretskii <eliz@gnu.org>
15334 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
15335 menus, support also the menus produced by minor modes.
15338 2013-11-06 Leo Liu <sdl.web@gmail.com>
15340 * thingatpt.el (thing-at-point-looking-at): Add optional arg
15341 DISTANCE to bound the search. All uses changed. (Bug#15808)
15343 2013-11-06 Glenn Morris <rgm@gnu.org>
15345 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
15346 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
15347 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
15349 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
15351 * electric.el (electric-indent-just-newline): New command.
15352 (electric-indent-mode-map): New keymap.
15353 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
15354 Re-add :group which weren't redundant.
15356 * electric.el (electric-indent-local-mode): New minor mode.
15357 (electric-indent-functions-without-reindent): New var.
15358 (electric-indent-post-self-insert-function): Use it.
15359 * emacs-lisp/gv.el (buffer-local-value): Add setter.
15361 2013-11-05 Eli Zaretskii <eliz@gnu.org>
15363 * international/quail.el (quail-help): Be more explicit about the
15364 meaning of the labels shown on the keys. (Bug#15800)
15366 * startup.el (normal-top-level): Load the subdirs.el files before
15367 setting the locale environment. (Bug#15805)
15369 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
15371 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
15372 via arguments so as to get the right ones (bug#15418).
15374 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
15376 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
15378 Fix problems found while writing a test suite.
15380 * net/tramp-compat.el (tramp-compat-load): New defun.
15381 * net/tramp.el (tramp-handle-load): Use it.
15383 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
15384 "(numberp ok-if-already-exists)" correctly.
15386 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
15388 * international/characters.el (glyphless-char-display-control):
15391 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
15393 * progmodes/python.el (python-mode):
15394 * progmodes/scheme.el (scheme-mode):
15395 * progmodes/prolog.el (prolog-mode):
15396 * progmodes/ruby-mode.el (ruby-mode):
15397 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
15398 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
15400 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
15402 * rect.el (rectangle--highlight-for-redisplay):
15403 * emacs-lisp/smie.el (smie--next-indent-change):
15404 Use buffer-chars-modified-tick.
15406 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
15408 * electric.el (electric-indent-post-self-insert-function):
15409 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
15411 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
15413 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
15415 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15417 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
15420 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
15422 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
15424 * progmodes/python.el: Fix up last change.
15425 (python-shell--save-temp-file): New function.
15426 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
15427 `string' comes from the current buffer.
15428 (python-shell-send-string-no-output): Remove `msg' arg.
15429 (python--use-fake-loc): New var.
15430 (python-shell-buffer-substring): Obey it. Try to compensate for the
15431 extra coding line added by python-shell--save-temp-file.
15432 (python-shell-send-region): Use python-shell--save-temp-file and
15433 python-shell-send-file directly. Add `nomain' argument.
15434 (python-shell-send-buffer): Use python-shell-send-region.
15435 (python-electric-pair-string-delimiter): New function.
15436 (python-mode): Use it.
15438 2013-11-04 Eli Zaretskii <eliz@gnu.org>
15440 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
15441 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
15442 environment and decoding all of the default-directory's to here
15444 (command-line): Decode also argv[0].
15446 * loadup.el: Error out if default-directory is a multibyte string
15447 when we are dumping.
15449 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
15451 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
15453 * emacs-lisp/package.el (package-menu-mode)
15454 (package-menu--print-info, package-menu--archive-predicate):
15455 Add Archive column to package list.
15457 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
15459 Fix problems found while writing a test suite.
15461 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
15462 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
15463 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
15464 to nil when running original file name handler. Otherwise,
15465 there are problems with constructs like "$$FOO".
15467 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
15470 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
15472 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
15474 * subr.el (version<, version<=, version=):
15475 Update docstrings with information for snapshot versions.
15477 * helpers.el: New library for misc helper functions.
15478 (hash-table-keys): New function returning a list of hash keys.
15479 (hash-table-values): New function returning a list of hash values.
15481 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
15483 * progmodes/ruby-mode.el (ruby-smie--forward-token)
15484 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
15486 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
15488 * textmodes/fill.el (fill-single-char-nobreak-p): New function
15489 checking whether point is after a 1-letter word.
15491 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15493 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
15494 Don't infloop when expanding region over `multiline' syntax-type that
15495 begins a line (bug#15778).
15497 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
15499 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
15500 Make it into a proper minor mode.
15501 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
15502 (rectangle-mark-mode-map): New keymap.
15503 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
15505 2013-11-04 Glenn Morris <rgm@gnu.org>
15507 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
15509 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
15511 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
15512 (ruby-smie-rules): Use smie-rule-parent instead.
15514 * emacs-lisp/smie.el (smie-rule-parent): Always call
15515 smie-indent-virtual rather than only for hanging tokens.
15516 (smie--next-indent-change): New helper command.
15518 2013-11-03 Glenn Morris <rgm@gnu.org>
15520 * Makefile.in (abs_srcdir): Remove.
15521 (emacs): Unset EMACSLOADPATH.
15523 2013-11-02 Glenn Morris <rgm@gnu.org>
15525 * Makefile.in (EMACS): Use a relative filename.
15526 (abs_top_builddir): Remove.
15527 (custom-deps, finder-data, autoloads): Use --chdir.
15529 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
15531 Use relative filenames in TAGS files.
15532 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
15533 (lisptagsfiles4, TAGS): Use relative file names.
15534 (TAGS-LISP): Remove.
15535 (maintainer-clean): No more TAGS-LISP file.
15537 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
15538 (lisptagsfiles4): Use absolute filenames again.
15539 (TAGS, TAGS-LISP): Not everything needs to run in one line.
15540 Remove all *loaddefs files, not just the first. Remove esh-groups.
15541 (maintainer-clean): Delete TAGS, TAGS-LISP.
15543 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15545 * emacs-lisp/package.el (package-version-join):
15546 Recognize snapshot versions.
15548 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15550 * subr.el (version-regexp-alist): Add support for snapshot versions.
15552 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
15554 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
15555 New function, replacement for `smie-rule-parent' for when we want to
15556 skip over our direct parent if it's an assignment token..
15557 (ruby-smie-rules): Use it.
15559 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
15561 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
15562 unconditionally. Remove now unnecessary forward declarations.
15563 Remove XEmacs-specific setup.
15564 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
15565 (ruby-font-lock-syntactic-keywords)
15566 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
15567 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
15568 (ruby-here-doc-end-syntax): Remove.
15569 (ruby-mode): Don't check whether `syntax-propertize-rules' is
15570 defined as function.
15572 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15574 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
15576 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
15578 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
15579 table and abbrev table, `define-derived-mode' does that for us
15582 2013-11-01 Glenn Morris <rgm@gnu.org>
15584 * Makefile.in: Remove manual mh-e dependencies (writing .elc
15585 files is atomic for some time, so no parallel compilation issues).
15587 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
15589 * faces.el (face-x-resources): Add :distant-foreground.
15590 (region): Use :distant-foreground for gtk and ns.
15592 2013-11-01 Tassilo Horn <tsdh@gnu.org>
15594 Allow multiple bibliographies when BibLaTeX is used rather than
15596 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
15597 (reftex-locate-bibliography-files): Us it.
15599 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
15601 * image.el (image-type-header-regexps): Fix the 'pbm' part to
15602 allow comments in pbm files.
15604 * term/w32-win.el (dynamic-library-alist): Support newer versions
15605 of libjpeg starting with v7: look only for the DLL from the
15606 version against which Emacs was built.
15607 Support versions of libpng beyond 1.4.x.
15608 Support libtiff v4.x.
15610 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
15612 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
15613 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
15614 Add property :safe.
15615 (ruby-deep-arglist): Add property :type.
15617 2013-10-31 Glenn Morris <rgm@gnu.org>
15619 * Makefile.in (custom-deps, finder-data): No need to setq the target
15620 variables, we are in the right directory and the defaults work fine.
15622 2013-10-30 Glenn Morris <rgm@gnu.org>
15624 * Makefile.in (autoloads): Do not use abs_lisp.
15626 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
15627 `newline' does not respect `standard-output', so use `princ'.
15629 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
15631 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
15632 * buff-menu.el (Buffer-menu--unmark): New function.
15633 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
15635 2013-10-30 Glenn Morris <rgm@gnu.org>
15637 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
15639 * emacs-lisp/package.el (lm-homepage): Declare.
15641 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
15644 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
15646 * Makefile.in (finder-data, autoloads, update-subdirs)
15647 (compile-main, compile-clean, compile-always, bootstrap-clean):
15648 Check return value of cd.
15649 (compile-calc): Remove.
15651 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
15653 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
15655 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
15656 (byte-compile-and-folded): New function.
15657 (=, <, >, <=, >=): Use it.
15659 * dos-w32.el (minibuffer-history-case-insensitive-variables)
15660 (path-separator, null-device, buffer-file-coding-system)
15661 (lpr-headers-switches): Check system-type before modifying them.
15662 (find-buffer-file-type-coding-system): Mark obsolete.
15663 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
15664 find-file-not-found-set-buffer-file-coding-system.
15665 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
15666 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
15667 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
15668 (w32-direct-print-region-helper, w32-direct-print-region-function)
15669 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
15670 * startup.el (normal-top-level-add-subdirs-to-load-path):
15671 * ps-print.el (ps-print-region-function):
15672 * lpr.el (print-region-function): Use new name.
15674 * subr.el (custom-declare-variable-early): Remove function.
15675 (custom-declare-variable-list): Remove var.
15676 (error, user-error): Remove `while' loop.
15677 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
15678 (user-emacs-directory-warning, locate-user-emacs-file):
15680 * simple.el (read-quoted-char-radix, read-quoted-char):
15681 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
15683 * custom.el (custom-declare-variable-list): Don't process
15684 custom-declare-variable-list.
15686 * progmodes/python.el (python-shell-get-buffer): New function.
15687 (python-shell-get-process): Use it.
15688 (python-shell-send-string): Always use utf-8 and add a cookie to tell
15689 Python which encoding was used. Don't split-string since we only care
15690 about the first line. Return the temp-file, if applicable.
15691 (python-shell-send-region): Tell compile.el how to turn locations in
15692 the temp-file into locations in the source buffer.
15694 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
15696 * subr.el (undefined): Add missing behavior from the C code for
15699 * rect.el: Use lexical-binding. Add new rectangular region support.
15700 (rectangle-mark): New command.
15701 (rectangle--region): New var.
15702 (deactivate-mark-hook): Reset rectangle--region.
15703 (rectangle--extract-region, rectangle--insert-for-yank)
15704 (rectangle--highlight-for-redisplay)
15705 (rectangle--unhighlight-for-redisplay): New functions.
15706 (region-extract-function, redisplay-unhighlight-region-function)
15707 (redisplay-highlight-region-function): Use them to handle
15708 rectangular region.
15709 * simple.el (region-extract-function): New var.
15710 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
15711 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
15712 (kill-region): Replace obsolete `yank-handler' arg with `region'.
15713 (copy-region-as-kill, kill-ring-save): Add `region' argument.
15714 (redisplay-unhighlight-region-function)
15715 (redisplay-highlight-region-function): New vars.
15716 (redisplay--update-region-highlight): New function.
15717 (pre-redisplay-function): Use it.
15718 (exchange-point-and-mark): Don't deactivate the mark before
15719 reactivate-it anyway.
15720 * comint.el (comint-kill-region): Remove yank-handler argument.
15721 * delsel.el (delete-backward-char, backward-delete-char-untabify)
15722 (delete-char): Remove property, since it's now part of their
15724 (self-insert-iso): Remove property since this command doesn't exist.
15726 * emacs-lisp/package.el (package--download-one-archive)
15727 (describe-package-1): Don't query the user about final newline.
15729 2013-10-29 Daniel Colascione <dancol@dancol.org>
15731 * net/tramp.el (tramp-methods): Document new functionality.
15732 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
15733 tramp-hostname-checker if method provides one instead of scanning
15734 argument list for "%h" to decide hostname acceptability.
15736 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
15738 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
15739 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
15740 Handle COPY-CONTENTS. (Bug#15737)
15742 2013-10-28 Daiki Ueno <ueno@gnu.org>
15744 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
15745 Document that this option has no effect with GnuPG 2.0 (bug#15552).
15747 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
15749 * image.el (defimage, image-load-path): Doc fixes.
15751 2013-10-27 Alan Mackenzie <acm@muc.de>
15753 Indent statements in macros following "##" correctly.
15754 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
15755 Modify the "#" arm of a cond form to handle "#" and "##" operators.
15757 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15759 * linum.el (linum-update-window): Fix boundary test (bug#13446).
15761 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
15763 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
15764 after `=' is probably a new expression.
15766 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15768 * man.el (man-imenu-title): New option.
15769 (Man-mode-map): Add menu. (Bug#15722)
15770 (Man-mode): Add imenu to menu.
15772 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
15774 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
15775 specific in what the first arg can be: a non-keyword word,
15776 string/regexp/percent literal opener, opening paren, or unary
15777 operator followed directly by word.
15779 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
15781 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
15782 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
15783 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
15784 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
15785 Remove vars, they do not apply any more.
15786 (prolog-mode-abbrev-table): Remove redundant declaration.
15787 (prolog-upper-case-string, prolog-lower-case-string): Remove.
15788 (prolog-use-smie): Remove.
15789 (prolog-smie-rules): Add indentation rule for the if-then-else layout
15790 supported by prolog-electric-if-then-else-flag.
15791 (prolog-mode-variables, prolog-menu): Use setq-local.
15792 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
15793 Remove binding to `Backspace' since this key doesn't exist anyway.
15794 Remove bindings for electric self-inserting keys.
15795 (prog-mode): Assume it's defined.
15796 (prolog-post-self-insert): New function.
15797 (prolog-mode): Use it.
15798 (prolog-indent-line, prolog-indent-level)
15799 (prolog-find-indent-of-matching-paren)
15800 (prolog-indentation-level-of-line, prolog-goto-comment-column)
15801 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
15802 (prolog-goto-next-paren, prolog-in-string-or-comment)
15803 (prolog-tokenize, prolog-inside-mline-comment)
15804 (prolog-find-start-of-mline-comment): Remove functions.
15805 (prolog-find-unmatched-paren, prolog-clause-end)
15806 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
15807 (prolog-electric--if-then-else): Rename from
15808 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
15809 (prolog-tokenize-searchkey): Remove const.
15810 (prolog-clause-info): Use forward-sexp.
15811 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
15812 (prolog-electric-if-then-else): Remove commands.
15813 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
15814 for use in post-self-insert-hook.
15815 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
15816 for use in post-self-insert-hook.
15817 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
15818 for use in post-self-insert-hook.
15819 (prolog-electric--underscore): Rename from prolog-electric--underscore;
15820 adapt it for use in post-self-insert-hook.
15822 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
15824 * emacs-lisp/ert.el (ert-run-tests-interactively):
15825 Use `completing-read'. (Bug#9756)
15827 2013-10-25 Eli Zaretskii <eliz@gnu.org>
15829 * simple.el (line-move): Call line-move-1 instead of
15830 line-move-visual when the current window hscroll is zero, but
15831 temporary-goal-column indicates we will need to hscroll as result
15832 of the movement. (Bug#15712)
15834 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
15836 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
15837 capitalization. Use :visible instead of :active.
15838 Fix `ruby-indent-exp' reference. Add menu items for the generic
15839 commands that are used with SMIE.
15840 (ruby-do-end-to-brace): Insert space after `{'.
15842 2013-10-25 John Anthony <john@jo.hnanthony.com>
15844 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
15846 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
15848 2013-10-25 Glenn Morris <rgm@gnu.org>
15850 * vc/vc.el (vc-print-log): Don't use a working revision unless
15851 one was explicitly specified. (Bug#15322)
15853 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
15855 * subr.el (add-to-list): Preserve return value in compiler-macro
15858 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15860 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
15861 result. Ask user to retry using '-all' flag. (Bug#15701)
15863 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
15865 * emacs-lisp/smie.el: New smie-config system.
15866 (smie-config): New defcustom.
15867 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
15868 (smie-config-guess, smie-config-save): New commands.
15869 (smie-config--mode-local, smie-config--buffer-local)
15870 (smie-config--trace, smie-config--modefuns): New vars.
15871 (smie-config--advice, smie-config--mode-hook)
15872 (smie-config--setter, smie-config-local, smie-config--get-trace)
15873 (smie-config--guess-value, smie-config--guess): New functions.
15874 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
15875 text properties. Treat "string fence" syntax like string syntax.
15877 * progmodes/sh-script.el (sh-use-smie): Change default.
15878 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
15879 (sh-var-value): Simplify by CSE.
15880 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
15881 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
15883 (sh-guess-basic-offset): Use cl-incf.
15884 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
15886 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
15888 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
15891 2013-10-24 Glenn Morris <rgm@gnu.org>
15893 * Makefile.in (abs_top_srcdir): Remove.
15894 (update-subdirs): Use relative path to update-subdirs.
15896 2013-10-24 Eli Zaretskii <eliz@gnu.org>
15898 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
15899 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
15900 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
15901 Call unmsys--file-name before expand-file-name, not after it.
15903 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
15905 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
15906 (ert-test-skipped): New error.
15907 (ert-skip, ert-stats-skipped): New defuns.
15908 (ert--skip-unless): New macro.
15909 (ert-test-skipped): New struct.
15910 (ert--run-test-debugger, ert-test-result-type-p)
15911 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
15912 (ert--stats-set-test-and-result, ert-char-for-test-result)
15913 (ert-string-for-test-result, ert-run-tests-batch)
15914 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
15915 Handle skipped tests. (Bug#9803)
15917 2013-10-24 Glenn Morris <rgm@gnu.org>
15919 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
15921 * Makefile.in (abs_top_srcdir): New, set by configure.
15922 (update-subdirs): Correct build-aux location.
15924 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
15926 * vc/vc.el (vc-print-root-log): Always set `default-directory'
15927 value, whether we could auto-deduce `backend', or not.
15929 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
15930 with parameters" example. Simplify the "is it block or is it
15931 hash" check, but also make it more thorough.
15933 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
15935 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
15937 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
15939 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
15940 { if it is hanging.
15942 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
15945 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
15947 * progmodes/compile.el (compilation-directory-matcher)
15948 (compilation-page-delimiter):
15949 Support GNU Make-4.0 directory quoting. (Bug#15678)
15951 2013-10-23 Leo Liu <sdl.web@gmail.com>
15953 * ido.el (ido-tidy): Handle read-only text.
15955 2013-10-23 Glenn Morris <rgm@gnu.org>
15957 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
15958 (emacs, compile, compile-always):
15959 Quote entities that might contain whitespace.
15960 (custom-deps, finder-data, autoloads): Use abs_lisp.
15961 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
15962 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
15963 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
15965 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
15967 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
15968 Use `following-char'.
15970 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
15972 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
15973 * progmodes/ruby-mode.el (ruby-smie-rules):
15974 Remove corresponding workaround. Fix indentation rule of ";" so it
15975 also applies when ";" is the parent.
15977 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
15979 * frame.el (display-screens, display-pixel-height)
15980 (display-pixel-width, display-mm-width, display-backing-store)
15981 (display-save-under, display-planes, display-color-cells)
15982 (display-visual-class, display-monitor-attributes-list):
15983 Mention the optional ‘display’ argument in doc strings.
15985 2013-10-22 Michael Gauland <mikelygee@amuri.net>
15987 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
15988 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
15990 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
15992 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
15993 TODO. Add "." after " @ ".
15994 (ruby-smie--at-dot-call): New function. Checks if point at method
15995 call with explicit target.
15996 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
15997 to the method name tokens when it precedes them.
15998 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
15999 (ruby-smie-rules): Add rule for indentation before and after "."
16002 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
16004 * textmodes/remember.el (remember-diary-extract-entries):
16007 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
16010 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
16012 * progmodes/ruby-mode.el (ruby-smie-grammar):
16013 Add (almost) all infix operators.
16014 (ruby-smie--implicit-semi-p): Add new operator chars.
16016 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
16018 (ruby-smie--args-separator-p): Check that there's no newline
16019 between method call and its arguments.
16021 2013-10-20 Alan Mackenzie <acm@muc.de>
16023 Allow comma separated lists after Java "implements".
16025 * progmodes/cc-engine.el (c-backward-over-enum-header):
16027 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
16028 from a "disallowed" list in enum fontification.
16030 2013-10-20 Johan Bockgård <bojohan@gnu.org>
16032 * startup.el (default-frame-background-mode): Remove unused defvar.
16034 * progmodes/verilog-mode.el (verilog-mode): Don't set
16035 comment-indent-function globally.
16037 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
16039 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
16040 Move Info menu item creation to ns-win.el.
16042 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
16045 * menu-bar.el: Move GNUstep specific menus...
16047 * term/ns-win.el (ns-initialize-window-system): ... to here.
16049 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
16051 * simple.el (newline): Only run post-self-insert-hook when
16052 called interactively.
16054 2013-10-19 Johan Bockgård <bojohan@gnu.org>
16056 * icomplete.el (icomplete-with-completion-tables): Add :version.
16058 2013-10-19 Alan Mackenzie <acm@muc.de>
16060 Fix fontification bugs with constructors and const.
16062 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
16063 CASE 2) Remove the check for the absence of a suffix construct
16064 after a function declaration with only types (no identifiers) in
16065 the parentheses. Also, accept a function declaration with just a
16066 type inside the parentheses, if this type can be positively
16067 recognised as such, or if a prefix keyword like "explicit" nails
16068 down the construct as a declaration.
16070 2013-10-19 Eli Zaretskii <eliz@gnu.org>
16072 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
16073 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
16074 the problem whereby selecting a menu item that leads to a
16075 minibuffer prompt moves the cursor out of the minibuffer window,
16076 making it hard to type at the prompt. Suggested by Stefan Monnier
16077 <monnier@iro.umontreal.ca>.
16079 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
16081 * menu-bar.el: Don't make Services menu.
16083 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16085 * ffap.el: Handle "/usr/include/c++/<version>" directories.
16086 (ffap-alist): Use ffap-c++-mode for c++-mode.
16087 (ffap-c++-path): New variable.
16088 (ffap-c++-mode): New function.
16090 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
16092 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
16094 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
16096 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
16097 introduced on 2013-09-08, which results in an infinite loop
16098 requesting a password.
16100 2013-10-18 Glenn Morris <rgm@gnu.org>
16102 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
16104 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
16106 Sync with upstream verilog-mode revision 1a6ecec7.
16107 * progmodes/verilog-mode.el (verilog-mode-version): Update.
16108 (verilog-mode-release-date): Remove.
16109 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
16110 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
16111 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
16112 (verilog-auto-tieoff-ignore-regexp)
16113 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
16114 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
16115 (verilog-signals-with, verilog-dir-cache-preserving)
16116 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
16118 (verilog-case-fold): New option, to control case folding in
16119 regexp searches, bug597.
16120 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
16121 (verilog-string-match-fold, verilog-in-paren-count)
16122 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
16123 (verilog-at-close-struct-p): New functions.
16124 (verilog-beg-block-re-ordered, verilog-extended-case-re)
16125 (verilog-forward-sexp, verilog-set-auto-endcomments)
16126 (verilog-leap-to-case-head): Handle "unique0" case.
16127 (verilog-in-constraint-re): New constant.
16128 (verilog-keywords, verilog-type-font-keywords):
16129 Add some SystemVerilog 1800-2012 keywords.
16130 (verilog-label-be): Remove unimplemented argument, bug669.
16131 (verilog-batch-execute-func): When batch expanding clear
16132 create-lockfiles to prevent spurious user locks when a file ends
16134 (verilog-calculate-indent, verilog-calc-1)
16135 (verilog-at-close-constraint-p, verilog-at-constraint-p)
16136 (verilog-do-indent): Fix indentation of nested constraints
16138 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
16139 (verilog-auto-inst-param): Use verilog-string-match-fold.
16140 (verilog-read-inst-module-matcher):
16141 Fix AUTOINST on gate primitives with #1.
16142 (verilog-read-decls): Fix double-declaring user-defined typed signals.
16143 Reads all user-defined typed variables.
16144 (verilog-read-defines): Fix reading definitions inside comments, bug647.
16145 (verilog-signals-matching-regexp)
16146 (verilog-signals-not-matching-regexp, verilog-auto):
16147 Respect verilog-case-fold.
16148 (verilog-diff-report): Fix line count.
16149 (verilog-auto-assign-modport): Remove unused local `modi'.
16150 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
16151 better handle multidimensional arrays.
16152 Fix packed array ports misadding bit index in AUTOINST, bug637.
16153 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
16154 to not double-declare existing outputs and inputs, respectively.
16155 (verilog-template-map): Bind U to verilog-sk-uvm-component.
16156 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
16157 (verilog-sk-uvm-component): New skeleton.
16158 (verilog-submit-bug-report): Add verilog-case-fold,
16159 remove verilog-mode-release-date.
16161 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
16163 * subr.el (sit-for): Call (input-pending-p t) so as to behave
16166 2013-10-18 Reuben Thomas <rrt@sc3d.org>
16168 * textmodes/remember.el (remember): Set buffer-offer-save in
16169 remember buffers (bug#13566).
16171 2013-10-18 Daniel Colascione <dancol@dancol.org>
16173 When evaluating forms in ielm, direct standard output to ielm
16174 buffer. Add new ielm-return-for-effect command. Remove trailing
16175 whitespace throughout.
16177 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
16178 (ielm-return-for-effect): New command.
16179 (ielm-send-input): Accept optional `for-effect' parameter.
16180 (ielm-eval-input): Accept optional `for-effect' parameter.
16181 Bind `standard-output' to stream we create using
16182 `ielm-standard-output-impl'. Suppress printing result when
16184 (ielm-standard-output-impl): New function.
16185 (inferior-emacs-lisp-mode): Explain new features in documentation.
16187 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
16191 * net/tramp.el (tramp-debug-message): Do not check for connection
16193 (tramp-message): Use "vector" connection property.
16195 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
16196 (tramp-equal-remote, tramp-eshell-directory-change)
16197 * net/tramp-adb.el (tramp-adb-handle-copy-file)
16198 (tramp-adb-handle-rename-file)
16199 * net/tramp-cmds.el (tramp-list-remote-buffers)
16200 (tramp-cleanup-connection, tramp-cleanup-this-connection)
16201 * net/tramp-compat.el (tramp-compat-process-running-p)
16202 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
16203 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
16204 (tramp-gvfs-handle-rename-file)
16205 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
16206 (tramp-set-file-uid-gid)
16207 * net/tramp-smb.el (tramp-smb-handle-copy-file)
16208 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
16209 of `file-remote-p'.
16211 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
16212 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
16213 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
16214 (tramp-gw-open-network-stream): Suppress unrelated traces.
16216 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
16217 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
16218 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
16219 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
16220 connection property.
16222 * net/tramp-cache.el (top): Suppress traces when reading
16225 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
16226 Refactor common code. Improve debug message.
16227 (tramp-maybe-open-connection)
16228 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
16229 connection buffer too early.
16231 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
16232 from `tramp-smb-actions-with-acl'.
16233 (tramp-smb-actions-set-acl): New defconst.
16234 (tramp-smb-handle-copy-directory)
16235 (tramp-smb-action-get-acl): New defun, renamed from
16236 `tramp-smb-action-with-acl'.
16237 (tramp-smb-action-set-acl): New defun.
16238 (tramp-smb-handle-set-file-acl): Rewrite.
16240 2013-10-17 Glenn Morris <rgm@gnu.org>
16242 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
16244 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
16246 * skeleton.el (skeleton-newline): Remove.
16247 (skeleton-internal-1): Use (insert "\n") instead.
16249 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
16252 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
16253 forward-sexp-function while we redo its job (bug#15613).
16255 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
16257 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
16258 represented by lists.
16260 2013-10-16 Glenn Morris <rgm@gnu.org>
16262 * tmm.el (tmm--history): New dynamic variable.
16263 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
16265 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
16267 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
16268 (tramp-smb-errors): Add error messages.
16269 (tramp-smb-actions-with-acl): New defconst.
16270 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
16271 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
16272 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
16273 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
16274 (tramp-smb-get-stat-capability): Fix tests.
16276 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
16278 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
16281 2013-10-16 Glenn Morris <rgm@gnu.org>
16283 * ansi-color.el (ansi-color-drop-regexp):
16284 Add 1J, 1K, 2K. (Bug#15617)
16286 * files.el (hack-local-variables--warned-lexical): New.
16287 (hack-local-variables):
16288 Warn about misplaced lexical-binding. (Bug#15616)
16290 * net/eww.el (eww-render): Always set eww-current-url,
16291 and update header line. (Bug#15622)
16292 (eww-display-html): ... Rather than just doing it here.
16294 2013-10-15 Eli Zaretskii <eliz@gnu.org>
16296 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
16297 menu navigations commands.
16299 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
16301 * progmodes/subword.el (subword-capitalize): Be careful when
16302 the search for [[:alpha:]] fails (bug#15580).
16304 2013-10-14 Eli Zaretskii <eliz@gnu.org>
16306 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
16307 to commands that scroll the menu.
16309 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
16311 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
16312 Handle methods ending with `?' and `!'.
16314 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
16316 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
16317 `japanese-cp932' to `cp932' to fix the problem where saving a
16318 source file written in Shift_JIS twice would end up having
16319 `coding: japanese-cp932' which Ruby could not recognize.
16320 (ruby-mode-set-encoding): Add support for encodings mapped to nil
16321 in `ruby-encoding-map'.
16322 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
16323 doesn't need to be explicitly declared in magic comment.
16324 (ruby-encoding-map): Add type declaration for better customize UI.
16326 2013-10-13 Glenn Morris <rgm@gnu.org>
16328 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
16329 Occur buffers are read-only. http://bugs.debian.org/720775
16331 * emacs-lisp/authors.el (authors-fixed-entries):
16332 Comment out old alpha stuff.
16334 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
16336 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
16337 to `after-save-hook' instead of `before-save-hook'.
16338 (ruby-mode-set-encoding): Use the value of coding system used to
16339 write the file. Call `basic-save-buffer-1' after modifying the
16342 2013-10-13 Alan Mackenzie <acm@muc.de>
16344 Fix indentation/fontification of Java enum with
16345 "implements"/generic.
16347 * progmodes/cc-engine.el (c-backward-over-enum-header):
16348 Extracted from the three other places and enhanced to handle generics.
16349 (c-inside-bracelist-p): Uses new function above.
16350 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
16352 (c-font-lock-enum-tail): Uses new function above.
16354 2013-10-13 Kenichi Handa <handa@gnu.org>
16356 * international/mule-cmds.el (select-safe-coding-system): Remove a
16357 superfluous condition in chekcing whether a coding system is safe
16360 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
16362 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
16364 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
16366 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
16368 2013-10-13 Glenn Morris <rgm@gnu.org>
16370 * menu-bar.el (menu-bar-update-buffers):
16371 Unify Buffers menu prompt string. (Bug#15576)
16373 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
16375 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
16377 (authors-fixed-entries): Use accented form of name.
16379 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
16381 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
16382 method calls (bug#15594).
16383 (ruby-smie--args-separator-p): New function.
16384 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
16385 recognize paren-free method calls.
16387 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
16388 internals of universal-argument.
16390 2013-10-11 Eli Zaretskii <eliz@gnu.org>
16392 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
16393 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
16394 dropped menu on second mouse click on the menu bar.
16396 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
16398 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
16399 (explicit-shell-file-name): Declare.
16400 (sh--vars-before-point, sh--cmd-completion-table): New functions.
16401 (sh-completion-at-point-function): New function.
16403 (sh-smie--keyword-p): Remove unused argument.
16404 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
16406 (sh-set-shell): Always setup SMIE, even if we use the
16407 old indentation code.
16409 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
16411 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
16413 (ruby-smie-rules): Simplify the "do" rule. The cases when the
16414 predicate would return nil are almost non-existent.
16415 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
16417 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
16418 cache also after commands that modify the buffer but don't move
16421 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
16423 * env.el (substitute-env-in-file-name): New function.
16424 (substitute-env-vars): Extend the meaning of the optional arg.
16426 2013-10-10 Eli Zaretskii <eliz@gnu.org>
16428 * term/w32-win.el (dynamic-library-alist): Define separate lists
16429 of GIF DLLs for versions before and after 5.0.0 of giflib.
16432 2013-10-10 João Távora <joaotavora@gmail.com>
16434 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
16435 not locked, use last revision and current source as
16436 defaults. (Bug#15569)
16438 2013-10-10 Masatake YAMATO <yamato@redhat.com>
16440 * menu-bar.el (menu-bar-open): Don't use popup-menu if
16441 menu-bar is hidden.
16443 2013-10-10 Martin Rudalics <rudalics@gmx.at>
16445 * window.el (pop-to-buffer-same-window): Fix doc-string.
16448 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
16450 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
16452 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
16454 * calendar/icalendar.el (icalendar-import-file):
16455 Fix interactive spec. (Bug#15482)
16457 2013-10-10 Glenn Morris <rgm@gnu.org>
16459 * desktop.el (desktop-save): Default to saving in .emacs.d,
16460 since PWD is no longer in desktop-path by default. (Bug#15319)
16462 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
16463 now that text mode has a menu with the same entry.
16464 (menu-bar-text-mode-auto-fill): Remove now unused func.
16465 * textmodes/text-mode.el (text-mode-map):
16466 Use auto-fill help text from menu-bar.el.
16468 2013-10-10 John Anthony <john@jo.hnanthony.com>
16470 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
16472 2013-10-09 Juri Linkov <juri@jurta.org>
16474 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
16475 instead of this-command-keys. Add universal-argument-more and
16476 universal-argument-minus to the list of prefix commands. (Bug#15568)
16478 2013-10-09 Glenn Morris <rgm@gnu.org>
16480 * vc/vc-svn.el (vc-svn-create-repo):
16481 Expand paths in file://... url. (Bug#15446)
16483 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
16485 (authors): Remove unused local variables.
16487 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
16489 * profiler.el: Create a more coherent calltree from partial backtraces.
16490 (profiler-format): Hide the tail with `invisible' so that C-s can still
16491 find the hidden elements.
16492 (profiler-calltree-depth): Don't recurse so enthusiastically.
16493 (profiler-function-equal): New hash-table-test.
16494 (profiler-calltree-build-unified): New function.
16495 (profiler-calltree-build): Use it.
16496 (profiler-report-make-name-part): Indent the calltree less.
16497 (profiler-report-mode): Add visibility specs for profiler-format.
16498 (profiler-report-expand-entry, profiler-report-toggle-entry):
16499 Expand the whole subtree when provided with a prefix arg.
16501 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
16503 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
16505 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
16506 hanging iuwu-mod token.
16507 (ruby-smie--forward-token): Do not include a dot after a token in
16509 (ruby-smie--backward-token): Likewise.
16511 2013-10-08 Juri Linkov <juri@jurta.org>
16513 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
16514 to isearch-other-control-char.
16515 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
16516 and isearch-post-command-hook to post-command-hook.
16517 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
16518 and isearch-post-command-hook from post-command-hook.
16519 (isearch-unread-key-sequence)
16520 (isearch-reread-key-sequence-naturally)
16521 (isearch-lookup-scroll-key, isearch-other-control-char)
16522 (isearch-other-meta-char): Remove functions.
16523 (isearch-pre-command-hook, isearch-post-command-hook):
16524 New functions based on isearch-other-meta-char rewritten
16525 relying on the new behavior of overriding-terminal-local-map
16526 that does not replace the local keymaps any more. (Bug#15200)
16528 2013-10-08 Eli Zaretskii <eliz@gnu.org>
16530 Support menus on text-mode terminals.
16531 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
16534 * tooltip.el (tooltip-mode): Don't error out on TTYs.
16536 * menu-bar.el (popup-menu, popup-menu-normalize-position):
16537 Move here from mouse.el.
16538 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
16540 (tty-menu-navigation-map): New map for TTY menu navigation.
16542 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
16544 * frame.el (display-mouse-p): Report text-mode mouse as available
16546 (display-popup-menus-p): Report availability if mouse is
16547 available; don't condition on window-system.
16549 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
16550 (tty-menu-selected-face): New faces.
16552 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
16554 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
16555 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
16556 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
16557 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
16559 (lisp-mode-variables): New `elisp' argument.
16560 (emacs-lisp-mode): Use it.
16561 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
16562 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
16564 * indent.el: Use lexical-binding.
16565 (indent-region): Add progress reporter.
16566 (tab-stop-list): Make it implicitly extend to infinity by repeating the
16568 (indent--next-tab-stop): New function to implement this behavior.
16569 (tab-to-tab-stop, move-to-tab-stop): Use it.
16571 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
16573 * indent.el (indent-rigidly--current-indentation): New function.
16574 (indent-rigidly-map): New var.
16575 (indent-rigidly): Use it to provide interactive mode (bug#8196).
16577 2013-10-08 Bastien Guerry <bzg@gnu.org>
16579 * register.el (insert-register): Fix 2013-10-07 change.
16581 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
16583 * progmodes/perl-mode.el: Use lexical-binding.
16584 Remove redundant :group args.
16585 (perl-nochange): Change default to be closer to other major modes's
16587 (perl-indent-line): Don't consider text on current line as a
16588 valid beginning of function from which to indent.
16590 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
16591 with more than one argument (bug#15538).
16593 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
16595 * vc/pcvs.el: Use lexical-binding.
16596 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
16597 environment of `eval'.
16598 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
16599 than a list of expressions. Adjust callers.
16600 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
16602 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
16604 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
16605 case of the dot in a chained method call being on the following line.
16607 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
16609 * electric.el (electric-indent-inhibit): New var.
16610 (electric-indent-post-self-insert-function): Use it.
16611 * progmodes/python.el (python-mode): Set it.
16613 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
16616 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
16618 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
16619 (css-mode): Use electric-indent-chars.
16621 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
16622 (font-lock-beg, font-lock-end): Move before first use.
16623 (nxml-mode): Use syntax-propertize-function.
16624 (nxml-after-change, nxml-after-change1): Adjust accordingly.
16625 (nxml-extend-after-change-region): Remove.
16626 * nxml/xmltok.el: Use lexical-binding.
16627 (xmltok-save): Use `declare'.
16628 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
16629 * nxml/nxml-util.el: Use lexical-binding.
16630 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
16632 * nxml/nxml-ns.el: Use lexical-binding.
16633 (nxml-ns-save): Use `declare'.
16634 (nxml-ns-prefixes-for): Avoid add-to-list.
16635 * nxml/rng-match.el: Use lexical-binding.
16636 (rng--ipattern): Use cl-defstruct.
16637 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
16638 (rng-cons-group-after, rng-subst-group-after)
16639 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
16640 Use closures instead of `(lambda...).
16642 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
16644 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
16647 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
16648 Use `tramp-handle-insert-file-contents'.
16649 (tramp-gvfs-handle-insert-file-contents): Remove function.
16651 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
16652 Use `save-restriction' in order to keep markers.
16654 * net/trampver.el: Update release number.
16656 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
16658 * progmodes/compile.el (compilation-parse-errors):
16659 Use compilation--put-prop.
16660 (compilation--ensure-parse): Check compilation-multiline.
16662 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
16664 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
16667 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
16669 * progmodes/ruby-mode.el: Fix recently added tests.
16670 (ruby-smie-grammar): Add - and +.
16671 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
16672 (ruby-smie--backward-id): New functions.
16673 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
16674 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
16677 2013-10-07 Leo Liu <sdl.web@gmail.com>
16679 * register.el (register-preview-delay)
16680 (register-preview-functions): New variables.
16681 (register-read-with-preview, register-preview)
16682 (register-describe-oneline): New functions.
16683 (point-to-register, window-configuration-to-register)
16684 (frame-configuration-to-register, jump-to-register)
16685 (number-to-register, view-register, insert-register)
16686 (copy-to-register, append-to-register, prepend-to-register)
16687 (copy-rectangle-to-register): Use register-read-with-preview to
16688 read register. (Bug#15525)
16690 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
16692 * net/network-stream.el (network-stream-open-starttls): Don't add
16693 --insecure if it's already present, because that gnutls-cli
16694 rejects getting that parameter twice.
16696 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
16698 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
16701 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
16703 * newcomment.el (comment-use-global-state): Change default value
16704 to t, mark obsolete (Bug#15251).
16705 (comment-beginning): In addition to `comment-to-syntax', check the
16706 value of `comment-use-global-state'.
16708 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
16710 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
16711 (ruby-comment-column): Follow the global default, by default.
16712 (ruby-smie-grammar): Add assignment syntax.
16713 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
16714 open-paren, a comma, or a \.
16715 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
16716 and line continuations.
16717 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
16718 followed by implicit semi-colons. Add rule for string concatenation
16719 and for indentation at BOB.
16720 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
16722 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
16723 calling next-sexp, since next-token may have skipped chars which
16724 next-sexp doesn't know should be skipped!
16726 2013-10-05 Leo Liu <sdl.web@gmail.com>
16728 * progmodes/octave.el (octave-send-region):
16729 Call compilation-forget-errors.
16731 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
16733 * vc/vc-svn.el (vc-svn-find-admin-dir):
16734 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
16735 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
16736 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
16737 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
16739 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
16741 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
16743 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
16745 * subr.el (read-passwd): Hide chars even when called within a context
16746 where after-change-functions is disabled (bug#15501).
16747 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
16748 until we removed ourself from overriding-terminal-local-map.
16750 2013-10-04 Leo Liu <sdl.web@gmail.com>
16752 * progmodes/octave.el (inferior-octave-mode):
16753 Call compilation-forget-errors.
16755 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
16757 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
16759 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
16761 * net/secrets.el (secrets-create-collection): Add optional
16762 argument ALIAS. Use proper Label keyword. Append ALIAS as
16763 dbus-call-method argument. (Bug#15516)
16765 2013-10-04 Leo Liu <sdl.web@gmail.com>
16767 * progmodes/octave.el (inferior-octave-error-regexp-alist)
16768 (inferior-octave-compilation-font-lock-keywords): New variables.
16769 (compilation-error-regexp-alist)
16770 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
16771 (inferior-octave-mode): Use compilation-shell-minor-mode.
16773 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
16775 * minibuffer.el (completion--replace): Be careful that `end' might be
16778 2013-10-03 Daiki Ueno <ueno@gnu.org>
16780 Add support for package signature checking.
16781 * emacs-lisp/package.el (url-http-file-exists-p)
16782 (epg-make-context, epg-context-set-home-directory)
16783 (epg-verify-string, epg-context-result-for)
16784 (epg-signature-status, epg-signature-to-string)
16785 (epg-check-configuration, epg-configuration)
16786 (epg-import-keys-from-file): Declare.
16787 (package-check-signature): New user option.
16788 (package-unsigned-archives): New user option.
16789 (package-desc): Add `signed' field.
16790 (package-load-descriptor): Set `signed' field if .signed file exists.
16791 (package--archive-file-exists-p): New function.
16792 (package--check-signature): New function.
16793 (package-install-from-archive): Check package signature.
16794 (package--download-one-archive): Check archive signature.
16795 (package-delete): Remove .signed file.
16796 (package-import-keyring): New command.
16797 (package-refresh-contents): Import default keyring.
16798 (package-desc-status): Add "unsigned" status.
16799 (describe-package-1, package-menu--print-info)
16800 (package-menu-mark-delete, package-menu--find-upgrades)
16801 (package-menu--status-predicate): Support "unsigned" status.
16803 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
16805 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
16806 the new compilation scheme using the new byte-codes.
16808 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
16809 (byte-pophandler): New byte codes.
16810 (byte-goto-ops): Adjust accordingly.
16811 (byte-compile--use-old-handlers): New var.
16812 (byte-compile-catch): Use new byte codes depending on
16813 byte-compile--use-old-handlers.
16814 (byte-compile-condition-case--old): Rename from
16815 byte-compile-condition-case.
16816 (byte-compile-condition-case--new): New function.
16817 (byte-compile-condition-case): New function that dispatches depending
16818 on byte-compile--use-old-handlers.
16819 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
16822 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
16823 Optimize under `condition-case' and `catch' if
16824 byte-compile--use-old-handlers is nil.
16825 (disassemble-offset): Handle new bytecodes.
16827 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
16829 * subr.el (error): Use `declare'.
16830 (decode-char, encode-char): Use advertised-calling-convention instead
16831 of the docstring to discourage use of the `restriction' arg.
16833 2013-10-03 Daiki Ueno <ueno@gnu.org>
16835 * epg.el (epg-verify-file): Add a comment saying that it does not
16836 notify verification error as a return value nor a signal.
16837 (epg-verify-string): Ditto.
16839 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
16841 * progmodes/compile.el (compilation-start): Try globbing the arg to
16844 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
16846 Sync with Tramp 2.2.8.
16848 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
16849 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
16850 * net/trampver.el: Update release number.
16852 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
16854 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
16855 and default-process-coding-system for darwin only.
16857 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
16859 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
16861 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
16863 * vc/vc-git.el (vc-git-grep): Disable pager.
16865 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
16867 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
16868 Use :url instead of :homepage, as per
16869 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
16871 * newcomment.el (comment-beginning): When `comment-use-syntax' is
16872 non-nil, use `syntax-ppss' (Bug#15251).
16874 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16876 * progmodes/octave.el (inferior-octave-startup-file):
16877 Prefer ~/.emacs.d/init_octave.m.
16879 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
16881 * emacs-lisp/package.el (package-desc-from-define):
16882 Accept additional arguments as plist, convert them to an alist and store
16883 them in the `extras' slot.
16884 (package-generate-description-file): Convert extras alist back to
16885 plist and append to the `define-package' form arguments.
16886 (package--alist-to-plist): New function.
16887 (package--ac-desc): Add `extras' slot.
16888 (package--add-to-archive-contents): Check if the archive-contents
16889 vector is long enough, and if it is, pass its `extras' slot value
16890 to `package-desc-create'.
16891 (package-buffer-info): Call `lm-homepage', pass the returned value
16892 to `package-desc-from-define'.
16893 (describe-package-1): Render the homepage button (Bug#13291).
16895 * emacs-lisp/package-x.el (package-upload-buffer-internal):
16896 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
16898 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
16900 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
16901 and default-process-coding-system to utf-8-unix (Bug#15402).
16903 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
16905 * subr.el (looking-back): Do not recommend using looking-back.
16907 2013-09-28 Alan Mackenzie <acm@muc.de>
16909 Fix indentation/fontification of Java enum with "implements".
16911 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
16912 regexp which matches "implements", etc., in Java.
16913 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
16914 specifier clauses coming after "enum".
16915 * progmodes/cc-fonts.el (c-font-lock-declarations)
16916 (c-font-lock-enum-tail): Check for extra specifier clauses coming
16919 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
16921 * faces.el (region): Change ns_selection_color to
16922 ns_selection_fg_color, add ns_selection_bg_color.
16924 2013-09-28 Leo Liu <sdl.web@gmail.com>
16926 * progmodes/octave.el (inferior-octave-completion-table)
16927 (inferior-octave-completion-at-point): Minor tweaks.
16929 * textmodes/ispell.el (ispell-lookup-words): Rename from
16930 lookup-words. (Bug#15460)
16931 (lookup-words): Obsolete.
16932 (ispell-complete-word, ispell-command-loop): All uses changed.
16934 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16936 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
16937 (octave-mode-menu): Add octave-send-buffer.
16938 (octave-send-buffer): New function.
16940 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16942 * progmodes/octave.el (octave-mode-map): Add key binding for
16944 (octave-mode-menu): Add octave-lookfor.
16945 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
16947 (octave-lookfor): New function.
16949 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
16951 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
16952 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
16954 (cl--loop-set-iterator-function): New function.
16955 (cl-loop): Adjust accordingly, so as not to use cl-subst.
16956 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
16957 Bind `it' with `let' instead of substituting it with `cl-subst'.
16958 (cl--unused-var-p): New function.
16959 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
16960 Eliminate some unused variable warnings (bug#15326).
16962 2013-09-27 Tassilo Horn <tsdh@gnu.org>
16964 * doc-view.el (doc-view-scale-reset): Rename from
16965 `doc-view-reset-zoom-level'.
16966 (doc-view-scale-adjust): New command.
16967 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
16968 `doc-view-scale-adjust'.
16970 2013-09-26 Tassilo Horn <tsdh@gnu.org>
16972 * doc-view.el (doc-view-reset-zoom-level): New command.
16973 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
16974 zoom commands (bug#15466).
16976 2013-09-26 Kenichi Handa <handa@gnu.org>
16978 * international/quail.el (quail-help): Make it not a command.
16980 2013-09-26 Leo Liu <sdl.web@gmail.com>
16982 * minibuffer.el (completion-all-sorted-completions): Make args
16983 optional as they are.
16985 2013-09-25 Daniel Colascione <dancol@dancol.org>
16987 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
16988 specs are and that they're not evaluated.
16990 2013-09-24 Sam Steingold <sds@gnu.org>
16992 * midnight.el (clean-buffer-list-kill-regexps)
16993 (clean-buffer-list-kill-buffer-names): Update for the new Man
16994 buffer naming which includes the object name.
16996 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
16998 * eshell/esh-cmd.el (eshell--sep-terms): New var.
16999 (eshell-parse-command, eshell-parse-pipeline): Use it since
17000 eshell-separate-commands requires a dynamic scoped var.
17001 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
17003 2013-09-23 Leo Liu <sdl.web@gmail.com>
17005 * autoinsert.el (auto-insert-alist): Make the value of
17006 lexical-binding match its file setting.
17008 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
17010 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
17012 * autoarg.el (autoarg-kp-digit-argument):
17013 * electric.el (Electric-command-loop):
17014 * kmacro.el (kmacro-step-edit-insert):
17015 Do not set universal-argument-num-events.
17017 2013-09-22 Leo Liu <sdl.web@gmail.com>
17019 * files.el (interpreter-mode-alist): Add octave.
17021 2013-09-21 Alan Mackenzie <acm@muc.de>
17023 C++: fontify identifier in declaration following "public:" correctly.
17024 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
17025 to match "public", etc.
17026 (c-decl-prefix-re): Add ":" into the C++ value.
17027 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
17028 bit. Add a check for a ":" preceded by "public", etc.
17030 2013-09-21 Eli Zaretskii <eliz@gnu.org>
17032 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
17033 recognized by GDB 7.5 and later.
17035 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
17037 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
17039 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
17041 * subr.el (internal--call-interactively): New const.
17042 (called-interactively-p): Use it (bug#3984).
17044 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
17046 * vc/pcvs.el (cvs-mode-ignore):
17047 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
17048 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
17050 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
17052 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
17053 (eshell-ls-orig-insert-directory): Remove.
17054 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
17055 (eshell-ls-use-in-dired): Use advice-add/remove.
17056 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
17057 Add `orig-fun' arg for use in :around advice.
17058 Make it check (redundantly) eshell-ls-use-in-dired.
17060 2013-09-19 Glenn Morris <rgm@gnu.org>
17062 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
17064 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
17066 * emacs-lisp/eieio.el (class-parent): Undo previous change.
17068 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
17070 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
17071 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
17072 (tramp-get-remote-python): New defuns.
17073 (tramp-get-remote-uid-with-perl)
17074 (tramp-get-remote-gid-with-perl): New defuns. Perl code
17075 contributed by yary <not.com@gmail.com> (tiny change).
17076 (tramp-get-remote-uid-with-python)
17077 (tramp-get-remote-gid-with-python): New defuns. Python code
17078 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
17079 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
17081 2013-09-19 Glenn Morris <rgm@gnu.org>
17083 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
17085 * eshell/em-unix.el (eshell-remove-entries):
17086 Rename argument to avoid name-clash with global `top-level'.
17088 * eshell/esh-proc.el (eshell-kill-process-function):
17089 Remove eshell-reset-after-proc from eshell-kill-hook if present.
17090 (eshell-reset-after-proc): Remove unused arg `proc'.
17092 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
17093 (directory-files-and-attributes): Mark unused arg.
17095 * eshell/em-unix.el (eshell-remove-entries):
17096 Remove unused arg `path'. Update callers.
17098 * eshell/em-hist.el (eshell-hist-parse-arguments):
17099 Remove unused arg `silent'. Update callers.
17101 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
17102 Fix (f)boundp mix-up.
17104 * eshell/em-smart.el (eshell-smart-scroll-window)
17105 (eshell-disable-after-change):
17106 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
17108 2013-09-18 Alan Mackenzie <acm@muc.de>
17110 Fix fontification of type when followed by "const".
17111 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
17112 "known" types from fontification.
17114 2013-09-18 Glenn Morris <rgm@gnu.org>
17116 * emacs-lisp/chart.el (x-display-color-cells): Declare.
17117 (chart-face-list): Drop Emacsen without display-color-p.
17119 * net/eww.el (libxml-parse-html-region): Declare.
17120 (eww-display-html): Explicit error if no libxml2 support.
17122 * doc-view.el (doc-view-mode): Silence --without-x compilation.
17124 * image.el (image-type-from-buffer, image-multi-frame-p):
17125 Remove --without-x warning/error.
17127 * mouse.el (mouse-yank-primary):
17128 * term.el (term-mouse-paste):
17129 Reorder to silence --without-x compilation.
17131 * mpc.el (doc-view-mode): Silence --without-x compilation.
17133 * mail/rmailmm.el (rmail-mime-set-bulk-data):
17134 Silence --without-x compilation.
17136 * progmodes/gud.el (gud-find-file, gud-mode):
17137 Silence --without-x compilation.
17138 (tooltip-mode): Declare.
17140 * wdired.el (dired-backup-overwrite): Remove declaration.
17141 (wdired-mode-map): Add doc string.
17143 * custom.el (x-get-resource): Declare.
17145 * eshell/em-glob.el (ange-cache):
17146 * eshell/em-unix.el (ange-cache): Declare.
17148 * faces.el (x-display-list, x-open-connection, x-get-resource):
17151 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
17152 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
17155 * frame.el (x-display-grayscale-p, x-display-name): Declare.
17157 * net/gnutls.el (gnutls-log-level): Declare.
17159 * net/shr.el (image-size, image-animate): Declare.
17161 * simple.el (font-info): Declare.
17163 * subr.el (x-popup-dialog): Declare.
17165 * term/common-win.el (x-select-enable-primary)
17166 (x-last-selected-text-primary, x-last-selected-text-clipboard):
17169 * term/ns-win.el (x-handle-args): Declare.
17171 * term/x-win.el (x-select-enable-clipboard): Declare.
17173 * term/w32-win.el (create-default-fontset): Declare.
17175 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
17178 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
17179 (fit-frame-to-buffer): Explicit error if --without-x.
17180 (mouse-autoselect-window-select): Silence compiler.
17182 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
17184 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
17185 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
17186 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
17187 * eshell/esh-util.el (eshell-sublist):
17188 Remove unused local variables.
17190 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
17192 * textmodes/two-column.el: Make 2C-split work for --without-x.
17193 (scroll-bar-columns): Autoload.
17194 (top-level): Require fringe when compiling.
17196 2013-09-18 Leo Liu <sdl.web@gmail.com>
17198 * subr.el (add-hook): Robustify to handle closure as well.
17200 2013-09-17 Glenn Morris <rgm@gnu.org>
17202 * simple.el (messages-buffer-mode-map): Unbind "g".
17204 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
17206 * help-mode.el (help-mode-finish): Use derived-mode-p.
17207 Remove obsolete highlighting.
17209 * play/life.el (life-mode): Use define-derived-mode. Derive from
17211 (life): Let-bind inhibit-read-only.
17212 (life-setup): Avoid `setq'. Use `life-mode'.
17214 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
17215 which should not be needed any more.
17216 (package-menu-refresh, package-menu-describe-package): Use user-error.
17218 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
17219 (eshell-post-rewrite-command-hook): Make obsolete.
17220 (eshell-parse-command): Simplify.
17221 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
17222 (eshell--cmd): Declare.
17223 (eshell-parse-pipeline): Remove unused var `final-p'.
17224 Pass a dynvar to eshell-post-rewrite-command-hook.
17225 Implement the new eshell-post-rewrite-command-function.
17226 (eshell-invoke-directly): Remove unused arg `input'.
17227 * eshell/esh-io.el (eshell-io-initialize):
17228 Use eshell-post-rewrite-command-function (bug#15399).
17229 (eshell--apply-redirections): Rename from eshell-apply-redirections;
17230 adjust to new calling convention.
17231 (eshell-create-handles): Rename args to avoid clashing with dynvar
17234 2013-09-17 Glenn Morris <rgm@gnu.org>
17236 * simple.el (messages-buffer-mode): New major mode.
17237 (messages-buffer): New function.
17238 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
17239 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
17240 (ert-run-test): Use `messages-buffer' function.
17241 (ert--force-message-log-buffer-truncation): Ignore read-only.
17242 * help.el (view-echo-area-messages): Use `messages-buffer' function.
17243 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
17245 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
17247 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
17249 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
17251 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
17253 * icomplete.el (icomplete-in-buffer): New var.
17254 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
17255 vars and replace them with functions.
17256 (icomplete-minibuffer-setup): Adjust accordingly.
17257 (icomplete--completion-table, icomplete--completion-predicate)
17258 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
17260 (icomplete-forward-completions, icomplete-backward-completions)
17261 (icomplete-simple-completing-p, icomplete-exhibit)
17262 (icomplete-completions): Use them.
17263 (icomplete--in-region-buffer): New var.
17264 (icomplete--in-region-setup): New function.
17265 (icomplete-mode): Use it.
17267 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
17269 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
17270 return args and options.
17271 (eshell-eval-using-options): Use the new return value of
17272 eshell--do-opts to set the options's vars in their scope.
17273 (eshell--set-option): Rename from eshell-set-option.
17274 Add arg `opt-vals'.
17275 (eshell--process-option): Rename from eshell-process-option.
17276 Add arg `opt-vals'.
17277 (eshell--process-args): Use an `opt-vals' alist to store the options's
17278 values during their processing and return them additionally to the
17281 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
17283 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
17284 continuation character an operator, as far as indentation is
17285 concerned (Bug#15369).
17287 2013-09-15 Martin Rudalics <rudalics@gmx.at>
17289 * window.el (window--state-put-2): Don't process buffer state
17290 when buffer doesn't exist any more (Bug#15382).
17292 2013-09-15 Glenn Morris <rgm@gnu.org>
17294 * eshell/em-unix.el (eshell/rm):
17295 Make -f ignore missing files. (Bug#15373)
17297 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
17298 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
17299 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
17301 2013-09-14 Glenn Morris <rgm@gnu.org>
17303 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
17305 2013-09-13 Glenn Morris <rgm@gnu.org>
17307 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
17308 (dired-guess-default): Make `file' available in the env. (Bug#15363)
17310 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
17312 * frame.el (x-focus-frame): Mark as declared in frame.c.
17314 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
17316 * ls-lisp.el: Use advice-add.
17317 (original-insert-directory): Remove.
17318 (ls-lisp--insert-directory): Rename from insert-directory; add
17319 `orig-fun' argument.
17320 (insert-directory): Advise.
17322 2013-09-13 Eli Zaretskii <eliz@gnu.org>
17324 * term.el (term-emulate-terminal): Decode the command string
17325 before passing it to term-command-hook. (Bug#15337)
17327 2013-09-13 Glenn Morris <rgm@gnu.org>
17329 * eshell/esh-util.el (ange-cache): Move declaration earlier.
17331 * eshell/esh-ext.el (eshell-search-path): Declare.
17333 * eshell/em-prompt.el (eshell/pwd): Autoload it.
17334 Otherwise an error occurs if eshell-dirs module not loaded.
17336 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
17338 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
17340 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
17341 `tramp-check-proper-host'. Check for a valid method name.
17343 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
17344 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
17345 * net/tramp-sh.el (tramp-maybe-open-connection):
17346 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
17348 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
17349 also for hash values.
17351 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
17353 * term/ns-win.el (parameters): Don't declare as dynamic.
17354 (before-make-frame-hook): Don't add ineffective function.
17356 * eshell/*.el: Use lexical-binding (bug#15231).
17358 2013-09-12 Kenichi Handa <handa@gnu.org>
17360 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
17362 2013-09-12 Glenn Morris <rgm@gnu.org>
17364 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
17365 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
17367 * subr.el (do-after-load-evaluation): Also give compiler warnings
17368 when obsolete files are used (except by obsolete files).
17370 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
17371 in the status output, assume `filename' is the first. (Bug#15322)
17373 * vc/vc.el (vc-deduce-fileset): Doc fix.
17375 * calc/calc-help.el (Info-goto-node):
17376 * progmodes/cperl-mode.el (Info-find-node):
17377 * vc/ediff.el (Info-goto-node): Update declarations.
17379 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
17381 * vc/vc-bzr.el (vc-compilation-mode): Declare.
17382 (vc-bzr-pull): Require vc-dispatcher.
17383 * vc/vc-git.el (vc-compilation-mode): Declare.
17384 (vc-git-pull): Require vc-dispatcher.
17386 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
17388 * progmodes/octave.el (help-button-action): Declare.
17390 * shell.el (shell-directory-tracker): Output error as a message
17391 rather than just returning it as a string.
17392 (shell-process-pushd): Remove useless use of message.
17394 * dframe.el (dframe-timer-fn):
17395 * files.el (dir-locals-read-from-file):
17396 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
17398 * reveal.el (reveal-post-command):
17399 * saveplace.el (load-save-place-alist-from-file):
17400 * shell.el (shell-resync-dirs):
17401 * w32-common-fns.el (x-get-selection-value):
17402 * emacs-lisp/copyright.el (copyright-find-copyright):
17403 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
17404 * emulation/tpu-edt.el (tpu-copy-keyfile):
17405 * play/bubbles.el (bubbles--mark-neighbourhood):
17406 * progmodes/executable.el
17407 (executable-make-buffer-file-executable-if-script-p):
17408 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
17410 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
17412 Cleanup Eshell to rely less on dynamic scoping.
17413 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
17414 last-value, and ext-command here. Bind `args' closer to `body'.
17415 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
17416 (eshell--args): Declare new dynamic var.
17417 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
17418 last-value, and ext-command. Pass `args' to `body'.
17419 (eshell-process-args): Bind eshell--args.
17420 (eshell-set-option): Use eshell--args.
17421 * eshell/eshell.el (eshell): Use derived-mode-p.
17422 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
17423 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
17424 (eshell-glob-function): Declare.
17425 * eshell/esh-util.el: Require cl-lib.
17426 (eshell-read-hosts-file): Avoid add-to-list.
17427 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
17429 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
17431 (eshell/diff): Remove unused var `err'.
17432 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
17434 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
17435 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
17437 * eshell/em-glob.el (eshell-glob-matches, message-shown):
17438 Move declaration before first use.
17439 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
17440 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
17443 2013-09-12 Glenn Morris <rgm@gnu.org>
17445 * term/ns-win.el (global-map): Remove binding for ispell-next,
17446 deleted 1999-05-29. (Bug#15357)
17448 2013-09-11 Glenn Morris <rgm@gnu.org>
17450 * echistory.el (electric-command-history): Remove call to deleted func.
17452 * play/landmark.el (landmark-mode): Fix typos.
17454 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
17455 Check cvs-sort-ignore-file is bound.
17457 * savehist.el: No need for cl when compiling on Emacs.
17459 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
17461 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
17463 (eshell-self-insert-command, eshell-send-invisible):
17464 Remove unused argument.
17465 (eshell-handle-control-codes): Remove unused var `orig'.
17466 Avoid delete-backward-char.
17468 * files.el (set-auto-mode): Simplify a bit further.
17470 2013-09-11 Glenn Morris <rgm@gnu.org>
17472 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
17473 (set-auto-mode): Don't regexp-quote elements.
17474 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
17475 * progmodes/cc-mode.el (interpreter-mode-alist):
17476 * progmodes/ruby-mode.el (interpreter-mode-alist):
17477 Revert previous change.
17479 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
17481 * play/snake.el (snake-mode):
17482 * play/mpuz.el (mpuz-mode):
17483 * play/landmark.el (lm-mode):
17484 * play/blackbox.el (blackbox-mode):
17485 * play/5x5.el (5x5-mode):
17486 * obsolete/options.el (Edit-options-mode):
17487 * net/quickurl.el (quickurl-list-mode):
17488 * net/newst-treeview.el (newsticker-treeview-mode):
17489 * mail/rmailsum.el (rmail-summary-mode):
17490 * mail/mspools.el (mspools-mode):
17491 * locate.el (locate-mode):
17492 * ibuffer.el (ibuffer-mode):
17493 * emulation/ws-mode.el (wordstar-mode):
17494 * emacs-lisp/debug.el (debugger-mode):
17495 * array.el (array-mode):
17496 * net/eudc.el (eudc-mode): Use define-derived-mode.
17497 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
17498 Move initialization into declaration.
17499 (mairix-searches-mode): Use define-derived-mode.
17500 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
17501 (eudc-edit-hotlist): Use dolist.
17502 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
17503 (Man-mode): Use define-derived-mode.
17504 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
17505 (Info-edit-mode): Use define-derived-mode.
17506 (Info-cease-edit): Use Info-mode.
17507 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
17509 (eshell-mode): Use define-derived-mode.
17510 * chistory.el (command-history-mode-map): Rename from
17511 command-history-map.
17512 (command-history-mode): Use define-derived-mode.
17513 (Command-history-setup): Remove function.
17514 * calc/calc.el (calc-trail-mode-map): New var.
17515 (calc-trail-mode): Use define-derived-mode.
17516 (calc-trail-buffer): Set calc-main-buffer manually.
17517 * bookmark.el (bookmark-insert-annotation): New function.
17518 (bookmark-edit-annotation): Use it.
17519 (bookmark-edit-annotation-mode): Make it a proper major mode.
17520 (bookmark-send-edited-annotation): Use derived-mode-p.
17521 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
17522 closer to its ideal place. Use \' to match EOS.
17524 * profiler.el (profiler-calltree-find): Use function-equal.
17526 2013-09-10 Glenn Morris <rgm@gnu.org>
17528 * files.el (interpreter-mode-alist): Convert to regexps.
17529 (set-auto-mode): Adapt for this. (Bug#15306)
17530 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
17531 Comment out unused variable.
17532 * progmodes/cc-mode.el (interpreter-mode-alist):
17533 * progmodes/python.el (interpreter-mode-alist):
17534 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
17535 * progmodes/sh-script.el (sh-set-shell):
17536 No longer use interpreter-mode-alist to get list of shells.
17538 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
17540 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
17542 * simple.el: Use set-temporary-overlay-map for universal-argument.
17543 (universal-argument-map): Don't use default-bindings (bug#15317).
17544 Bind switch-frame explicitly. Replace universal-argument-minus with
17545 a conditional binding.
17546 (universal-argument-num-events, saved-overriding-map): Remove.
17547 (restore-overriding-map): Remove.
17548 (universal-argument--mode): Rename from save&set-overriding-map,
17550 (universal-argument, universal-argument-more, negative-argument)
17551 (digit-argument): Adjust accordingly.
17552 (universal-argument-minus): Remove.
17553 (universal-argument-other-key): Remove.
17555 * subr.el (with-demoted-errors): Add `format' argument.
17557 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
17559 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
17560 `tramp-cleanup-connection'.
17562 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
17563 parameters KEEP-DEBUG and KEEP-PASSWORD.
17565 * net/tramp.el (tramp-file-name-handler):
17566 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
17567 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
17568 (tramp-maybe-open-connection):
17569 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
17570 Use `tramp-cleanup-connection'.
17572 * net/tramp-sh.el (tramp-maybe-open-connection):
17573 Catch 'uname-changed inside the progress reporter.
17575 2013-09-10 Glenn Morris <rgm@gnu.org>
17577 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
17579 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
17580 returns "alternate access method" in mode (eg "-rw-r--r--.").
17582 2013-09-08 Glenn Morris <rgm@gnu.org>
17584 * saveplace.el (load-save-place-alist-from-file):
17585 Demote errors. (Bug#15305)
17587 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
17589 Improve compatibility with older Emacsen, and XEmacs.
17591 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
17592 only if it is bound. It isn't for XEmacs.
17593 (with-tramp-progress-reporter): Do not let-bind `result'.
17594 This yields to scoping errors in XEmacs.
17595 (tramp-handle-make-auto-save-file-name): New function, moved from
17598 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
17599 for `make-auto-save-file-name'.
17600 (tramp-adb--gnu-switches-to-ash):
17601 Use `tramp-compat-replace-regexp-in-string'.
17603 * net/tramp-cache.el (tramp-cache-print): Call
17604 `substring-no-properties' only if it is bound. It isn't for XEmacs.
17606 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
17607 bound. It isn't for XEmacs.
17609 * net/tramp-compat.el (tramp-compat-copy-file):
17610 Catch `wrong-number-of-arguments' error.
17611 (tramp-compat-replace-regexp-in-string): New defun.
17613 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
17614 for `make-auto-save-file-name'.
17615 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
17617 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
17618 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
17619 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
17621 * net/tramp-gw.el (tramp-gw-open-network-stream):
17622 Use `tramp-compat-replace-regexp-in-string'.
17624 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17625 Call `tramp-handle-make-auto-save-file-name'.
17626 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
17627 (tramp-sh-file-gvfs-monitor-dir-process-filter)
17628 (tramp-sh-file-inotifywait-process-filter):
17629 Use `tramp-compat-replace-regexp-in-string'.
17630 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
17632 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
17633 for `make-auto-save-file-name'.
17634 (tramp-smb-handle-copy-directory):
17635 Call `tramp-compat-replace-regexp-in-string'.
17636 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
17637 (tramp-smb-handle-copy-file): Improve error message.
17638 (tramp-smb-handle-rename-file): Rename directly only in case
17639 `newname' does not exist yet. This is a restriction of smbclient.
17640 (tramp-smb-maybe-open-connection): Rerun the function only when
17641 `auth-sources' is non-nil.
17643 2013-09-08 Kenichi Handa <handa@gnu.org>
17645 * international/characters.el: Set category "^" (Combining) for
17648 2013-09-07 Alan Mackenzie <acm@muc.de>
17650 Correctly fontify Java class constructors.
17651 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
17653 (c-recognize-typeless-decls): Set the Java value to t.
17654 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
17655 While handling a "(", add a check for, effectively, Java, and handle a
17656 "typeless" declaration there.
17658 2013-09-07 Roland Winkler <winkler@gnu.org>
17660 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
17661 field subtitle for entry type book.
17663 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17665 * minibuffer.el: Make minibuffer-complete call completion-in-region
17666 rather than other way around.
17667 (completion--some, completion-pcm--find-all-completions):
17668 Don't delay signals when debugging.
17669 (minibuffer-completion-contents): Beware fields within the
17670 minibuffer contents.
17671 (completion-all-sorted-completions): Use defvar-local.
17672 (completion--do-completion, completion--cache-all-sorted-completions)
17673 (completion-all-sorted-completions, minibuffer-force-complete):
17674 Add args `beg' and `end'.
17675 (completion--in-region-1): New fun, extracted from minibuffer-complete.
17676 (minibuffer-complete): Use completion-in-region.
17677 (completion-complete-and-exit): New fun, extracted from
17678 minibuffer-complete-and-exit.
17679 (minibuffer-complete-and-exit): Use it.
17680 (completion--complete-and-exit): Rename from
17681 minibuffer--complete-and-exit.
17682 (completion-in-region--single-word): New function, extracted from
17683 minibuffer-complete-word.
17684 (minibuffer-complete-word): Use it.
17685 (display-completion-list): Make `common-substring' argument obsolete.
17686 (completion--in-region): Call completion--in-region-1 instead of
17687 minibuffer-complete.
17688 (completion-help-at-point): Pass boundaries to
17689 minibuffer-completion-help as args rather than via an overlay.
17690 (completion-pcm--string->pattern): Use `any-delim'.
17691 (completion-pcm--optimize-pattern): New function.
17692 (completion-pcm--pattern->regex): Handle `any-delim'.
17693 * icomplete.el (icomplete-forward-completions)
17694 (icomplete-backward-completions, icomplete-completions):
17695 Adjust calls to completion-all-sorted-completions and
17696 completion--cache-all-sorted-completions.
17697 (icomplete-with-completion-tables): Default to t.
17698 * emacs-lisp/crm.el (crm--current-element): Rename from
17699 crm--select-current-element. Don't put an overlay but return the
17700 boundaries instead.
17701 (crm--completion-command): Take two new args to bind to the boundaries.
17702 (crm-completion-help): Adjust accordingly.
17703 (crm-complete): Use completion-in-region.
17704 (crm-complete-word): Use completion-in-region--single-word.
17705 (crm-complete-and-exit): Use completion-complete-and-exit.
17707 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17709 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
17712 2013-09-06 Juri Linkov <juri@jurta.org>
17714 * info.el (Info-display-images-node): When image file doesn't exist
17715 display text version of the image if it's provided in the Info file.
17716 Otherwise, display the location of missing image from SRC attribute.
17717 Add help-echo text property from ALT attribute. (Bug#15279)
17719 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17721 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
17722 (edit-abbrevs-mode): Use define-derived-mode.
17724 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
17725 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
17727 (epa-key-list-mode, epa-key-mode, epa-info-mode):
17728 Use define-derived-mode.
17730 * epg.el (epg-start-encrypt): Minor CSE simplification.
17732 2013-09-06 William Xu <william.xwl@gmail.com>
17734 * arc-mode.el: Add support for 7za (bug#15264).
17735 (archive-7z-program): New var.
17736 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
17737 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
17738 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
17740 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
17744 * net/tramp.el (tramp-syntax, tramp-prefix-format)
17745 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
17746 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
17747 (tramp-postfix-host-format, tramp-file-name-regexp)
17748 (tramp-completion-file-name-regexp)
17749 (tramp-completion-dissect-file-name)
17750 (tramp-handle-substitute-in-file-name): Remove 'url case.
17751 (tramp-file-name-regexp-url)
17752 (tramp-completion-file-name-regexp-url): Remove constants.
17754 2013-09-06 Glenn Morris <rgm@gnu.org>
17756 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
17758 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
17760 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
17761 keywords" below "here-doc beginnings" (Bug#15270).
17763 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
17765 * subr.el (pop): Use `car-safe'.
17766 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
17767 to detect unused `pop' return value.
17769 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
17770 var `block-regexp'.
17771 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
17772 (python-fill-string): Remove unused var `marker'.
17773 (python-skeleton-add-menu-items): Remove unused var `items'.
17775 * international/mule-cmds.el: Require CL.
17776 (find-coding-systems-for-charsets): Avoid add-to-list.
17777 (sanitize-coding-system-list): New function, extracted from
17778 select-safe-coding-system-interactively.
17779 (select-safe-coding-system-interactively): Use it.
17780 (read-input-method-name): Accept symbols for `default'.
17782 * emacs-lisp/advice.el (defadvice): Add indent rule.
17784 2013-09-05 Daniel Hackney <dan@haxney.org>
17788 * net/browse-url.el:
17791 * net/eudcb-ldap.el:
17795 * vc/ediff-diff.el:
17796 * vc/ediff-init.el:
17797 * vc/ediff-merg.el:
17798 * vc/ediff-mult.el:
17799 * vc/ediff-util.el:
17800 * vc/ediff-wind.el:
17804 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
17805 byte compiler. Remove some unused let-bound variables.
17807 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
17809 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
17810 a "ref-cell", since it gets better optimized (bug#14883).
17812 2013-09-05 Glenn Morris <rgm@gnu.org>
17814 * progmodes/cc-awk.el (c-forward-sws): Declare.
17816 2013-09-04 Glenn Morris <rgm@gnu.org>
17818 * generic-x.el [rul-generic-mode]: Require cc-mode.
17819 (c++-mode-syntax-table): Declare.
17820 (rul-generic-mode-syntax-table): Init in the defvar.
17822 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
17824 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
17825 (vc-do-command, vc-set-async-update):
17826 * vc/vc-mtn.el (vc-mtn-dir-status):
17827 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
17828 (vc-hg-pull, vc-hg-merge-branch):
17829 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
17830 (vc-git-merge-branch):
17831 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
17832 (vc-cvs-dir-status-files):
17833 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
17834 (vc-bzr-dir-status-files):
17835 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
17836 * vc/vc-annotate.el: Use lexical-binding.
17837 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
17838 (vc-sentinel-movepoint): Declare.
17839 (vc-annotate): Don't use `goto-line'.
17840 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
17841 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
17842 (vc-sentinel-movepoint): Declare.
17843 * vc/vc-svn.el: Use lexical-binding.
17844 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
17846 * vc/vc-rcs.el: Use lexical-binding.
17848 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
17849 `deleted'. Don't drop errors silently.
17851 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
17853 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
17855 * vc/vc.el (vc-ignore): Rewrite.
17856 (vc-default-ignore): New function.
17857 (vc-default-ignore-completion-table): Use find-ignore-file.
17859 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
17860 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
17861 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
17862 Remove. Most code moved to vc.el.
17864 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
17866 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
17867 * net/tramp-smb.el (tramp-smb-get-file-entries):
17868 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
17869 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
17871 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
17873 (eww-change-select): Remove unused var `properties'.
17874 (eww-make-unique-file-name): Remove unused var `base'.
17876 * finder.el (finder-compile-keywords): Don't mess with windows.
17878 * calculator.el (calculator-funcall): Fix typo in last change.
17880 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
17882 * emacs-lisp/package.el (package-activate-1): Don't let a missing
17883 <pkg>-autoloads.el file stop us.
17885 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
17886 warnings, and factor out common code.
17888 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
17890 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
17891 two-character operators and whether the character preceding them
17892 changes their meaning (Bug#15208).
17894 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
17896 Format code sent to Python shell for robustness.
17897 * progmodes/python.el (python-shell-buffer-substring):
17899 (python-shell-send-region, python-shell-send-buffer): Use it.
17901 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
17903 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
17904 * net/tramp.el (tramp-user-error): ... here.
17905 (tramp-find-method, tramp-check-proper-host)
17906 (tramp-dissect-file-name, tramp-debug-message)
17907 (tramp-handle-shell-command):
17908 * net/tramp-adb.el (tramp-adb-handle-shell-command):
17909 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
17911 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
17913 2013-09-02 Martin Rudalics <rudalics@gmx.at>
17915 * avoid.el (mouse-avoidance-point-position)
17916 (mouse-avoidance-too-close-p): Handle case where posn-at-point
17919 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
17921 * progmodes/python.el (python-shell-completion-get-completions):
17922 Drop use of deleted `comint-last-prompt-overlay'.
17923 (python-nav-if-name-main): New command.
17925 2013-09-01 Glenn Morris <rgm@gnu.org>
17927 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
17928 Avoid leading space in $wins. Otherwise the sed command used by
17929 eg compile-main ends up containing "/*.el". (Bug#15170)
17931 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
17933 2013-08-30 Glenn Morris <rgm@gnu.org>
17935 * emacs-lisp/bytecomp.el (byte-recompile-directory):
17936 Fix is-this-a-directory logic. (Bug#15220)
17938 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
17940 * textmodes/css-mode.el: Use SMIE.
17941 (css-smie-grammar): New var.
17942 (css-smie--forward-token, css-smie--backward-token)
17943 (css-smie-rules): New functions.
17944 (css-mode): Use them.
17945 (css-navigation-syntax-table): Remove var.
17946 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
17947 (css-indent-calculate, css-indent-line): Remove functions.
17949 Misc changes to reduce use of `(lambda...); and other cleanups.
17950 * cus-edit.el: Use lexical-binding.
17951 (customize-push-and-save, customize-apropos)
17952 (custom-buffer-create-internal): Use closures.
17953 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
17954 * progmodes/ada-xref.el: Use setq.
17955 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
17956 * dframe.el: Use lexical-binding.
17957 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
17958 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
17959 * descr-text.el: Use lexical-binding.
17960 (describe-text-widget, describe-text-sexp, describe-property-list):
17962 * comint.el (comint-history-isearch-push-state): Use a closure.
17963 * calculator.el: Use lexical-binding.
17964 (calculator-number-to-string): Make it work with lexical-binding.
17965 (calculator-funcall): Same and use cl-letf.
17967 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
17968 (lisp--company-doc-string, lisp--company-location): New functions.
17969 (lisp-completion-at-point): Use them to improve Company support.
17971 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
17972 params of lambda expressions.
17973 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
17974 (ruby-smie--opening-pipe-p): New function.
17975 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
17976 symbols and matched |...| for formal params.
17977 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
17978 from being treated as hanging. Handle "rescue".
17980 2013-08-29 Glenn Morris <rgm@gnu.org>
17982 * progmodes/cc-engine.el (c-pull-open-brace):
17983 Move definition before use.
17985 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
17987 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
17988 are immutable. Don't use `unsafe' any more.
17989 (cl--defsubst-expand): Don't substitute at the same time as keeping
17990 a residual unused let-binding. Don't use `unsafe' any more.
17992 2013-08-29 Glenn Morris <rgm@gnu.org>
17994 * calendar/cal-china.el (calendar-chinese-year-cache):
17997 * nxml/nxml-util.el (nxml-debug-clear-inside):
17998 Use cl-loop rather than loop.
18000 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
18002 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
18004 2013-08-28 Glenn Morris <rgm@gnu.org>
18006 * progmodes/antlr-mode.el: No need to require cc-mode twice.
18008 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
18010 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
18012 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18014 * simple.el (repeat-complex-command--called-interactively-skip):
18016 (repeat-complex-command): Use it (bug#14136).
18018 * progmodes/cc-mode.el: Minor cleanup of var declarations.
18019 (c-define-abbrev-table): Add `doc' argument.
18020 (c-mode-abbrev-table, c++-mode-abbrev-table)
18021 (objc-mode-abbrev-table, java-mode-abbrev-table)
18022 (idl-mode-abbrev-table, pike-mode-abbrev-table)
18023 (awk-mode-abbrev-table): Use it.
18024 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
18025 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
18026 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
18027 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
18028 Move initialization into the declaration; and remove any
18031 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
18032 and dynamic let binding.
18034 * vc/smerge-mode.el: Remove redundant :group args.
18036 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
18039 2013-08-28 Juri Linkov <juri@jurta.org>
18041 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
18042 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
18043 (isearch-other-meta-char): Handle an undefined shifted printing
18044 character by downshifting it. (Bug#15200)
18046 2013-08-28 Juri Linkov <juri@jurta.org>
18048 * isearch.el (isearch-search): Change regexp error message for
18049 non-regexp searches. (Bug#15166)
18051 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
18053 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
18054 for portability to hosts where /bin/sh has problems.
18056 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18058 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
18060 2013-08-27 Juri Linkov <juri@jurta.org>
18062 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
18063 in the keyboard macro. (Bug#15126)
18065 2013-08-27 Juri Linkov <juri@jurta.org>
18067 * isearch.el (isearch-quote-char): Comment out converting unibyte
18068 to multibyte, thus syncing with its `quoted-insert' counterpart.
18071 2013-08-27 Martin Rudalics <rudalics@gmx.at>
18073 * window.el (display-buffer-use-some-window): Add missing
18074 argument in call of get-largest-window (Bug#15185).
18075 Reported by Stephen Leake.
18077 2013-08-27 Glenn Morris <rgm@gnu.org>
18079 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
18081 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
18083 * progmodes/python.el (python-font-lock-keywords): Don't return nil
18084 from a matcher-function unless there's no more matches (bug#15161).
18086 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18088 * minibuffer.el: Revert change from 2013-08-20.
18090 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
18091 with text property `tramp-default', if appropriate.
18092 (tramp-check-proper-host): New defun.
18093 (tramp-dissect-file-name): Do not check hostname. Revert change
18095 (tramp-backtrace): Make VEC-OR-PROC optional.
18097 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18098 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18099 * net/tramp-sh.el (tramp-maybe-open-connection):
18100 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18101 Apply `tramp-check-proper-host'.
18103 2013-08-26 Tassilo Horn <tsdh@gnu.org>
18105 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
18106 lambda expression in order to have `describe-variable' display it.
18108 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18110 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
18111 BUF can be optional. (Bug#15186)
18113 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
18115 * progmodes/flymake.el (flymake-get-real-file-name-function):
18116 Fix broken customization. (Bug#15184)
18118 2013-08-25 Alan Mackenzie <acm@muc.de>
18120 Improve indentation of bracelists defined by macros (without "=").
18122 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
18123 expansion begins with "{", regard it as bracelist when it doesn't
18126 Parse C++ inher-intro when there's a template split over 2 lines.
18128 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
18129 rigorously the search for "class" etc. followed by ":".
18131 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
18132 random languages a regexp which never matches rather than nil.
18134 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
18136 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
18137 (c-awk-regexp-one-line-possibly-open-char-list-re)
18138 (c-awk-one-line-possibly-open-regexp-re)
18139 (c-awk-one-line-non-syn-ws*-re): Remove.
18140 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
18141 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
18142 (c-awk-space*-unclosed-regexp-/-re): New constants.
18143 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
18144 aren't regexp delimiters.
18146 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
18147 handling for a rare situation in AWK Mode involving unterminated
18150 2013-08-23 Glenn Morris <rgm@gnu.org>
18152 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
18154 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
18156 * files.el (create-file-buffer): If the result would begin with
18157 spaces, prepend a "|" instead of removing them. (Bug#15162)
18159 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
18161 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
18162 text-properties (bug#15155).
18164 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
18166 (calc-keypad-redraw): Remove unused var `pad'.
18167 (calc-keypad-press): Remove unused var `menu'.
18169 2013-08-23 Martin Rudalics <rudalics@gmx.at>
18171 * window.el (display-buffer-pop-up-frame):
18172 Call pop-up-frame-function with BUFFER current so `make-frame' will
18173 use it as the new frame's buffer (Bug#15133).
18175 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
18177 * calendar/timeclock.el: Minor cleanups.
18178 (timeclock-ask-before-exiting, timeclock-use-display-time):
18180 (timeclock-modeline-display): Define as alias before the
18182 (timeclock-mode-line-display): Use define-minor-mode.
18183 (timeclock-day-list-template): Make it a function, add an argument.
18184 (timeclock-day-list-required, timeclock-day-list-length)
18185 (timeclock-day-list-debt, timeclock-day-list-span)
18186 (timeclock-day-list-break): Adjust calls accordingly.
18188 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
18190 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
18191 Use read--expression so that completion works again.
18193 2013-08-21 Sam Steingold <sds@gnu.org>
18195 Add rudimentary inferior shell interaction
18196 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
18197 (sh-set-shell): Reset it.
18198 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
18199 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
18201 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
18203 * align.el: Use lexical-binding.
18204 (align-region): Simplify accordingly.
18206 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
18208 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
18210 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
18211 `non-essential' up.
18213 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
18216 * net/tramp-adb.el:
18217 * net/tramp-cmds.el:
18218 * net/tramp-ftp.el:
18219 * net/tramp-gvfs.el:
18221 * net/tramp-sh.el: Don't wrap external variable declarations by
18222 `eval-when-compile'.
18224 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
18226 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
18227 now that Emacs supports ImageMagick animations.
18229 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
18231 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
18232 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
18234 2013-08-16 Martin Rudalics <rudalics@gmx.at>
18236 * window.el (mouse-autoselect-window-select): Do autoselect when
18237 mouse pointer is on margin.
18239 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
18241 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
18243 2013-08-16 Glenn Morris <rgm@gnu.org>
18245 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
18246 Handle "Remote Directory" response of some clients. (Bug#15058)
18248 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
18249 Tweak warning. (Bug#14926)
18251 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
18252 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
18254 * image-mode.el (image-mode-map): Add menu items to reverse,
18255 increase, decrease, reset animation speed.
18256 (image--set-speed, image-increase-speed, image-decrease-speed)
18257 (image-reverse-speed, image-reset-speed): New functions.
18258 (image-mode-map): Add bindings for speed commands.
18260 * image.el (image-animate-get-speed, image-animate-set-speed):
18262 (image-animate-timeout): Respect image :speed property.
18264 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
18266 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
18267 previous line (bug#15101).
18268 (debugger-eval-expression, debugger-record-expression):
18269 Use read--expression (bug#15102).
18271 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
18273 Remove byte compiler warnings, visible when compiling with
18274 `byte-compile-force-lexical-warnings' set to t.
18276 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
18277 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
18278 (tramp-handle-unhandled-file-name-directory)
18279 (tramp-handle-file-notify-add-watch, tramp-action-login)
18280 (tramp-action-succeed, tramp-action-permission-denied)
18281 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
18282 arguments with "_".
18284 * net/tramp-adb.el (tramp-adb-parse-device-names)
18285 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
18286 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
18287 (tramp-adb-handle-file-truename): Remove unused arguments.
18289 * net/tramp-cache.el (tramp-flush-directory-property)
18290 (tramp-flush-connection-property, tramp-list-connections)
18291 (tramp-parse-connection-properties): Prefix unused arguments with "_".
18293 * net/tramp-compat.el (tramp-compat-make-temp-file):
18294 Rename FILENAME to F.
18296 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
18297 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
18298 (tramp-zeroconf-parse-workstation-device-names)
18299 (tramp-zeroconf-parse-webdav-device-names)
18300 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
18302 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
18303 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
18305 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
18307 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
18308 (tramp-sh-handle-insert-file-contents-literally)
18309 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
18311 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
18312 Remove unused variables.
18314 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
18315 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
18316 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
18318 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
18319 Make them a defconst.
18320 (tramp-uuencode-region): Remove unused variable.
18322 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
18324 * frameset.el (frameset--prop-setter): New function.
18325 (frameset-prop): Add gv-setter declaration.
18326 (frameset-filter-minibuffer): Deal with the case that the minibuffer
18327 parameter was already set in FILTERED. Doc fix.
18328 (frameset--record-minibuffer-relationships): Allow saving a
18329 minibufferless frame without its corresponding minibuffer frame.
18330 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
18331 frame, if the frame id matches.
18332 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
18333 frames before orphaned ones.
18334 (frameset-restore): Warn about orphaned windows, instead of error out.
18336 2013-08-14 Martin Rudalics <rudalics@gmx.at>
18338 * window.el (window-make-atom): Don't overwrite parameter
18340 (display-buffer-in-atom-window): Handle special case where we
18341 split an already atomic window.
18342 (window--major-non-side-window, display-buffer-in-side-window)
18343 (window--side-check): Ignore minibuffer window when walking
18345 (window-deletable-p): Return 'frame only if no other frame uses
18346 our minibuffer window.
18347 (record-window-buffer): Run buffer-list-update-hook.
18348 (split-window): Make sure window--check-frame won't destroy an
18349 existing atomic window in case the new window gets nested
18351 (display-buffer-at-bottom): Ignore minibuffer window when
18352 walking window tree. Don't split a side window.
18353 (pop-to-buffer): Don't set-buffer here, the select-window call
18355 (mouse-autoselect-window-select): Autoselect only if we are in the
18356 text portion of the window.
18358 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
18360 * net/shr.el (shr-parse-image-data): New function to grab both the
18361 data itself and the Content-Type.
18362 (shr-put-image): Use it.
18364 * net/eww.el (eww-display-image): Ditto.
18366 * image.el (image-content-type-suffixes): New variable.
18368 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
18370 * progmodes/python.el (python-imenu--build-tree)
18371 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
18373 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
18375 * simple.el (backward-word): Mention the optional argument.
18377 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
18379 * frameset.el (frameset--make): Rename constructor from make-frameset.
18380 (frameset-p, frameset-valid-p): Don't autoload.
18381 (frameset-valid-p): Use normal accessors.
18383 2013-08-13 Glenn Morris <rgm@gnu.org>
18385 * progmodes/compile.el (compile-command): Tweak example in doc.
18386 * obsolete/scribe.el (scribe-mode):
18387 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
18389 * mail/feedmail.el (feedmail-confirm-outgoing)
18390 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
18392 * cus-start.el (truncate-partial-width-windows): Fix type.
18394 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
18396 * net/shr.el (shr-table-horizontal-line): Fix custom type.
18398 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
18400 * emacs-lisp/timer.el (timer--time-setter): New function.
18401 (timer--time): Use it as gv-setter.
18403 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
18404 setter is not a symbol.
18406 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
18408 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
18409 if sending fails. This makes debugging easier.
18411 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
18413 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
18414 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
18415 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
18417 2013-08-12 Eli Zaretskii <eliz@gnu.org>
18419 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
18421 2013-08-12 Glenn Morris <rgm@gnu.org>
18423 * format.el (format-annotate-function):
18424 Handle read-only text properties in the source. (Bug#14887)
18426 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
18428 * net/eww.el (eww-display-html): Ignore coding system errors.
18429 One web site uses "utf-8lias" as the coding system.
18431 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
18433 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
18435 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
18437 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
18438 (tutorial--detailed-help): Remove unused local variables.
18439 (tutorial--save-tutorial-to): Use ignore-errors.
18440 (help-with-tutorial): Use looking-at-p.
18442 * view.el (view-buffer-other-window, view-buffer-other-frame):
18443 Mark unused arguments.
18445 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
18446 (woman-select-symbol-fonts, woman, woman-find-file)
18447 (woman-insert-file-contents, woman-non-underline-faces):
18448 Use string-match-p.
18449 (woman1-unquote): Move declaration.
18451 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
18452 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
18453 argument. Remove unused local variable.
18454 (xml-parse-elem-type): Use string-match-p.
18455 (xml-substitute-numeric-entities): Use ignore-errors.
18457 * calculator.el (calculator): Mark unused argument.
18458 (calculator-paste, calculator-quit, calculator-integer-p):
18460 (calculator-string-to-number, calculator-decimal, calculator-exp)
18461 (calculator-op-or-exp): Use string-match-p.
18463 * dired.el (dired-buffer-more-recently-used-p): Declare.
18464 (dired-insert-set-properties, dired-insert-old-subdirs):
18467 * dired-aux.el (dired-compress): Use ignore-errors.
18468 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
18469 (dired-do-async-shell-command, dired-do-shell-command)
18470 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
18471 (dired-insert-subdir-validate): Use string-match-p.
18472 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
18473 (dired-add-entry): Use string-match-p, looking-at-p.
18474 (dired-insert-subdir-newpos): Remove unused local variable.
18476 * filenotify.el (file-notify-callback): Remove unused local variable.
18478 * filesets.el (filesets-error): Mark unused argument.
18479 (filesets-which-command-p, filesets-filter-dir-names)
18480 (filesets-directory-files, filesets-get-external-viewer)
18481 (filesets-ingroup-get-data): Use string-match-p.
18483 * find-file.el (ff-other-file-name, ff-other-file-name)
18484 (ff-find-the-other-file, ff-cc-hh-converter):
18485 Remove unused local variables.
18486 (ff-get-file-name): Use string-match-p.
18487 (ff-all-dirs-under): Use ignore-errors.
18489 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
18490 (follow-select-if-visible): Remove unused local variable.
18492 * forms.el (read-file-filter): Move declaration.
18493 (forms--make-format, forms--make-parser, forms-insert-record):
18494 Quote function with #'.
18495 (forms--update): Use string-match-p. Quote function with #'.
18497 * help-mode.el (help-dir-local-var-def): Mark unused argument.
18498 (help-make-xrefs): Use looking-at-p.
18499 (help-xref-on-pp): Use looking-at-p, ignore-errors.
18501 * ibuffer.el (ibuffer-ext-visible-p): Declare.
18502 (ibuffer-confirm-operation-on): Use string-match-p.
18504 * msb.el (msb-item-handler, msb-dired-item-handler):
18505 Mark unused arguments.
18507 * ses.el (ses-decode-cell-symbol)
18508 (ses-kill-override): Remove unused local variable.
18509 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
18510 (ses-load): Use ignore-errors, looking-at-p.
18511 (ses-jump-safe): Use ignore-errors.
18512 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
18514 * tabify.el (untabify, tabify): Mark unused arguments.
18516 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
18517 Mark unused argument.
18518 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
18519 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
18521 * emacs-lisp/timer.el (timer--time): Define setter with
18522 gv-define-setter to avoid deprecation warning.
18524 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
18525 (*record-cmpl-statistics-p*): Remove (was commented out).
18526 (cmpl-statistics-block): Remove (body was commented out).
18527 All callers changed.
18528 (add-completions-from-buffer, load-completions-from-file):
18529 Remove unused variables.
18531 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
18533 * filecache.el (file-cache-delete-file-list):
18534 Print message only when told so.
18535 (file-cache-files-matching): Use #' in mapconcat argument.
18537 * ffap.el (ffap-url-at-point): Fix reference to variable
18538 thing-at-point-default-mail-uri-scheme.
18540 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
18542 * subr.el (define-error): New function.
18543 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
18544 error-file-not-found and define with define-error.
18545 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
18546 and define with define-error.
18547 * userlock.el (file-locked, file-supersession):
18548 * simple.el (mark-inactive):
18549 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
18550 * progmodes/ada-mode.el (ada-mode-errors):
18551 * play/life.el (life-extinct):
18552 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
18553 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
18554 * nxml/rng-util.el (rng-error):
18555 * nxml/rng-uri.el (rng-uri-error):
18556 * nxml/rng-match.el (rng-compile-error):
18557 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
18558 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
18559 * nxml/nxml-rap.el (nxml-scan-error):
18560 * nxml/nxml-outln.el (nxml-outline-error):
18561 * net/soap-client.el (soap-error):
18562 * net/gnutls.el (gnutls-error):
18563 * net/ange-ftp.el (ftp-error):
18564 * mpc.el (mpc-proc-error):
18565 * json.el (json-error, json-readtable-error, json-unknown-keyword)
18566 (json-number-format, json-string-escape, json-string-format)
18567 (json-key-format, json-object-format):
18568 * jka-compr.el (compression-error):
18569 * international/quail.el (quail-error):
18570 * international/kkc.el (kkc-error):
18571 * emacs-lisp/ert.el (ert-test-failed):
18572 * calc/calc.el (calc-error, inexact-result, math-overflow)
18574 * bookmark.el (bookmark-error-no-filename):
18575 * epg.el (epg-error): Define with define-error.
18577 * time.el (display-time-event-handler)
18578 (display-time-next-load-average): Don't call sit-for since it seems
18579 unnecessary (bug#15045).
18581 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
18582 Use #' instead of ' to quote functions.
18583 (checkdoc-output-mode): Use setq-local.
18584 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
18585 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
18586 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
18587 (checkdoc-ispell, checkdoc-ispell-current-buffer)
18588 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
18589 (checkdoc-ispell-message-text, checkdoc-ispell-start)
18590 (checkdoc-ispell-continue, checkdoc-ispell-comments)
18591 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
18593 * ido.el (ido-completion-help): Fix up compiler warning.
18595 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
18597 * frameset.el (frameset-p): Add autoload cookie.
18598 (frameset--jump-to-register): New function, based on code moved from
18600 (frameset-to-register): Move from register.el. Adapt to `registerv'.
18602 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
18603 (frameset-restore, frameset-save, frameset-session-filter-alist):
18604 Remove declarations.
18605 (register-alist): Doc fix.
18606 (frameset-to-register): Move to frameset.el.
18607 (jump-to-register, describe-register-1): Remove frameset-specific code.
18609 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18611 * allout-widgets.el (allout-widgets-pre-command-business)
18612 (allout-widgets-post-command-business)
18613 (allout-widgets-after-change-handler)
18614 (allout-decorate-item-and-context, allout-set-boundary-marker)
18615 (allout-body-modification-handler)
18616 (allout-graphics-modification-handler): Mark ignored arguments.
18617 (allout-widgets-post-command-business)
18618 (allout-widgets-exposure-change-processor)
18619 (allout-widgets-exposure-undo-processor)
18620 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
18621 (allout-parse-item-at-point, allout-decorate-item-guides)
18622 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
18623 * allout.el (epa-passphrase-callback-function): Declare.
18624 (allout-overlay-insert-in-front-handler)
18625 (allout-overlay-interior-modification-handler)
18626 (allout-isearch-end-handler, allout-chart-siblings)
18627 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
18628 (allout-yank-processing, allout-process-exposed)
18629 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
18630 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
18631 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
18632 (lisp-indent-defform): Mark ignored arguments.
18633 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
18634 (calculate-lisp-indent): Remove unused variables.
18635 * international/characters.el (indian-2-column, arabic-2-column)
18636 (tibetan): Mark ignored arguments.
18637 (use-cjk-char-width-table): Mark ignored arguments.
18638 Remove unused variables.
18639 * international/fontset.el (build-default-fontset-data)
18640 (x-compose-font-name, create-fontset-from-fontset-spec):
18641 Mark ignored arguments.
18642 (fontset-plain-name): Remove unused variables.
18643 * international/mule.el (charset-id, charset-bytes, generic-char-p)
18644 (keyboard-coding-system): Mark ignored arguments.
18645 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
18646 * help.el (resize-temp-buffer-window):
18647 * window.el (display-buffer-in-major-side-window)
18648 (display-buffer-in-side-window, display-buffer-in-previous-window):
18649 Remove unused variables.
18650 * isearch.el (isearch-forward-symbol):
18651 * version.el (emacs-bzr-version-bzr):
18652 * international/mule-cmds.el (current-language-environment):
18653 * term/common-win.el (x-handle-iconic, x-handle-geometry)
18654 (x-handle-display):
18655 * term/pc-win.el (x-list-fonts, x-display-planes)
18656 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
18657 (x-server-version, x-display-screens, x-display-mm-height)
18658 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
18659 (x-selection-owner-p, x-own-selection-internal)
18660 (x-disown-selection-internal, x-get-selection-internal)
18661 (msdos-initialize-window-system):
18662 * term/tty-colors.el (tty-color-alist, tty-color-clear):
18663 * term/x-win.el (x-handle-no-bitmap-icon):
18664 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
18665 (vc-default-find-file-hook, vc-default-extra-menu):
18666 Mark ignored arguments.
18668 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
18670 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
18671 break-condition in the context of the debugged code (bug#12685).
18673 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
18676 Do not use an overlay to highlight the last prompt. (Bug#14744)
18677 (comint-mode): Make comint-last-prompt buffer local.
18678 (comint-last-prompt): New variable.
18679 (comint-last-prompt-overlay): Remove. Superseded by
18680 comint-last-prompt.
18681 (comint-snapshot-last-prompt, comint-output-filter):
18682 Use comint-last-prompt.
18684 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18686 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
18687 (frameset-save): Check validity of the resulting frameset.
18689 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
18691 * ido.el (ido-record-command): Add doc string.
18693 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18695 * frameset.el (frameset): Do not disable creation of the default
18696 frameset-p predicate. Doc fix.
18697 (frameset-valid-p): New function, copied from the old predicate-p.
18698 Add additional checks.
18699 (frameset-restore): Check with frameset-valid-p.
18700 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
18701 (frameset-name, frameset-description, frameset-properties)
18702 (frameset-states): Add docstring.
18703 (frameset-session-filter-alist, frameset-persistent-filter-alist)
18704 (frameset-filter-alist): Doc fixes.
18706 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18708 * frameset.el (frameset-p, frameset-prop): Doc fixes.
18710 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
18712 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
18713 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
18714 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
18715 (byte-compile-normal-call): Remove obsolescence check.
18717 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18719 * frameset.el (frameset-restore): Doc fix.
18721 * register.el (frameset-frame-id, frameset-frame-with-id)
18722 (frameset-p, frameset-restore, frameset-save): Declare.
18723 (register-alist): Document framesets.
18724 (frameset-session-filter-alist): Declare.
18725 (frameset-to-register): New function.
18726 (jump-to-register): Implement jumping to framesets. Doc fix.
18727 (describe-register-1): Describe framesets.
18729 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
18731 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
18733 * desktop.el (desktop-save-frameset): Use new frameset-save args.
18734 Use lexical-binding.
18736 * frameset.el (frameset): Use type vector, not list (incompatible
18737 change). Do not declare a new constructor, use the default one.
18738 Upgrade suggested properties `app', `name' and `desc' to slots `app',
18739 `name' and `description', respectively, and add read-only slot
18740 `timestamp'. Doc fixes.
18741 (frameset-copy, frameset-persistent-filter-alist)
18742 (frameset-filter-alist, frameset-switch-to-gui-p)
18743 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
18744 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
18745 (frameset-filter-iconified, frameset-keep-original-display-p):
18747 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
18748 Rename from frameset-filter-(save|restore)-param. All callers changed.
18750 (frameset-p): Adapt to change to vector and be more thorough.
18751 Change arg name to OBJECT. Doc fix.
18752 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
18753 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
18754 All callers changed.
18755 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
18756 All callers changed.
18757 (frameset--record-minibuffer-relationships): Rename from
18758 frameset--process-minibuffer-frames. All callers changed.
18759 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
18760 Use new default constructor (again). Doc fix.
18761 (frameset--find-frame-if): Rename from `frameset--find-frame'.
18762 All callers changed.
18763 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
18764 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
18766 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
18767 PARAMETERS and WINDOW-STATE, respectively.
18768 (frameset-restore): Add new keyword argument PREDICATE.
18769 Reset frameset--target-display to nil. Doc fix.
18771 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
18773 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
18774 (bat-mode): Use it.
18775 (bat-mode-syntax-table): Mark \n as end-of-comment.
18776 (bat-font-lock-keywords): Remove comment rule.
18778 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
18779 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
18781 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
18782 (byte-compile-callargs-warn): Use `push'.
18783 (byte-compile-arglist-warn): Ignore higher-order "calls".
18784 (byte-compile-file-form-autoload): Use `pcase'.
18785 (byte-compile-function-form): If quoting a symbol, check that it exists.
18787 2013-08-07 Eli Zaretskii <eliz@gnu.org>
18789 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
18790 and add a few popular commands found in batch files.
18791 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
18792 (dos-mode): Doc fixes.
18794 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
18796 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
18797 (dos-mode): Use setq-local. Add space after "rem".
18798 (dos-mode-syntax-table): Don't use "w" for symbol chars.
18799 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
18801 2013-08-07 Arni Magnusson <arnima@hafro.is>
18803 * progmodes/dos.el: New file.
18804 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
18807 2013-08-06 Glenn Morris <rgm@gnu.org>
18809 * calendar/calendar.el: Add new faces, and day-header-array.
18810 (calendar-weekday-header, calendar-weekend-header)
18811 (calendar-month-header): New faces.
18812 (calendar-day-header-construct): New function.
18813 (calendar-day-header-width): Also :set calendar-day-header-array.
18814 (calendar-american-month-header, calendar-european-month-header)
18815 (calendar-iso-month-header): Use calendar- faces.
18816 (calendar-generate-month):
18817 Use calendar-day-header-array for day headers; apply faces to them.
18818 (calendar-mode): Check calendar-font-lock-keywords non-nil.
18819 (calendar-abbrev-construct): Add optional maxlen argument.
18820 (calendar-day-name-array): Doc fix.
18821 (calendar-day-name-array, calendar-abbrev-length)
18822 (calendar-day-abbrev-array):
18823 Also :set calendar-day-header-array, and maybe redraw.
18824 (calendar-day-header-array): New option. (Bug#15007)
18825 (calendar-font-lock-keywords): Set to nil and make obsolete.
18826 (calendar-day-name): Add option to use header array.
18828 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
18830 * net/shr.el (shr-render-td): Remove debugging.
18831 (shr-render-td): Make width computation consistent by defaulting
18832 all zero-width columns to 10 characters. This may not be optimal,
18833 but it's at least consistent.
18834 (shr-make-table-1): Redo last change to fix the real problem in
18837 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
18839 * files.el (cache-long-line-scans):
18840 Make obsolete alias to `cache-long-scans'.
18842 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
18844 * frameset.el (frameset, frameset-filter-alist)
18845 (frameset-filter-params, frameset-save, frameset--reuse-frame)
18846 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
18847 (frameset-compute-pos): Rename from frameset--compute-pos,
18849 (frameset-move-onscreen): Use frameset-compute-pos.
18850 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
18852 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
18853 Fix typos in docstrings.
18855 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
18857 * frame.el (get-other-frame): Tiny cleanup.
18859 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
18861 * vc/vc.el (vc-default-ignore-completion-table):
18862 Silence byte-compiler warning.
18864 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
18865 slot, which can indeed be nil.
18866 (frameset-live-filter-alist, frameset-persistent-filter-alist):
18867 Move entry for `left' from persistent to live filter alist.
18868 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
18870 (frameset-filter-params): When restoring a frame, copy items added to
18871 `filtered', to avoid unwittingly modifying the original parameters.
18872 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
18873 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
18875 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
18876 to use looking-at-p instead of looking-at. (Bug#15028)
18878 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
18880 Revert introduction of isearch-filter-predicates (bug#14714).
18881 Rely on add-function instead.
18882 * isearch.el (isearch-filter-predicates): Rename it back to
18883 isearch-filter-predicate.
18884 (isearch-message-prefix): Use advice-function-mapc and advice
18885 properties to get the isearch-message-prefix.
18886 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
18887 instead of run-hook-with-args-until-failure.
18888 (isearch-filter-visible): Not obsolete any more.
18889 * loadup.el: Preload nadvice.
18890 * replace.el (perform-replace): Revert to funcall
18891 instead of run-hook-with-args-until-failure.
18892 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
18893 * dired-aux.el (dired-isearch-filenames-mode): Rename from
18894 dired-isearch-filenames-toggle; make it into a proper minor mode.
18895 Use add/remove-function.
18896 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
18897 Call the minor-mode rather than add/remove-hook.
18898 (dired-isearch-filter-filenames):
18899 Remove isearch-message-prefix property.
18900 * info.el (Info--search-loop): New function, extracted from Info-search.
18901 Funcall isearch-filter-predicate instead of
18902 run-hook-with-args-until-failure isearch-filter-predicates.
18903 (Info-search): Use it.
18904 (Info-mode): Use isearch-filter-predicate instead of
18905 isearch-filter-predicates.
18907 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
18909 Do not call to `selected-window' where it is assumed by default.
18910 Affected functions are `window-minibuffer-p', `window-dedicated-p',
18911 `window-hscroll', `window-width', `window-height', `window-buffer',
18912 `window-frame', `window-start', `window-point', `next-window'
18913 and `window-display-table'.
18914 * abbrev.el (abbrev--default-expand):
18915 * bs.el (bs--show-with-configuration):
18916 * buff-menu.el (Buffer-menu-mouse-select):
18917 * calc/calc.el (calc):
18918 * calendar/calendar.el (calendar-generate-window):
18919 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
18920 (diary-make-entry):
18921 * comint.el (send-invisible, comint-dynamic-complete-filename)
18922 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
18923 * completion.el (complete):
18924 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
18925 * disp-table.el (describe-current-display-table):
18926 * doc-view.el (doc-view-insert-image):
18927 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
18928 * ehelp.el (with-electric-help):
18929 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
18930 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
18931 * emacs-lisp/helper.el (Helper-help-scroller):
18932 * emulation/cua-base.el (cua--post-command-handler-1):
18933 * eshell/esh-mode.el (eshell-output-filter):
18934 * ffap.el (ffap-gnus-wrapper):
18935 * help-macro.el (make-help-screen):
18936 * hilit-chg.el (highlight-compare-buffers):
18937 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
18938 * hl-line.el (global-hl-line-highlight):
18939 * icomplete.el (icomplete-simple-completing-p):
18940 * isearch.el (isearch-done):
18941 * jit-lock.el (jit-lock-stealth-fontify):
18942 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
18943 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
18944 * mpc.el (mpc-tagbrowser, mpc):
18945 * net/rcirc.el (rcirc-any-buffer):
18946 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
18947 * play/landmark.el (landmark-max-width, landmark-max-height):
18948 * play/zone.el (zone):
18949 * progmodes/compile.el (compilation-goto-locus):
18950 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
18951 * progmodes/etags.el (find-tag-other-window):
18952 * progmodes/fortran.el (fortran-column-ruler):
18953 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
18954 * progmodes/verilog-mode.el (verilog-point-text):
18955 * reposition.el (reposition-window):
18956 * rot13.el (toggle-rot13-mode):
18957 * server.el (server-switch-buffer):
18958 * shell.el (shell-dynamic-complete-command)
18959 (shell-dynamic-complete-environment-variable):
18960 * simple.el (insert-buffer, set-selective-display)
18961 (delete-completion-window):
18962 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
18963 (speedbar-recenter):
18964 * startup.el (fancy-splash-head):
18965 * textmodes/ispell.el (ispell-command-loop):
18966 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
18967 * tutorial.el (help-with-tutorial):
18968 * vc/add-log.el (add-change-log-entry):
18969 * vc/compare-w.el (compare-windows):
18970 * vc/ediff-help.el (ediff-indent-help-message):
18971 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
18972 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
18973 (ediff-setup-control-frame):
18974 * vc/emerge.el (emerge-position-region):
18975 * vc/pcvs-util.el (cvs-bury-buffer):
18976 * window.el (walk-windows, mouse-autoselect-window-select):
18977 * winner.el (winner-set-conf, winner-undo): Related users changed.
18979 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
18981 * frameset.el (frameset--set-id): Doc fix.
18982 (frameset-frame-id, frameset-frame-id-equal-p)
18983 (frameset-locate-frame-id): New functions.
18984 (frameset--process-minibuffer-frames, frameset--reuse-frame)
18985 (frameset-restore): Use them.
18987 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
18989 Do not call to `selected-frame' where it is assumed by default.
18990 Affected functions are `raise-frame', `redraw-frame',
18991 `frame-first-window', `frame-terminal' and `delete-frame'.
18992 * calendar/appt.el (appt-disp-window):
18993 * epg.el (epg-wait-for-completion):
18994 * follow.el (follow-delete-other-windows-and-split)
18995 (follow-avoid-tail-recenter):
18996 * international/mule.el (set-terminal-coding-system):
18997 * mail/rmail.el (rmail-mail-return):
18998 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
18999 * progmodes/f90.el (f90-add-imenu-menu):
19000 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
19001 * server.el (server-switch-buffer):
19002 * simple.el (delete-completion-window):
19004 * term/xterm.el (terminal-init-xterm-modify-other-keys)
19005 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
19006 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
19007 * vc/ediff.el (ediff-documentation): Related users changed.
19008 * frame.el (selected-terminal): Remove the leftover.
19010 2013-08-05 Glenn Morris <rgm@gnu.org>
19012 * calendar/calendar.el (calendar-generate-month):
19013 Fix for calendar-column-width != 1 + calendar-day-digit-width.
19014 (calendar-generate-month, calendar-font-lock-keywords):
19015 Fix for calendar-day-header-width > length of any day name.
19017 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
19019 * desktop.el (desktop-clear): Use new name of sort predicate.
19021 * frameset.el (frameset): Add docstring. Move :version property to its
19022 own `version' slot.
19023 (frameset-copy): Rename from copy-frameset.
19024 (frameset-p): Check more thoroughly.
19025 (frameset-prop): Do not check for :version, which is no longer a prop.
19026 (frameset-live-filter-alist, frameset-persistent-filter-alist):
19027 Use new :never value instead of t.
19028 (frameset-filter-alist): Expand and clarify docstring.
19029 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
19030 (frameset-filter-minibuffer, frameset-filter-save-param)
19031 (frameset-filter-restore-param, frameset-filter-iconified):
19032 Add pointer to docstring of frameset-filter-alist.
19033 (frameset-filter-params): Rename filter values to be more meaningful:
19034 :never instead of t, and reverse the meanings of :save and :restore.
19035 (frameset--process-minibuffer-frames): Clarify error message.
19036 (frameset-save): Avoid unnecessary and confusing call to framep.
19037 Use new BOA constructor for framesets.
19038 (frameset--reuse-list): Doc fix.
19039 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
19040 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
19041 (frameset-minibufferless-first-p): Doc fix.
19042 Rename from frameset-sort-frames-for-deletion.
19043 (frameset-restore): Doc fixes. Use new function names.
19044 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
19046 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19048 * desktop.el (desktop-restore-forces-onscreen)
19049 (desktop-restore-reuses-frames): Document :keyword constant values.
19050 (desktop-filter-parameters-alist): Remove, now identical to
19051 frameset-filter-alist.
19052 (desktop--filter-tty*): Remove, moved to frameset.el.
19053 (desktop-save-frameset, desktop-restore-frameset):
19054 Do not pass :filters argument.
19056 * frameset.el (frameset-live-filter-alist)
19057 (frameset-persistent-filter-alist): New variables.
19058 (frameset-filter-alist): Use them. Add autoload cookie.
19059 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
19060 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
19061 `frameset--id' (it's supposed to be internal to frameset.el).
19062 (frameset--process-minibuffer-frames): Ditto. Doc fix.
19063 (frameset--initial-params): New function.
19064 (frameset--get-frame): Use it. Doc fix.
19065 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
19066 Accept :all, not 'all.
19067 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
19068 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
19069 with fbound symbols. Fix frame id matching, and remove matching ids if
19070 the frame being restored is deleted. Obey :delete.
19072 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19074 * subr.el (macrop): New function.
19075 (text-clone--maintaining): New var.
19076 (text-clone--maintain): Rename from text-clone-maintain. Use it
19077 instead of inhibit-modification-hooks.
19079 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
19080 a proxy, so as handle autoloads and redefinitions of the target.
19081 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
19083 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
19084 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
19085 (pcase--mutually-exclusive-p): New function.
19086 (pcase--split-consp): Use it.
19087 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
19088 mutually exclusive with the current predicate.
19090 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
19091 (edebug-macrop): Remove. Use `macrop' instead.
19092 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
19094 * eshell/esh-cmd.el (eshell-macrop):
19095 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
19097 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19099 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
19100 (advice-mapc): New function, using it.
19101 (advice-function-member-p): New function.
19102 (advice--normalize): Store the cdr in advice--saved-rewrite since
19103 that's the part that will be changed.
19104 (advice--symbol-function): New function.
19105 (advice-remove): Handle removal before the function is defined.
19106 Adjust to new advice--saved-rewrite.
19107 (advice-member-p): Use advice-function-member-p and
19108 advice--symbol-function.
19110 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19112 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
19113 (frameset-filter-minibuffer): Doc fix.
19114 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
19115 (frameset--set-id, frameset--process-minibuffer-frames)
19116 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
19117 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
19119 * desktop.el (desktop-clear): Only delete frames when called
19120 interactively and desktop-restore-frames is non-nil. Doc fix.
19121 (desktop-read): Set desktop-saved-frameset to nil.
19123 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
19125 * vc/vc.el (vc-ignore): Rewrite.
19126 (vc-default-ignore-completion-table, vc--read-lines)
19127 (vc--add-line, vc--remove-regexp): New functions.
19129 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
19130 (vc-svn-ignore-completion-table): New function.
19132 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
19133 (vc-hg-ignore-completion-table)
19134 (vc-hg-find-ignore-file): New functions.
19136 * vc/vc-git.el (vc-git-ignore): Rewrite.
19137 (vc-git-ignore-completion-table)
19138 (vc-git-find-ignore-file): New functions.
19140 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
19142 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
19143 (vc-bzr-ignore-completion-table)
19144 (vc-bzr-find-ignore-file): New functions.
19146 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
19148 * frameset.el (frameset-prop): New function and setter.
19149 (frameset-save): Do not modify frame list passed by the caller.
19151 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
19153 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
19155 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
19157 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
19158 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
19160 * custom.el (custom-initialize-default, custom-initialize-set)
19161 (custom-initialize-reset, custom-initialize-changed): Affect the
19162 toplevel-default-value (bug#6275, bug#14586).
19163 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
19166 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
19168 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
19169 Add cl-def* expressions.
19171 * frameset.el (frameset-filter-params): Fix order of arguments.
19173 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
19175 Move code related to saving frames to frameset.el.
19176 * desktop.el: Require frameset.
19177 (desktop-restore-frames): Doc fix.
19178 (desktop-restore-reuses-frames): Rename from
19179 desktop-restoring-reuses-frames.
19180 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
19181 (desktop-clear): Clear frames too.
19182 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
19183 (desktop--filter-tty*, desktop-save, desktop-read):
19184 Use frameset functions.
19185 (desktop-before-saving-frames-functions, desktop--filter-*-color)
19186 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
19187 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
19188 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
19189 (desktop--process-minibuffer-frames, desktop-save-frames)
19190 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
19191 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
19192 (desktop--sort-states, desktop-restoring-frames-p)
19193 (desktop-restore-frames): Remove. Most code moved to frameset.el.
19194 (desktop-restoring-frameset-p, desktop-restore-frameset)
19195 (desktop--check-dont-save, desktop-save-frameset): New functions.
19196 (desktop--app-id): New constant.
19197 (desktop-first-buffer, desktop-buffer-ok-count)
19198 (desktop-buffer-fail-count): Move before first use.
19199 * frameset.el: New file.
19201 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
19203 * files.el: Use lexical-binding.
19204 (dir-locals-read-from-file): Remove unused `err' variable.
19205 (hack-dir-local-variables--warned-coding): New var.
19206 (hack-dir-local-variables): Use it to avoid repeated warnings.
19207 (make-backup-file-name--default-function): New function.
19208 (make-backup-file-name-function): Use it as default.
19209 (buffer-stale--default-function): New function.
19210 (buffer-stale-function): Use it as default.
19211 (revert-buffer-insert-file-contents--default-function): New function.
19212 (revert-buffer-insert-file-contents-function): Use it as default.
19213 (insert-directory): Avoid add-to-list.
19215 * autorevert.el (auto-revert-handler): Simplify.
19216 Use buffer-stale--default-function.
19218 2013-08-01 Tassilo Horn <tsdh@gnu.org>
19220 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
19222 * whitespace.el (whitespace-ensure-local-variables): New function.
19223 (whitespace-cleanup-region): Call it.
19224 (whitespace-turn-on): Call it.
19226 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
19228 Complete file name handlers.
19230 * net/tramp.el (tramp-handle-set-visited-file-modtime)
19231 (tramp-handle-verify-visited-file-modtime)
19232 (tramp-handle-file-notify-rm-watch): New functions.
19233 (tramp-call-process): Do not bind `default-directory'.
19235 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19236 Order alphabetically.
19237 <access-file, add-name-to-file, dired-call-process>:
19238 <dired-compress-file, file-acl, file-notify-rm-watch>:
19239 <file-ownership-preserved-p, file-selinux-context>:
19240 <make-directory-internal, make-symbolic-link, set-file-acl>:
19241 <set-file-selinux-context, set-visited-file-modtime>:
19242 <verify-visited-file-modtime>: Add handler.
19243 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
19245 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
19246 <file-notify-add-watch, file-notify-rm-watch>:
19247 <set-file-times, set-visited-file-modtime>:
19248 <verify-visited-file-modtime>: Add handler.
19249 (with-tramp-gvfs-error-message)
19250 (tramp-gvfs-handle-set-visited-file-modtime)
19251 (tramp-gvfs-fuse-file-name): Remove.
19252 (tramp-gvfs-handle-file-notify-add-watch)
19253 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
19254 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
19256 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
19257 Order alphabetically.
19258 <file-notify-rm-watch>: Use default Tramp handler.
19259 <executable-find>: Remove private handler.
19260 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
19261 `default-directory'.
19262 (tramp-sh-handle-executable-find)
19263 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
19264 (tramp-sh-file-gvfs-monitor-dir-process-filter)
19265 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
19266 Do not use `format' in `tramp-message'.
19268 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
19269 <file-notify-rm-watch, set-visited-file-modtime>:
19270 <verify-visited-file-modtime>: Add handler.
19271 (tramp-smb-call-winexe): Do not bind `default-directory'.
19273 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
19275 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
19277 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
19279 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
19281 (log-view-diff-changeset): Same.
19282 (log-view-diff-common): Call backend command `previous-revision'
19283 to find out the previous revision, in both cases. Swap the
19284 variables `to' and `fr', so that `fr' usually refers to the
19285 earlier revision (Bug#14989).
19287 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
19289 * ibuf-ext.el (ibuffer-filter-by-filename):
19290 Make it work with dired buffers too.
19292 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
19294 * emacs-lisp/re-builder.el (reb-color-display-p):
19295 * files.el (save-buffers-kill-terminal):
19296 * net/browse-url.el (browse-url):
19297 * server.el (server-save-buffers-kill-terminal):
19298 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
19299 Prefer nil to selected-frame for the first arg of frame-parameter.
19301 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
19303 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
19305 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
19307 * minibuffer.el (completion--twq-all): Try and preserve each
19308 completion's case choice (bug#14907).
19310 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
19312 * net/network-stream.el (open-network-stream): Mention the new
19313 :nogreeting parameter.
19314 (network-stream-open-starttls): Use the :nogreeting parameter
19317 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
19319 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
19320 more natural than popping.
19322 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
19323 (shr-urlify): Highlight under mouse.
19325 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
19327 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
19329 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
19331 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
19334 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
19335 point-min==1. Fix search string. Fix parentheses missing.
19337 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
19338 assume point-min==1. Fix search string. Fix parentheses missing.
19340 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
19342 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
19345 2013-07-29 Eli Zaretskii <eliz@gnu.org>
19347 * frame.el (frame-notice-user-settings): Avoid inflooping when the
19348 initial frame is minibuffer-less. (Bug#14841)
19350 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
19352 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
19355 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
19356 (tramp-maybe-open-connection): Use it.
19358 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
19360 * desktop.el (desktop--make-frame): Include `minibuffer' in the
19361 minimal set of parameters passed when creating a frame, because
19362 the minibuffer status of a frame cannot be changed later.
19364 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
19366 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
19367 replace-regexp-in-string and inadvertent omissions in previous change.
19368 (todo-filter-items): Ensure only file names are comma-separated in
19369 name of filtered items buffer.
19371 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
19373 * desktop.el: Optionally force offscreen frames back onscreen.
19374 (desktop-restoring-reuses-frames): New option.
19375 (desktop--compute-pos, desktop--move-onscreen): New functions.
19376 (desktop--make-frame): Use desktop--move-onscreen.
19378 2013-07-27 Alan Mackenzie <acm@muc.de>
19380 Fontify a Java generic method as a function.
19381 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
19384 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
19386 * calendar/todo-mode.el: Add command to rename todo files.
19387 (todo-rename-file): New command.
19388 (todo-key-bindings-t): Add key binding for it. Change the
19389 bindings of todo-filter-regexp-items(-multifile) to use `x'
19390 instead of `r', since the latter is better suited to the new
19393 2013-07-27 Alan Mackenzie <acm@muc.de>
19395 Make Java try-with-resources statement parse properly.
19396 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
19397 (c-block-stmt-1-2-key): New language constants/variables.
19398 * progmodes/cc-engine.el (c-beginning-of-statement-1)
19399 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
19400 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
19401 with c-block-stmt-1-2-key.
19403 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
19405 * desktop.el (desktop--make-frame): Apply most frame parameters after
19406 creating the frame to force (partially or totally) offscreen frames to
19407 be restored as such.
19409 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
19411 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
19414 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
19416 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
19417 `base' arg of backtrace-frame.
19419 2013-07-26 Eli Zaretskii <eliz@gnu.org>
19421 * simple.el (list-processes): Doc fix.
19423 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
19425 * desktop.el (desktop--select-frame):
19426 Try harder to reuse existing frames.
19428 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
19430 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
19431 (edebug-eval): Use backtrace-eval.
19432 (edebug--display, edebug--recursive-edit): Don't let-bind the
19433 edebug-outer-* vars that keep track of variables we locally let-bind.
19434 (edebug-outside-excursion): Don't restore outside values of locally
19436 (edebug--display): Use user-error.
19437 (cl-lexical-debug, cl-debug-env): Remove.
19439 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
19441 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
19442 are restored to be sure that they are visible before deleting any
19445 2013-07-26 Matthias Meulien <orontee@gmail.com>
19447 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
19448 vc-print-root-log. (Bug#14948)
19450 2013-07-26 Richard Stallman <rms@gnu.org>
19452 Add aliases for encrypting mail.
19453 * epa.el (epa-mail-aliases): New option.
19454 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
19455 Bind inhibit-read-only so read-only text doesn't ruin everything.
19456 (epa-mail-default-recipients): New subroutine broken out.
19457 Handle epa-mail-aliases.
19459 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
19461 Add support for lexical variables to the debugger's `e' command.
19462 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
19463 vars, except for debugger-outer-match-data.
19464 (debugger-frame-number): Move check for "on a function call" from
19465 callers into it. Add `skip-base' argument.
19466 (debugger-frame, debugger-frame-clear): Simplify accordingly.
19467 (debugger-env-macro): Only reset the state stored in non-variables,
19468 i.e. current-buffer and match-data.
19469 (debugger-eval-expression): Rewrite using backtrace-eval.
19470 * subr.el (internal--called-interactively-p--get-frame): Remove.
19471 (called-interactively-p):
19472 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
19473 `base' arg of backtrace-frame instead.
19475 2013-07-26 Glenn Morris <rgm@gnu.org>
19477 * align.el (align-regexp): Doc fix. (Bug#14857)
19478 (align-region): Explicit error if subexpression missing/does not match.
19480 * simple.el (global-visual-line-mode):
19481 Do not duplicate the mode lighter. (Bug#14858)
19483 2013-07-25 Martin Rudalics <rudalics@gmx.at>
19485 * window.el (display-buffer): In display-buffer bind
19486 split-window-keep-point to t, bug#14829.
19488 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
19490 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
19491 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
19492 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
19493 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
19494 Change accordingly.
19495 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
19496 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
19498 2013-07-25 Glenn Morris <rgm@gnu.org>
19500 * dired-x.el (dired-mark-extension): Convert comment to doc string.
19502 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
19504 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
19505 parameter to modify-frame-parameters if the value has not changed;
19506 this is a workaround for bug#14949.
19507 (desktop--make-frame): On cl-delete-if call, check parameter name,
19508 not full parameter.
19510 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
19512 * vc/vc.el (vc-ignore): New function.
19514 * vc/vc-svn.el (vc-svn-ignore): New function.
19516 * vc/vc-hg.el (vc-hg-ignore): New function.
19518 * vc/vc-git.el (vc-git-ignore): New function.
19520 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
19521 (vc-dir-ignore): New function.
19523 * vc/vc-cvs.el (vc-cvs-ignore): New function.
19524 (cvs-append-to-ignore): Move here from pcvs.el.
19526 * vc/vc-bzr.el (vc-bzr-ignore): New function.
19528 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
19530 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
19532 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
19533 (desktop-restore-frames): Warn when deleting an existing frame failed.
19535 2013-07-24 Glenn Morris <rgm@gnu.org>
19537 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
19539 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
19541 * filenotify.el (file-notify-supported-p):
19542 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
19545 * autorevert.el (auto-revert-use-notify)
19546 (auto-revert-notify-add-watch):
19547 * net/tramp.el (tramp-file-name-for-operation):
19548 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19549 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19550 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
19551 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
19552 Remove `file-notify-supported-p' entry.
19554 2013-07-24 Glenn Morris <rgm@gnu.org>
19556 * printing.el: Replace all uses of deleted ps-windows-system,
19557 ps-lp-system, ps-flatten-list with lpr- versions.
19559 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
19561 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
19562 checked with memq (bug#14935).
19564 * files.el (revert-buffer-function): Use a non-nil default.
19565 (revert-buffer-preserve-modes): Declare var to
19566 provide access to the `preserve-modes' argument.
19567 (revert-buffer): Let-bind it.
19568 (revert-buffer--default): New function, extracted from revert-buffer.
19570 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
19572 * lpr.el: Signal print errors more prominently.
19573 (print-region-function): Don't default to nil.
19574 (lpr-print-region): New function, extracted from print-region-1.
19575 Check lpr's return value and signal an error in case of problem.
19576 (print-region-1): Use it.
19577 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
19579 (ps-printer-name): Default to nil.
19580 (ps-printer-name-option): Default to lpr-printer-switch.
19581 (ps-print-region-function): Don't default to nil.
19582 (ps-postscript-code-directory): Simplify default.
19583 (ps-do-despool): Use lpr-print-region to properly check the outcome.
19584 (ps-string-list, ps-eval-switch, ps-flatten-list)
19585 (ps-flatten-list-1): Remove.
19586 (ps-multibyte-buffer): Avoid setq.
19587 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
19588 (print-region-function, ps-print-region-function): Don't set them here.
19590 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
19592 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
19593 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
19594 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
19595 (ido-decorations): Doc fix.
19597 * ansi-color.el: Fix old URL.
19599 2013-07-23 Michael R. Mauger <michael@mauger.com>
19601 * progmodes/sql.el: Version 3.3
19602 (sql-product-alist): Improve oracle :prompt-cont-regexp.
19603 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
19604 (sql-interactive-remove-continuation-prompt): Rewrite, use
19605 functions above. Fix continuation prompt and complete output line
19607 (sql-redirect-one, sql-execute): Use `read-only-mode' on
19608 redirected output buffer.
19609 (sql-mode): Restore deleted code (Bug#13591).
19611 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
19613 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
19615 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
19617 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
19619 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19620 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19621 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
19623 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
19625 * desktop.el (desktop-clear): Simplify; remove useless checks
19626 against invalid buffer names.
19627 (desktop-list*): Use cl-list*.
19628 (desktop-buffer-info, desktop-create-buffer): Simplify.
19630 2013-07-23 Leo Liu <sdl.web@gmail.com>
19632 * bookmark.el (bookmark-make-record): Restore NAME as a default
19635 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
19637 * emacs-lisp/autoload.el (autoload--setup-output): New function,
19638 extracted from autoload--insert-text.
19639 (autoload--insert-text): Remove.
19640 (autoload--print-cookie-text): New function, extracted from
19641 autoload--insert-cookie-text.
19642 (autoload--insert-cookie-text): Remove.
19643 (autoload-generate-file-autoloads): Adjust calls accordingly.
19645 * winner.el (winner-hook-installed-p): Remove.
19646 (winner-mode): Simplify accordingly.
19648 * subr.el (add-to-list): Fix compiler-macro when `append' is
19649 not constant. Don't use `cl-member' for the base case.
19651 * progmodes/subword.el: Fix boundary case (bug#13758).
19652 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
19654 (subword-backward-regexp): Make it a constant.
19655 (subword-forward-internal): Don't treat a trailing capital as the
19656 beginning of a word.
19658 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
19660 * emacs-lisp/package.el (package-menu-mode): Don't modify the
19661 global value of tabulated-list-revert-hook (bug#14930).
19663 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
19665 * desktop.el: Require 'cl-lib.
19666 (desktop-before-saving-frames-functions): New hook.
19667 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
19668 for frames being saved. Rename from desktop--save-minibuffer-frames.
19669 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
19670 Do not save frames with non-nil `desktop-dont-save' parameter.
19671 Filter out deleted frames.
19672 (desktop--find-frame): Use cl-find-if.
19673 (desktop--select-frame): Use cl-(first|second|third) to access values
19675 (desktop--make-frame): Use cl-delete-if.
19676 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
19677 (desktop-restore-frames): Use cl-(first|second|third) to access values
19678 of desktop-mini. Look for visible frame at the end, not while
19681 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
19682 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
19683 Use string-match-p, looking-at-p (bug#14927).
19685 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
19687 * desktop.el (desktop-saved-frame-states):
19688 Rename from desktop--saved-states; all users changed.
19689 (desktop-save-frames): Rename from desktop--save-frames.
19690 Do not save state to desktop file.
19691 (desktop-save): Save desktop-saved-frame-states to desktop file
19693 (desktop-restoring-frames-p): New function.
19694 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
19695 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
19696 buffer-lists when restoring frames. Suggested by Martin Rudalics.
19698 * desktop.el: Correctly restore iconified frames.
19699 (desktop--filter-iconified-position): New function.
19700 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
19702 2013-07-20 Glenn Morris <rgm@gnu.org>
19704 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
19705 Let `message' do the formatting.
19706 (def-gdb-preempt-display-buffer): Add explicit format.
19708 * image-dired.el (image-dired-track-original-file):
19709 Use with-current-buffer.
19710 (image-dired-track-thumbnail): Use with-current-buffer.
19711 Avoid changing point of wrong window.
19713 * image-dired.el (image-dired-track-original-file):
19714 Avoid changing point of wrong window. (Bug#14909)
19716 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
19718 * progmodes/gdb-mi.el (gdb-done-or-error):
19719 Guard against "%" in gdb output. (Bug#14127)
19721 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
19723 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
19726 * international/mule.el (coding-system-iso-2022-flags): Fix last
19729 2013-07-20 Kenichi Handa <handa@gnu.org>
19731 * international/mule.el (coding-system-iso-2022-flags):
19732 Add `8-bit-level-4'. (Bug#8522)
19734 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
19736 * net/shr.el (shr-mouse-browse-url): New command and keystroke
19739 * net/eww.el (eww-process-text-input): Allow inputting when the
19740 point is at the start of the line, as the properties aren't
19743 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
19746 2013-07-19 Richard Stallman <rms@gnu.org>
19748 * epa.el (epa-popup-info-window): Doc fix.
19750 * subr.el (split-string): New arg TRIM.
19752 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
19754 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
19755 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
19757 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
19759 * filenotify.el (file-notify--library): Rename from
19760 `file-notify-support'. Do not autoload. Adapt all uses.
19761 (file-notify-supported-p): New defun.
19763 * autorevert.el (auto-revert-use-notify):
19764 Use `file-notify-supported-p' instead of `file-notify-support'.
19766 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
19768 * net/tramp.el (tramp-file-name-for-operation):
19769 Add `file-notify-supported-p'.
19771 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
19773 (tramp-sh-file-name-handler-alist): Add it as handler for
19774 `file-notify-supported-p '.
19776 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19777 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19778 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
19779 Add `ignore' as handler for `file-notify-*' functions.
19781 2013-07-17 Eli Zaretskii <eliz@gnu.org>
19783 * simple.el (line-move-partial, line-move): Don't start vscroll or
19784 scroll-up if the current line is not taller than the window.
19787 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
19789 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
19790 highlight question marks in the method names as strings.
19791 (ruby-block-beg-keywords): Inline.
19792 (ruby-font-lock-keyword-beg-re): Extract from
19793 `ruby-font-lock-keywords'.
19795 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
19797 * frame.el (blink-cursor-blinks): New defcustom.
19798 (blink-cursor-blinks-done): New defvar.
19799 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
19800 (blink-cursor-timer-function): Check if number of blinks has been
19802 (blink-cursor-suspend, blink-cursor-check): New defuns.
19804 2013-07-15 Glenn Morris <rgm@gnu.org>
19806 * edmacro.el (edmacro-format-keys): Fix previous change.
19808 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
19810 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
19811 The hack didn't work outside English locales anyway.
19813 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
19815 * simple.el (define-alternatives): Rename from alternatives-define,
19816 per RMS' suggestion.
19818 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
19820 * desktop.el (desktop-restore-frames): Change default to t.
19821 (desktop-restore-in-current-display): Now offer more options.
19822 (desktop-restoring-reuses-frames): New customization option.
19823 (desktop--saved-states): Doc fix.
19824 (desktop-filter-parameters-alist): New variable, renamed and expanded
19825 from desktop--excluded-frame-parameters.
19826 (desktop--target-display): New variable.
19827 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
19828 (desktop--filter-tty*, desktop--filter-*-color)
19829 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
19830 (desktop--filter-save-desktop-parm)
19831 (desktop-restore-in-original-display-p): New functions.
19832 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
19833 (desktop--save-minibuffer-frames): New function, inspired by a similar
19834 function from Martin Rudalics.
19835 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
19836 (desktop--restore-in-this-display-p): Remove.
19837 (desktop--find-frame): Rename from desktop--find-frame-in-display
19838 and add predicate argument.
19839 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
19840 (desktop--reuse-list): New variable.
19841 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
19843 (desktop--restore-frames): Add support for "minibuffer-special" frames.
19845 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
19847 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
19849 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
19851 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
19852 Highlight conversion methods on Kernel.
19854 2013-07-13 Alan Mackenzie <acm@muc.de>
19856 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
19857 and comment it out. This out-commenting enables certain C++
19858 declarations to be parsed correctly.
19860 2013-07-13 Eli Zaretskii <eliz@gnu.org>
19862 * international/mule.el (define-coding-system): Doc fix.
19864 * simple.el (default-font-height): Don't call font-info if the
19865 frame's default font didn't change since the frame was created.
19868 2013-07-13 Leo Liu <sdl.web@gmail.com>
19870 * ido.el (ido-read-file-name): Guard against non-symbol value.
19872 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
19874 * progmodes/python.el (python-imenu--build-tree): Fix corner case
19877 2013-07-13 Leo Liu <sdl.web@gmail.com>
19879 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
19880 ido-set-matches call. (Bug#6852)
19882 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
19884 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
19885 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
19887 (ruby-font-lock-keywords): Distinguish calls to functions with
19888 module-like names from module references. Highlight character
19891 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
19893 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
19894 (gdb-send): Handle continued commands. (Bug#14847)
19896 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
19898 * desktop.el (desktop--v2s): Remove unused local variable.
19899 (desktop-save-buffer): Make defvar-local; adjust docstring.
19900 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
19901 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
19903 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
19905 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
19907 2013-07-12 Eli Zaretskii <eliz@gnu.org>
19909 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
19912 2013-07-12 Glenn Morris <rgm@gnu.org>
19914 * doc-view.el: Require cl-lib at runtime too.
19915 (doc-view-remove-if): Remove.
19916 (doc-view-search-next-match, doc-view-search-previous-match):
19919 * edmacro.el: Require cl-lib at runtime too.
19920 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
19921 (edmacro-mismatch, edmacro-subseq): Remove.
19923 * shadowfile.el: Require cl-lib.
19924 (shadow-remove-if): Remove.
19925 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
19928 * wid-edit.el: Require cl-lib.
19929 (widget-choose): Use cl-remove-if.
19930 (widget-remove-if): Remove.
19932 * progmodes/ebrowse.el: Require cl-lib at runtime too.
19933 (ebrowse-delete-if-not): Remove.
19934 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
19935 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
19936 Use cl-delete-if-not.
19938 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
19940 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
19941 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
19943 2013-07-12 Leo Liu <sdl.web@gmail.com>
19945 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
19947 2013-07-11 Glenn Morris <rgm@gnu.org>
19949 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
19950 (edebug-gensym-index, edebug-gensym):
19951 Remove reimplementation of cl-gensym.
19952 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
19954 * thumbs.el: Require cl-lib at run-time too.
19955 (thumbs-gensym-counter, thumbs-gensym):
19956 Remove reimplementation of cl-gensym.
19957 (thumbs-temp-file): Use cl-gensym.
19959 * emacs-lisp/ert.el: Require cl-lib at runtime too.
19960 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
19961 (ert--intersection, ert--set-difference, ert--set-difference-eq)
19962 (ert--union, ert--gensym-counter, ert--gensym-counter)
19963 (ert--coerce-to-vector, ert--remove*, ert--string-position)
19964 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
19965 (ert-make-test-unbound, ert--expand-should-1)
19966 (ert--expand-should, ert--should-error-handle-error)
19967 (should-error, ert--explain-equal-rec)
19968 (ert--plist-difference-explanation, ert-select-tests)
19969 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
19970 Use cl-lib functions rather than reimplementations.
19972 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
19974 * net/tramp.el (tramp-methods): Extend docstring.
19975 (tramp-connection-timeout): New defcustom.
19976 (tramp-error-with-buffer): Reset timestamp only when appropriate.
19977 (with-tramp-progress-reporter): Simplify.
19978 (tramp-process-actions): Improve messages.
19980 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
19981 * net/tramp-sh.el (tramp-maybe-open-connection):
19982 Use `tramp-connection-timeout'.
19983 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
19986 2013-07-11 Leo Liu <sdl.web@gmail.com>
19988 * ido.el (ido-read-file-name): Conform to the requirements of
19989 read-file-name. (Bug#11861)
19990 (ido-read-directory-name): Conform to the requirements of
19991 read-directory-name.
19993 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
19995 * subr.el (delay-warning): New function.
19997 2013-07-10 Eli Zaretskii <eliz@gnu.org>
19999 * simple.el (default-line-height): New function.
20000 (line-move-partial, line-move): Use it instead of computing the
20001 line height inline.
20002 (line-move-partial): Always compute ROWH. If the last line is
20003 partially-visible, but its text is completely visible, allow
20004 cursor to enter such a partially-visible line.
20006 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
20008 Improve error messages. (Bug#14808)
20010 * net/tramp.el (tramp-current-connection): New defvar, moved from
20012 (tramp-message-show-progress-reporter-message): Remove, not
20014 (tramp-error-with-buffer): Show message in minibuffer.
20015 Discard input before waiting. Reset connection timestamp.
20016 (with-tramp-progress-reporter): Improve messages.
20017 (tramp-process-actions): Use progress reporter. Delete process in
20018 case of error. Improve messages.
20020 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
20021 Call `tramp-error-with-buffer' with vector and buffer.
20022 (tramp-current-connection): Remove.
20023 (tramp-maybe-open-connection): The car of
20024 `tramp-current-connection' are the first 3 slots of the vector.
20026 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
20028 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
20029 inside continued strings.
20031 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
20033 Timestamp fixes for undo (Bug#14824).
20034 * files.el (clear-visited-file-modtime): Move here from fileio.c.
20036 2013-07-10 Leo Liu <sdl.web@gmail.com>
20038 * files.el (require-final-newline): Allow safe local value.
20041 2013-07-09 Leo Liu <sdl.web@gmail.com>
20043 * ido.el (ido-read-directory-name): Handle fallback.
20044 (ido-read-file-name): Update DIR to ido-current-directory.
20046 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
20048 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
20050 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
20051 "autoload". Remove "warn lower camel case" section, previously
20052 commented out. Highlight negation char. Do not highlight the
20053 target in singleton method definitions.
20055 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
20057 * faces.el (tty-setup-hook): Declare the hook.
20059 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
20060 and detect when a guard/pred depends on local vars (bug#14773).
20061 (pcase--u1): Adjust caller.
20063 2013-07-08 Eli Zaretskii <eliz@gnu.org>
20065 * simple.el (line-move-partial, line-move): Account for
20067 (line-move-partial): Avoid setting vscroll when the last
20068 partially-visible line in window is of default height.
20070 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
20072 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
20075 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
20077 * subr.el (read-quoted-char): Remove unused local variable `char'.
20079 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
20081 * vc/ediff.el (ediff-version): Version update.
20082 (ediff-files-command, ediff3-files-command, ediff-merge-command)
20083 (ediff-merge-with-ancestor-command, ediff-directories-command)
20084 (ediff-directories3-command, ediff-merge-directories-command)
20085 (ediff-merge-directories-with-ancestor-command): New functions.
20086 All are command-line interfaces to ediff: to facilitate calling
20087 Emacs with the appropriate ediff functions invoked.
20089 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
20091 (viper-save-kill-buffer): Check if buffer is modified.
20093 * emulation/viper.el (viper-version): Version update.
20094 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
20096 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
20098 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
20099 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
20100 (viper-intercept-ESC-key): Simplify.
20101 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
20103 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
20104 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
20105 (viper-setup-ESC-to-escape): New functions.
20106 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
20107 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
20109 2013-07-07 Eli Zaretskii <eliz@gnu.org>
20111 * simple.el (default-font-height, window-screen-lines):
20113 (line-move, line-move-partial): Use them instead of
20114 frame-char-height and window-text-height. This makes scrolling
20115 text smoother when the buffer's default face uses a font that is
20116 different from the frame's default font.
20118 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
20120 * files.el (write-file): Do not display confirm dialog for NS,
20121 it does its own dialog, which can't be canceled (Bug#14578).
20123 2013-07-06 Eli Zaretskii <eliz@gnu.org>
20125 * simple.el (line-move-partial): Adjust the row returned by
20126 posn-at-point for the current window-vscroll. (Bug#14567)
20128 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
20130 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
20131 (tramp-sh-file-inotifywait-process-filter): Handle file names with
20134 2013-07-06 Martin Rudalics <rudalics@gmx.at>
20136 * window.el (window-state-put-stale-windows): New variable.
20137 (window--state-put-2): Save list of windows without matching buffer.
20138 (window-state-put): Remove "bufferless" windows if possible.
20140 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
20142 * simple.el (alternatives-define): Remove leftover :group keyword.
20145 2013-07-06 Leo Liu <sdl.web@gmail.com>
20147 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
20148 (ido-enable-virtual-buffers): New variable.
20149 (ido-buffer-internal, ido-toggle-virtual-buffers)
20150 (ido-make-buffer-list): Use it.
20151 (ido-exhibit): Support turning on and off virtual buffers
20154 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
20156 * simple.el (alternatives-define): New macro.
20158 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
20160 * subr.el (read-quoted-char): Use read-key.
20161 (sit-for): Let read-event decode tty input (bug#14782).
20163 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
20165 * calendar/todo-mode.el: Add handling of file deletion, both by
20166 mode command and externally. Fix various related bugs.
20167 Clarify Commentary and improve some documentation strings and code.
20168 (todo-delete-file): New command.
20169 (todo-check-file): New function.
20170 (todo-show): Handle external deletion of the file we're trying to
20171 show (bug#14688). Replace called-interactively-p by an optional
20172 prefix argument to avoid problematic interaction with catch form
20173 when byte compiled (bug#14702).
20174 (todo-quit): Handle external deletion of the archive's todo file.
20175 Make sure the buffer that was visiting the archive file is still
20176 live before trying to bury it.
20177 (todo-category-completions): Handle external deletion of any
20178 category completion files.
20179 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
20180 of todo files, in case of external deletion.
20181 (todo-add-file): Replace unnecessary setq by let-binding.
20182 (todo-find-archive): Check whether there are any archives.
20183 Replace unnecessary setq by let-binding.
20184 (todo-archive-done-item): Use find-file-noselect to get the
20185 archive buffer whether or not the archive already exists.
20186 Remove superfluous code. Use file size instead of buffer-file-name to
20187 check if the archive is new; if it is, update list of archives.
20188 (todo-default-todo-file): Allow nil to be a valid value for when
20189 there are no todo files.
20190 (todo-reevaluate-default-file-defcustom): Use corrected definition
20191 of todo-default-todo-file.
20192 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
20193 (todo-delete-category, todo-show-categories-table)
20194 (todo-category-number): Clarify comment.
20195 (todo-filter-items): Clarify documentation string.
20196 (todo-show-current-file, todo-display-as-todo-file)
20197 (todo-reset-and-enable-done-separator): Tweak documentation string.
20198 (todo-done-separator): Make separator length window-width, since
20199 bug#2749 is now fixed.
20201 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
20203 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
20204 Support both "gvfs-monitor-dir" and "inotifywait".
20205 (tramp-sh-file-inotifywait-process-filter): Rename from
20206 `tramp-sh-file-notify-process-filter'.
20207 (tramp-sh-file-gvfs-monitor-dir-process-filter)
20208 (tramp-get-remote-gvfs-monitor-dir): New defuns.
20210 2013-07-05 Leo Liu <sdl.web@gmail.com>
20212 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
20214 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
20216 * frame.el (display-pixel-height, display-pixel-width)
20217 (display-mm-height, display-mm-width): Mention behavior on
20218 multi-monitor setups in docstrings.
20219 (w32-display-monitor-attributes-list): Declare function.
20220 (display-monitor-attributes-list): Use it.
20222 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
20224 * filenotify.el: New package.
20226 * autorevert.el (top): Require filenotify.el.
20227 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
20229 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
20230 (auto-revert-notify-handler): Use `file-notify-*' functions.
20232 * subr.el (file-notify-handle-event): Move function to filenotify.el.
20234 * net/tramp.el (tramp-file-name-for-operation):
20235 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
20237 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
20238 for `file-notify-add-watch' and `file-notify-rm-watch'.
20239 (tramp-process-sentinel): Improve trace.
20240 (tramp-sh-handle-file-notify-add-watch)
20241 (tramp-sh-file-notify-process-filter)
20242 (tramp-sh-handle-file-notify-rm-watch)
20243 (tramp-get-remote-inotifywait): New defuns.
20245 2013-07-03 Juri Linkov <juri@jurta.org>
20247 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
20248 call of `occur-read-primary-args' to interactive spec.
20250 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
20251 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
20253 2013-07-03 Matthias Meulien <orontee@gmail.com>
20255 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
20256 `Buffer-menu-multi-occur'. Add it to the menu.
20257 (Buffer-menu-mode): Document it in docstring.
20258 (Buffer-menu-multi-occur): New command. (Bug#14673)
20260 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
20262 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
20263 keywords and built-ins.
20265 2013-07-03 Glenn Morris <rgm@gnu.org>
20267 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
20269 Make info-xref checks case-sensitive by default
20270 * info.el (Info-find-node, Info-find-in-tag-table)
20271 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
20272 Add option for exact case matching of nodes.
20273 * info-xref.el (info-xref): New custom group.
20274 (info-xref-case-fold): New option.
20275 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
20277 2013-07-03 Leo Liu <sdl.web@gmail.com>
20279 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
20281 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
20283 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
20284 middle of block statement initially, lower the depth. Remove
20285 FIXME comment, not longer valid. Remove middle of block statement
20286 detection, no need to do that anymore since we've been using
20287 `ruby-parse-region' here.
20289 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
20291 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
20293 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
20295 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
20297 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
20299 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
20300 (desktop-restore-in-current-display): New customization option.
20301 (desktop--excluded-frame-parameters): Add `font'.
20302 (desktop--save-frames): Rename from desktop--save-windows.
20303 (desktop--restore-in-this-display-p): New function.
20304 (desktop--make-full-frame): Remove unwanted width/height from
20305 full(width|height) frames.
20306 (desktop--restore-frames): Rename from desktop--restore-windows.
20307 Obey desktop-restore-current-display. Do not delete old frames or
20308 select a new frame unless we were able to restore at least one frame.
20310 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
20312 * files.el (find-file-noselect): Simplify conditional expression.
20314 * textmodes/remember.el (remember-append-to-file):
20315 Don't mix `find-buffer-visiting' and `get-file-buffer'.
20317 Add `remember-notes' function to store random notes across Emacs
20319 * textmodes/remember.el (remember-data-file): Add :set callback to
20320 affect notes buffer (if any).
20321 (remember-notes): New command.
20322 (remember-notes-buffer-name, bury-remember-notes-on-kill):
20323 New defcustoms for the `remember-notes' function.
20324 (remember-notes-save-and-bury-buffer): New command.
20325 (remember-notes-mode-map): New variable.
20326 (remember-mode): New minor mode.
20327 (remember-notes--kill-buffer-query): New function.
20328 * startup.el (initial-buffer-choice): Add notes to custom type.
20330 2013-06-30 Eli Zaretskii <eliz@gnu.org>
20332 * bindings.el (right-char, left-char): Don't call sit-for, this is
20333 no longer needed. Use arithmetic comparison only for numerical
20336 * international/mule-cmds.el (select-safe-coding-system):
20337 Handle the case of FROM being a string correctly. (Bug#14755)
20339 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
20341 * net/shr.el (shr-make-table-1): Add a sanity check that allows
20342 progression on degenerate tables.
20343 (shr-rescale-image): ImageMagick animated images currently don't work.
20345 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
20347 Some fixes and improvements for desktop frame restoration.
20348 It is still experimental and disabled by default.
20349 * desktop.el (desktop--save-windows): Put the selected frame at
20350 the head of the list.
20351 (desktop--make-full-frame): New function.
20352 (desktop--restore-windows): Try to re-select the frame that was
20353 selected upon saving. Do not abort if some frames fail to restore,
20354 just show an error message and continue. Set up maximized frames
20355 so they have default non-maximized dimensions.
20357 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
20359 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
20360 Don't start heredoc inside a string or comment.
20362 2013-06-29 Eli Zaretskii <eliz@gnu.org>
20364 * bindings.el (visual-order-cursor-movement): New defcustom.
20365 (right-char, left-char): Provide visual-order cursor motion by
20366 calling move-point-visually. Update the doc strings.
20368 2013-06-28 Kenichi Handa <handa@gnu.org>
20370 * international/mule.el (define-coding-system): New coding system
20371 properties :inhibit-null-byte-detection,
20372 :inhibit-iso-escape-detection, and :prefer-utf-8.
20373 (set-buffer-file-coding-system): If :charset-list property of
20374 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
20375 appropriate for setting.
20377 * international/mule-cmds.el (select-safe-coding-system):
20378 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
20379 multibyte characters, return utf-8 (or one of its siblings).
20381 * international/mule-conf.el (prefer-utf-8): New coding system.
20382 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
20385 2013-06-28 Ivan Kanis <ivan@kanis.fr>
20387 * net/shr.el (shr-render-region): New function.
20389 * net/eww.el: Autoload `eww-browse-url'.
20391 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
20393 * emacs-lisp/package-x.el (package-upload-buffer-internal):
20394 Adapt to `package-desc-version' being a list.
20395 Use `package--ac-desc-version' to retrieve version from a package
20398 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
20400 New experimental feature to save&restore window and frame setup.
20401 * desktop.el (desktop-save-windows): New defcustom.
20402 (desktop--saved-states): New var.
20403 (desktop--excluded-frame-parameters): New defconst.
20404 (desktop--filter-frame-parms, desktop--find-frame-in-display)
20405 (desktop--restore-windows, desktop--save-windows): New functions.
20406 (desktop-save): Call `desktop--save-windows'.
20407 (desktop-read): Call `desktop--restore-windows'.
20409 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
20411 * net/shr.el (add-face-text-property): Remove compat definition.
20413 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
20415 * info.el (Info-try-follow-nearest-node): Move search for footnote
20416 above search for node name to prevent missing a footnote (bug#14717).
20418 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
20420 * obsolete/otodo-mode.el: Add obsolescence info to file header.
20422 2013-06-27 Leo Liu <sdl.web@gmail.com>
20424 * net/eww.el (eww-read-bookmarks): Check file size.
20426 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
20428 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
20429 advice--pending if newdef is nil or an autoload (bug#13820).
20430 (advice-mapc): New function.
20432 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
20434 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
20436 (eww-mode-map): Add a menu bar.
20437 (eww-add-bookmark): New command.
20438 (eww-bookmark-mode): New mode and commands.
20439 (eww-add-bookmark): Remove newlines from the title.
20440 (eww-bookmark-browse): Don't bug out if it's the only window.
20442 2013-06-26 Glenn Morris <rgm@gnu.org>
20444 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
20445 (hfy-size): Handle ttys. (Bug#14668)
20447 * info-xref.el: Update for Texinfo 5 change in *note format.
20448 (info-xref-node-re, info-xref-note-re): New constants.
20449 (info-xref-check-buffer): Use info-xref-note-re.
20451 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
20453 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
20455 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
20456 nil terminate the loop (bug#14718).
20458 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20460 * net/eww.el: Rework history traversal. When going forward/back,
20461 put these actions into the history, too, so that they can be
20463 (eww-render): Move the history reset to the correct buffer.
20465 2013-06-25 Juri Linkov <juri@jurta.org>
20467 * files-x.el (modify-dir-local-variable): Change the header comment
20468 in the file with directory local variables. (Bug#14692)
20470 * files-x.el (read-file-local-variable-value): Add `default'.
20473 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20475 * net/eww.el (eww-make-unique-file-name): Create a unique file
20476 name before saving to entering `y' accidentally asynchronously.
20478 2013-06-25 Ivan Kanis <ivan@kanis.fr>
20480 * net/eww.el (eww-download): New command and keystroke.
20482 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20484 * net/eww.el (eww-copy-page-url): Change name of command.
20486 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
20487 be more consistent with Info and dired.
20489 * net/eww.el (eww-mode-map): Ditto.
20491 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
20493 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
20494 packages from archives.
20495 (package-archive-contents): Change format; include obsolete packages.
20496 (package-desc): Use `dir' to mark builtin packages.
20497 (package--from-builtin): Set the `dir' field to `builtin'.
20498 (generated-autoload-file, version-control): Declare.
20499 (package-compute-transaction): Change first arg and return value to be
20500 lists of package-descs. Adjust to new package-archive-contents format.
20501 (package--add-to-archive-contents): Adjust to new
20502 package-archive-contents format.
20503 (package-download-transaction): Arg is now a list of package-descs.
20504 (package-install): If `pkg' is a package name, pass it as
20505 a requirement, so it is subject to the usual (e.g. disabled) checks.
20506 (describe-package): Accept package-desc as well.
20507 (describe-package-1): Describe a specific package-desc. Add links to
20508 other package-descs for the same package name.
20509 (package-menu-describe-package): Pass the actual package-desc.
20510 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
20512 (package-desc-status): New function.
20513 (package-menu--refresh): New function, extracted
20514 from package-menu--generate.
20515 (package-menu--generate): Use it.
20516 (package-delete): Update package-alist.
20517 (package-menu-execute): Don't call package-initialize.
20519 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
20520 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
20521 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
20522 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
20523 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
20524 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
20526 2013-06-25 Martin Rudalics <rudalics@gmx.at>
20528 * window.el (window--state-get-1): Workaround for bug#14527.
20529 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
20531 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20533 * net/eww.el (eww-back-url): Implement the history by stashing all
20534 the data into a list.
20535 (eww-forward-url): Allow going forward in the history, too.
20537 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
20539 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
20540 for values and use read--expression for expressions (bug#14710).
20541 (read-file-local-variable): Avoid setq.
20542 (read-file-local-variable-mode): Use minor-mode-list.
20544 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20546 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
20549 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20551 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
20552 Update imenu-support when dialect changes.
20554 2013-06-25 Leo Liu <sdl.web@gmail.com>
20556 * ido.el (ido-read-internal): Allow forward slash on windows.
20558 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
20560 * net/eww.el (eww): Start of strings is \\`, not ^.
20562 2013-06-24 Ivan Kanis <ivan@kanis.fr>
20564 * net/shr.el (shr-browse-url): Fix interactive spec.
20566 * net/eww.el (eww): Add a trailing slash to domain names.
20568 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
20570 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
20572 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
20574 * net/shr.el (shr-browse-url): Use an external browser if given a
20577 * net/eww.el (eww-external-browser): Move to shr.
20579 2013-06-24 Ivan Kanis <ivan@kanis.fr>
20581 * net/eww.el (eww): Work more correctly for file: URLs.
20582 (eww-detect-charset): Allow quoted charsets.
20583 (eww-yank-page-url): New command and keystroke.
20585 2013-06-24 Daiki Ueno <ueno@gnu.org>
20587 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
20588 file name of gpg executable.
20589 (epg-context-program): New function.
20590 (epg-context-home-directory): New function.
20591 (epg-context-set-program): New function.
20592 (epg-context-set-home-directory): New function.
20593 (epg--start): Use `epg-context-program' instead of
20595 (epg--list-keys-1): Likewise.
20597 2013-06-24 Leo Liu <sdl.web@gmail.com>
20599 * ido.el (ido-read-internal): Fix bug#14620.
20601 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
20603 * faces.el (face-documentation): Simplify.
20604 (read-face-attribute, tty-find-type, x-resolve-font-name):
20605 Use `string-match-p'.
20606 (list-faces-display): Use `string-match-p'. Simplify.
20607 (face-spec-recalc): Check face to avoid face alias loops.
20608 (read-color): Use `string-match-p' and non-capturing parenthesis.
20610 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
20612 * net/shr.el (shr-rescale-image): Use the new
20613 :max-width/:max-height functionality.
20615 2013-06-23 Ivan Kanis <ivan@kanis.fr>
20617 * net/eww.el (eww-search-prefix): New variable.
20619 (eww-external-browser): New variable.
20620 (eww-mode-map): New keystroke.
20621 (eww-browse-with-external-browser): New command.
20623 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
20625 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
20627 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
20628 Don't skip aligning the next header field when padding is 0;
20629 otherwise, field width is not respected unless the title is as
20632 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
20634 * emacs-lisp/package.el (package-el-version): Remove.
20635 (package-process-define-package): Fix inf-loop.
20636 (package-install): Allow symbols as arguments again.
20638 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
20640 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
20641 add some more keyword-like methods.
20642 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
20644 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
20646 * bs.el (bs-buffer-show-mark): Make defvar-local.
20647 (bs-mode): Use setq-local.
20649 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
20650 (emacs-lock--try-unlocking): Make defvar-local.
20652 2013-06-22 Glenn Morris <rgm@gnu.org>
20654 * play/cookie1.el (cookie-apropos): Minor simplification.
20656 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
20658 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
20660 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
20661 `regexp-opt', it breaks the build during dumping.
20663 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
20665 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
20666 Highlight keyword-like methods on Kernel and Module with
20667 font-lock-builtin-face.
20668 (auto-mode-alist): Consolidate different entries into one regexp
20669 and add more *file-s.
20671 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
20673 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
20675 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
20676 (diary-entry): Use it in the action of this button type instead of
20679 * calendar/todo-mode.el: New version.
20680 (todo-add-category): Append new category to end of file and give
20681 it the highest number, instead of putting it at the beginning and
20682 giving it 0. Incorporate noninteractive functionality.
20683 (todo-forward-category): Adapt to 1-based category numbering.
20684 Allow skipping over archived categories.
20685 (todo-backward-category): Derive from todo-forward-category.
20686 (todo-backward-item, todo-forward-item): Make noninteractive and
20687 delegate interactive part to new commands. Make sensitive to done items.
20688 (todo-categories): Make value an alist of category names and
20689 vectors of item counts.
20690 (todo-category-beg): Make a defconst.
20691 (todo-category-number): Use 1 instead of 0 as initial value.
20692 (todo-category-select): Make sensitive to overlays, optional item
20693 highlighting and done items.
20694 (todo-delete-item): Make sensitive to overlays and marked and done items.
20695 (todo-edit-item): Make sensitive to overlays and editing of
20696 date/time header optional. Add format checks.
20697 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
20698 no-op if point is not on an item. Advertise using todo-edit-quit.
20699 (todo-edit-mode): Make sensitive to new format, font-locking, and
20700 multiple todo files.
20701 (todo-insert-item, todo-insert-item-here): Derive from
20702 todo-basic-insert-item and extend functionality.
20703 (todo-item-end, todo-item-start): Make sensitive to done items.
20704 (todo-item-string): Don't return text properties. Restore point.
20705 (todo-jump-to-category): Make sensitive to multiple todo files and
20706 todo archives. Use extended category completion.
20707 (todo-lower-item, todo-raise-item): Rename to *-priority and
20708 derive from todo-set-item-priority.
20709 (todo-mode): Derive from special-mode. Make sensitive to new
20710 format, font-locking and multiple todo files. Make read-only.
20711 (todo-mode-map): Don't suppress digit keys, so they can supply
20712 prefix arguments. Add many new key bindings.
20713 (todo-prefix): Insert as an overlay instead of file text.
20714 Change semantics from diary date expression to purely visual mark.
20715 (todo-print): Rename to todo-print-buffer. Make buffer display
20716 features printable. Remove option to restrict number of items
20717 printed. Add option to print to file.
20718 (todo-print-function): Rename to todo-print-buffer-function.
20719 (todo-quit): Extend to handle exiting new todo modes.
20720 (todo-remove-item): Make sensitive to overlays.
20721 (todo-save): Extend to buffers of filtered items.
20722 (todo-show): Make sensitive to done items, multiple todo files and
20723 new todo modes. Offer to convert legacy todo file before creating
20724 first new todo file.
20725 (todo-show-priorities): Rename to todo-top-priorities.
20726 Change semantics of value 0.
20727 (todo-top-priorities): Rename to todo-filter-top-priorities,
20728 derive from todo-filter-items and extend functionality.
20729 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
20730 and extend functionality to other types of filtered items.
20731 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
20732 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
20733 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
20734 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
20735 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
20736 (todo-edit-mode-hook, todo-entry-prefix-function)
20737 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
20738 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
20739 (todo-initials, todo-insert-threshold, todo-item-string-start)
20740 (todo-line-string, todo-menu, todo-mode-hook)
20741 (todo-more-important-p, todo-previous-answer, todo-previous-line)
20742 (todo-print-priorities, todo-remove-separator)
20743 (todo-save-top-priorities-too, todo-string-count-lines)
20744 (todo-string-multiline-p, todo-time-string-format)
20745 (todo-tmp-buffer-name): Remove.
20746 (todo-add-file, todo-archive-done-item, todo-choose-archive)
20747 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
20748 (todo-edit-category-diary-inclusion)
20749 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
20750 (todo-edit-file, todo-edit-item-date-day)
20751 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
20752 (todo-edit-item-date-month, todo-edit-item-date-to-today)
20753 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
20754 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
20755 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
20756 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
20757 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
20758 (todo-filter-top-priorities-multifile, todo-find-archive)
20759 (todo-find-filtered-items-file, todo-go-to-source-item)
20760 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
20761 (todo-jump-to-archive-category, todo-lower-category)
20762 (todo-mark-category, todo-marked-item-p, todo-merge-category)
20763 (todo-move-category, todo-move-item, todo-next-button)
20764 (todo-next-item, todo-padded-string, todo-powerset)
20765 (todo-previous-button, todo-previous-item)
20766 (todo-print-buffer-to-file, todo-raise-category)
20767 (todo-rename-category, todo-repair-categories-sexp, todo-search)
20768 (todo-set-category-number, todo-set-item-priority)
20769 (todo-set-top-priorities-in-category)
20770 (todo-set-top-priorities-in-file, todo-show-categories-table)
20771 (todo-sort-categories-alphabetically-or-numerically)
20772 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
20773 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
20774 (todo-toggle-item-header, todo-toggle-item-highlighting)
20775 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
20776 (todo-toggle-view-done-items, todo-toggle-view-done-only)
20777 (todo-unarchive-items, todo-unmark-category): New commands.
20778 (todo-absolute-file-name, todo-add-to-buffer-list)
20779 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
20780 (todo-basic-insert-item, todo-category-completions)
20781 (todo-category-number, todo-category-string-matcher-1)
20782 (todo-category-string-matcher-2, todo-check-filtered-items-file)
20783 (todo-check-format, todo-clear-matches)
20784 (todo-comment-string-matcher, todo-convert-legacy-date-time)
20785 (todo-current-category, todo-date-string-matcher)
20786 (todo-define-insertion-command, todo-diary-expired-matcher)
20787 (todo-diary-goto-entry, todo-diary-item-p)
20788 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
20789 (todo-display-categories, todo-display-sorted, todo-done-item-p)
20790 (todo-done-item-section-p, todo-done-separator)
20791 (todo-done-string-matcher, todo-files, todo-filter-items)
20792 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
20793 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
20794 (todo-insert-category-line, todo-insert-item-from-calendar)
20795 (todo-insert-sort-button, todo-insert-with-overlays)
20796 (todo-insertion-command-name, todo-insertion-key-bindings)
20797 (todo-label-to-key, todo-longest-category-name-length)
20798 (todo-make-categories-list, todo-mode-external-set)
20799 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
20800 (todo-modes-set-3, todo-multiple-filter-files)
20801 (todo-nondiary-marker-matcher, todo-prefix-overlays)
20802 (todo-read-category, todo-read-date, todo-read-dayname)
20803 (todo-read-file-name, todo-read-time)
20804 (todo-reevaluate-category-completions-files-defcustom)
20805 (todo-reevaluate-default-file-defcustom)
20806 (todo-reevaluate-filelist-defcustoms)
20807 (todo-reevaluate-filter-files-defcustom)
20808 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
20809 (todo-reset-done-separator, todo-reset-done-separator-string)
20810 (todo-reset-done-string, todo-reset-global-current-todo-file)
20811 (todo-reset-highlight-item, todo-reset-nondiary-marker)
20812 (todo-reset-prefix, todo-set-categories)
20813 (todo-set-date-from-calendar, todo-set-show-current-file)
20814 (todo-set-top-priorities, todo-short-file-name)
20815 (todo-show-current-file, todo-sort, todo-time-string-matcher)
20816 (todo-total-item-counts, todo-update-buffer-list)
20817 (todo-update-categories-display, todo-update-categories-sexp)
20818 (todo-update-count, todo-validate-name, todo-y-or-n-p):
20820 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
20822 (todo-categories, todo-display, todo-edit, todo-faces)
20823 (todo-filtered): New defgroups.
20824 (todo-archived-only, todo-button, todo-category-string, todo-date)
20825 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
20826 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
20827 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
20828 (todo-add-item-if-new-category, todo-always-add-time-string)
20829 (todo-categories-align, todo-categories-archived-label)
20830 (todo-categories-category-label, todo-categories-diary-label)
20831 (todo-categories-done-label, todo-categories-number-separator)
20832 (todo-categories-todo-label, todo-categories-totals-label)
20833 (todo-category-completions-files, todo-completion-ignore-case)
20834 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
20835 (todo-done-separator-string, todo-done-string)
20836 (todo-files-function, todo-filter-done-items, todo-filter-files)
20837 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
20838 (todo-initial-category, todo-initial-file, todo-item-mark)
20839 (todo-legacy-date-time-regexp, todo-mode-line-function)
20840 (todo-nondiary-marker, todo-number-prefix)
20841 (todo-print-buffer-function, todo-show-current-file)
20842 (todo-show-done-only, todo-show-first, todo-show-with-done)
20843 (todo-skip-archived-categories, todo-top-priorities-overrides)
20844 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
20845 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
20847 (todo-category-done, todo-date-pattern, todo-date-string-start)
20848 (todo-diary-items-buffer, todo-done-string-start)
20849 (todo-filtered-items-buffer, todo-item-start)
20850 (todo-month-abbrev-array, todo-month-name-array)
20851 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
20852 (todo-top-priorities-buffer): New defconsts.
20853 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
20854 (todo-categories-with-marks, todo-category-string-face)
20855 (todo-comment-face, todo-comment-string, todo-current-todo-file)
20856 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
20857 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
20858 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
20859 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
20860 (todo-font-lock-keywords, todo-global-current-todo-file)
20861 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
20862 (todo-insertion-commands-args)
20863 (todo-insertion-commands-args-genlist)
20864 (todo-insertion-commands-names, todo-insertion-map)
20865 (todo-key-bindings-t, todo-key-bindings-t+a)
20866 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
20867 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
20868 (todo-nondiary-face, todo-print-buffer, todo-time-face)
20869 (todo-visited): New variables.
20871 2013-06-21 Glenn Morris <rgm@gnu.org>
20873 * play/cookie1.el (cookie-apropos): Add optional display argument.
20874 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
20875 (psychoanalyze-pinhead): Use cookie-doctor.
20877 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20879 * emacs-lisp/package.el (tar-get-file-descriptor)
20880 (tar--extract): Declare.
20882 2013-06-21 Eduard Wiebe <usenet@pusto.de>
20884 Extend flymake's warning predicate to be a function (bug#14217).
20885 * progmodes/flymake.el (flymake-warning-predicate): New.
20886 (flymake-parse-line): Use it.
20887 (flymake-warning-re): Make obsolete alias to
20888 `flymake-warning-predicate'.
20890 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
20892 * emacs-lisp/package.el (package-alist): Include obsolete packages.
20893 (package-obsolete-list): Remove.
20894 (package-activate): Remove min-version argument. Add `force' argument.
20895 Adjust to new package-alist format.
20896 (package-mark-obsolete): Remove.
20897 (package-unpack): Force reload of the package's autoloads.
20898 (package-installed-p): Check builtins if the installed package is not
20900 (package-initialize): Don't reset package-obsolete-list.
20901 Don't specify which package version to activate.
20902 (package-process-define-package, describe-package-1)
20903 (package-menu--generate): Adjust to new package-alist format.
20905 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20907 * allout-widgets.el (allout-widgets-mode-off)
20908 (allout-widgets-mode-on, allout-widgets-pre-command-business)
20909 (allout-widgets-post-command-business)
20910 (allout-widgets-after-copy-or-kill-function)
20911 (allout-widgets-after-undo-function, allout-test-range-overlaps)
20912 (allout-decorate-item-and-context)
20913 (allout-graphics-modification-handler): Fix typos in docstrings.
20914 (allout-get-or-create-parent-widget): Use `looking-at-p'.
20916 * cmuscheme.el (scheme-start-file): Doc fix.
20917 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
20918 (scheme-input-filter): Use `string-match-p'.
20920 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
20922 * dired-x.el: Use Dired consistently in docstrings.
20924 * dired.el: Use Dired consistently in docstrings.
20925 (dired-readin, dired-mode): Use `setq-local'.
20926 (dired-switches-alist): Make defvar-local.
20927 (dired-buffers-for-dir): Use `zerop'.
20928 (dired-safe-switches-p, dired-switches-escape-p)
20929 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
20930 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
20931 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
20932 (dired-goto-next-nontrivial-file): Use `string-match-p'.
20933 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
20934 (dired-toggle-marks, dired-mark-files-containing-regexp)
20935 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
20936 (dired-flag-auto-save-files, dired-flag-backup-files):
20937 Use `looking-at-p'.
20938 (dired-mark-files-regexp, dired-build-subdir-alist):
20939 Use `string-match-p', `looking-at-p'.
20941 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
20942 (direct-print-region-helper): Use `string-match-p'.
20944 2013-06-21 Leo Liu <sdl.web@gmail.com>
20946 * comint.el (comint-redirect-results-list-from-process):
20949 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
20951 * net/eww.el (eww-update-header-line-format): Quote % characters.
20953 2013-06-21 Glenn Morris <rgm@gnu.org>
20955 * play/cookie1.el (cookie): New custom group.
20956 (cookie-file): New option.
20957 (cookie-check-file): New function.
20958 (cookie): Make it interactive. Make start and end messages optional.
20959 Interactively, display the result. Default to cookie-file.
20960 (cookie-insert): Default to cookie-file.
20961 (cookie-snarf): Make start and end messages optional.
20962 Default to cookie-file. Use with-temp-buffer.
20963 (cookie-read): Rename from read-cookie.
20964 Make start and end messages optional. Default to cookie-file.
20965 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
20966 Do not autoload it.
20967 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
20968 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
20970 2013-06-21 Leo Liu <sdl.web@gmail.com>
20972 * progmodes/octave.el (octave-mode): Backward compatibility fix.
20974 2013-06-21 Glenn Morris <rgm@gnu.org>
20976 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
20978 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
20979 Daniel Hackney <dan@haxney.org>
20981 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
20982 Consolidate the single-file vs tarball code.
20983 (package-desc-suffix): New function.
20984 (package-desc-full-name): Don't bother inlining it.
20985 (package-load-descriptor): Return the new package-desc.
20986 (package-mark-obsolete): Remove unused arg `package'.
20987 (package-unpack): Make it work for single files as well.
20988 Make it update package-alist.
20989 (package--make-autoloads-and-stuff): Rename from
20990 package--make-autoloads-and-compile. Don't compile any more.
20991 (package--compile): New function.
20992 (package-generate-description-file): New function, extracted from
20993 package-unpack-single.
20994 (package-unpack-single): Remove.
20995 (package--with-work-buffer): Add indentation and debugging info.
20996 (package-download-single): Remove.
20997 (package-install-from-archive): Rename from package-download-tar, make
20998 it take a pkg-desc, and make it work for single files as well.
20999 (package-download-transaction): Simplify.
21000 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
21001 external tar program.
21002 (package-install-from-buffer): Remove `pkg-desc' argument.
21003 Use package-tar-file-info for tar-mode buffers.
21004 (package-install-file): Simplify accordingly.
21005 (package-archive-base): Change to take a pkg-desc.
21006 * tar-mode.el (tar--check-descriptor): New function, extracted from
21007 tar-get-descriptor.
21008 (tar-get-descriptor): Use it.
21009 (tar-get-file-descriptor): New function.
21010 (tar--extract): New function, extracted from tar-extract.
21011 (tar--extract): Use it.
21012 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
21013 case the summary uses non-ascii. Adjust to new calling convention of
21014 package-tar-file-info.
21016 2013-06-21 Leo Liu <sdl.web@gmail.com>
21018 * comint.el (comint-redirect-results-list-from-process):
21019 Fix random delay. (Bug#14681)
21021 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
21023 * profiler.el (profiler-format-number): Use log, not log10.
21025 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21027 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
21029 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
21031 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
21032 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
21034 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
21035 (AUTOGENEL): ... here.
21036 * emacs-lisp/cl-macs.el (cl--sublis): New function.
21037 (cl--defsubst-expand): Use it.
21039 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
21041 * subr.el (log10): Move here from C code, and declare as obsolete.
21042 All uses of (log10 X) replaced with (log X 10).
21044 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21046 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
21047 Declare with `defvar-local'.
21048 (tabulated-list-use-header-line, tabulated-list-entries)
21049 (tabulated-list-padding, tabulated-list-printer)
21050 (tabulated-list-sort-key): Declare with `defvar-local'.
21051 (tabulated-list-init-header, tabulated-list-print-fake-header):
21054 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
21056 * arc-mode.el (archive-mode): Add `archive-write-file' to
21057 `write-contents-functions' also for remote files. (Bug#14652)
21059 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21061 * cus-edit.el (custom-commands): Fix typos.
21062 (custom-display): Fix tooltip text.
21063 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
21064 Fix typos in docstrings.
21065 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
21066 (custom-unlispify-menu-entry, custom-magic-value-create)
21067 (custom-add-see-also, custom-group-value-create): Use ?\s.
21068 (custom-guess-type, customize-apropos, editable-field)
21069 (custom-face-value-create): Use `string-match-p'.
21070 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
21072 * custom.el (custom-load-symbol): Use `string-match-p'.
21074 * ansi-color.el: Convert to lexical binding.
21075 (ansi-colors): Fix URL.
21076 (ansi-color-context, ansi-color-context-region): Use defvar-local.
21077 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
21078 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
21080 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21082 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
21084 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
21086 2013-06-19 Tom Tromey <tromey@redhat.com>
21088 * net/eww.el (eww-top-url): Remove.
21089 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
21090 (eww-render): Set new variables. Don't set eww-top-url.
21091 (eww-handle-link): Handle "prev", "home", and "contents".
21092 Downcase the rel text.
21093 (eww-top-url): Choose best top URL.
21095 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21097 * net/eww.el: Rewrite to implement form elements "by hand" instead of
21098 relying in widget.el. Using widget.el leads to too many
21099 user interface inconsistencies.
21100 (eww-self-insert): Implement entering commands in text fields.
21101 (eww-process-text-input): New function to make text input field editing
21103 (eww-submit): Rewrite to use the new-style form methods.
21104 (eww-select-display): Display the correct selected item.
21105 (eww-change-select): Implement changing the select value.
21106 (eww-toggle-checkbox): Implement radio/checkboxes.
21107 (eww-update-field): Fix compilation error.
21108 (eww-tag-textarea): Implement <textarea>.
21110 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
21111 we don't shadow mode-specific bindings.
21113 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
21116 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
21118 2013-06-19 Glenn Morris <rgm@gnu.org>
21120 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
21122 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
21124 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
21127 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
21129 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21131 * net/browse-url.el (browse-url-browser-function):
21132 `eww-browse-url' has the right calling signature, `eww' does not.
21134 2013-06-19 Glenn Morris <rgm@gnu.org>
21136 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
21137 Only eval autoloaded macros.
21138 (byte-compile-autoload): Only give the macro warning for macros.
21140 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
21141 (ps-underlined-faces): Declare.
21143 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
21144 (speedbar-add-supported-extension): Declare.
21146 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
21147 Don't include a date stamp in the header of the generated file;
21148 it leads to needless differences between output files.
21150 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
21152 * net/secrets.el (secrets-struct-secret-content-type):
21153 Replace check of introspection data by a test call of "CreateItem".
21154 Some servers do not offer introspection.
21156 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
21158 * electric.el (electric-pair-mode): Improve interaction with
21159 electric-layout-mode.
21160 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
21161 (electric-pair-syntax): Use text-mode-syntax-table in comments
21163 (electric-pair--insert): New function.
21164 (electric-pair-post-self-insert-function): Use it and
21165 electric--after-char-pos.
21167 2013-06-19 Leo Liu <sdl.web@gmail.com>
21169 * progmodes/octave.el (octave-help): Fix regexp.
21171 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
21173 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
21174 (shr-table-horizontal-line): Allow nil as a value, and change the
21176 (shr-insert-table-ruler): Respect the nil value.
21178 2013-06-18 Tom Tromey <tromey@barimba>
21180 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
21182 (eww-open-file): New defun.
21183 (eww-render): Initialize new variables.
21184 (eww-display-html): Handle "link" and "a".
21185 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
21186 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
21187 (eww-back-url): Rename from eww-previous-url.
21188 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
21191 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
21193 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
21194 Distinguish ternary operator tokens from slash symbol and slash
21197 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
21199 Convert symbol prettification into minor mode and global minor mode.
21201 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
21202 `prog-prettify-symbols', and make a local defvar instead of defcustom.
21203 (prettify-symbols--keywords): Rename from
21204 `prog-prettify-symbols-alist' and make a local defvar.
21205 (prettify-symbols--compose-symbol): Rename from
21206 `prog--prettify-font-lock-compose-symbol'.
21207 (prettify-symbols--make-keywords): Rename from
21208 `prog-prettify-font-lock-symbols-keywords' and simplify.
21209 (prog-prettify-install): Remove.
21210 (prettify-symbols-mode): New minor mode, based on
21211 `prog-prettify-install'.
21212 (turn-on-prettify-symbols-mode): New function.
21213 (global-prettify-symbols-mode): New globalized minor mode.
21215 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
21216 * progmodes/cfengine.el (cfengine3-mode):
21217 * progmodes/perl-mode.el (perl-mode): Don't call
21218 `prog-prettify-install'; set `prettify-symbols-alist' instead.
21220 2013-06-18 Juri Linkov <juri@jurta.org>
21222 * files-x.el (modify-file-local-variable-message): New function.
21223 (modify-file-local-variable)
21224 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
21225 and call `modify-file-local-variable-message' when it's non-nil.
21226 (add-file-local-variable, delete-file-local-variable)
21227 (add-file-local-variable-prop-line)
21228 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
21229 and use it. (Bug#9820)
21231 2013-06-18 Juri Linkov <juri@jurta.org>
21233 * emulation/vi.el (vi-shell-op):
21234 * emulation/vip.el (vip-execute-com, ex-command):
21235 * emulation/viper-cmd.el (viper-exec-bang):
21236 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
21237 the call of `shell-command-on-region'. (Bug#14637)
21239 * simple.el (shell-command-on-region): Doc fix.
21241 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
21243 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
21246 2013-06-18 Glenn Morris <rgm@gnu.org>
21248 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
21250 * newcomment.el (comment-search-forward, comment-search-backward):
21251 Doc fix. (Bug#14376)
21253 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
21255 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
21256 (buffer-face-mode-invoke): Doc fix.
21258 2013-06-18 Matthias Meulien <orontee@gmail.com>
21260 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
21261 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
21263 2013-06-18 Glenn Morris <rgm@gnu.org>
21265 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
21266 Replace obsolete function generic-make-keywords with its expansion.
21268 * progmodes/python.el (ffap-alist): Declare.
21270 * textmodes/reftex.el (bibtex-mode-map): Declare.
21272 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
21274 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
21275 (package-unpack, package-unpack-single): Return the pkg-dir.
21276 (package-download-transaction): Use it to update package-alist.
21278 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
21280 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
21283 2013-06-17 Juri Linkov <juri@jurta.org>
21285 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
21287 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
21289 * emacs-lisp/package.el (package-load-descriptor):
21290 Remove `with-syntax-table' call, `read' doesn't need it.
21291 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
21293 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
21295 * startup.el (command-line): Expand package name returned by
21296 `package--description-file' (bug#14639).
21298 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
21300 * emacs-lisp/package.el (package-load-descriptor): Do not call
21301 `emacs-lisp-mode', just use its syntax table.
21303 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
21305 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
21306 `font-lock-extra-managed-props' if any prettifying keyword is added.
21307 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
21308 (prog-mode): Use `setq-local'.
21310 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
21312 * international/characters.el (standard-case-table): Set syntax of ?»
21313 and ?« to punctuation.
21315 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
21317 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
21318 Save relevant match data before calling `syntax-ppss' (bug#14595).
21320 2013-06-15 Juri Linkov <juri@jurta.org>
21322 * files-x.el (modify-file-local-variable-prop-line): Add local
21323 variables to the end of the existing comment on the first line.
21324 Use `file-auto-mode-skip' to skip interpreter magic line,
21325 and also skip XML declaration.
21327 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
21329 * startup.el (package--builtin-versions): New var.
21330 (package-subdirectory-regexp): Remove.
21331 (package--description-file): Hard code its value instead.
21333 * emacs-lisp/package.el: Don't activate packages older than builtin.
21334 (package-obsolete-list): Rename from package-obsolete-alist, and make
21335 it into a simple list of package-desc.
21336 (package-strip-version): Remove.
21337 (package-built-in-p): Use package--builtin-versions.
21338 (package-mark-obsolete): Simplify.
21339 (package-process-define-package): Mark it obsolete if older than the
21341 (package-handle-response): Use line-end-position.
21342 (package-read-archive-contents, package--download-one-archive):
21344 (package--add-to-archive-contents): Skip if older than the builtin or
21346 (package-menu-describe-package): Fix last change.
21347 (package-list-unversioned): New var.
21348 (package-menu--generate): Use it.
21350 * emacs-lisp/autoload.el: Manage package--builtin-versions.
21351 (autoload--insert-text, autoload--insert-cookie-text): New functions.
21352 (autoload-builtin-package-versions): New variable.
21353 (autoload-generate-file-autoloads): Use them.
21354 Remove the list of autoloaded functions/macros from the
21355 (autoload...) comments.
21357 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
21359 2013-06-15 Eli Zaretskii <eliz@gnu.org>
21361 * simple.el (line-move-partial): Don't jump to the next screen
21362 line as soon as it becomes visible. Instead, continue enlarging
21363 the vscroll until the portion of a tall screen line that's left on
21364 display is about the height of the frame's default font.
21367 2013-06-15 Glenn Morris <rgm@gnu.org>
21369 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
21370 compilation-error-regexp-alist void, or local while let-bound.
21372 * progmodes/make-mode.el (makefile-mode-syntax-table):
21373 Treat "=" as punctuation. (Bug#14614)
21375 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
21377 * help-fns.el (describe-variable):
21378 Add extra line for permanent-local variables.
21380 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
21382 * progmodes/scheme.el (scheme-font-lock-keywords-2):
21383 Add export, import, library. (Bug#9164)
21384 (library): Set indent function.
21386 2013-06-14 Glenn Morris <rgm@gnu.org>
21388 * term/xterm.el (xterm--query):
21389 Stop after first matching handler. (Bug#14615)
21391 2013-06-14 Ivan Kanis <ivan@kanis.fr>
21393 Add support for dired in saveplace.
21394 * dired.el (dired-initial-position-hook): New variable.
21395 (dired-initial-position): Call hook to place cursor position.
21396 * saveplace.el (save-place-to-alist): Add dired position.
21397 (save-place-dired-hook): New function.
21399 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
21401 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
21402 through a symbol rather than letrec.
21404 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
21405 (package-desc): Add `dir' field.
21406 (package-desc-full-name): New function.
21407 (package-load-descriptor): Combine the two arguments. Don't use `load'.
21408 (package-maybe-load-descriptor): Remove.
21409 (package-load-all-descriptors): Just call package-load-descriptor.
21410 (package--disabled-p): New function.
21411 (package-desc-vers, package-desc-doc): Remove aliases.
21412 (package--dir): Remove function.
21413 (package-activate): Check if a package is disabled.
21414 (package-process-define-package): New function, extracted from
21416 (define-package): Turn into a place holder.
21417 (package-unpack-single, package-tar-file-info):
21418 Use package--description-file.
21419 (package-compute-transaction): Use package--disabled-p.
21420 (package-download-transaction): Don't call
21421 package-maybe-load-descriptor since they're all loaded anyway.
21422 (package-install): Change argument to be a pkg-desc.
21423 (package-delete): Use a single pkg-desc argument.
21424 (describe-package-1): Use package-desc-dir instead of package--dir.
21425 Use package-desc property instead of package-symbol.
21426 (package-install-button-action): Adjust accordingly.
21427 (package--push): Rewrite.
21428 (package-menu--print-info): Adjust accordingly. Change the ID format
21430 (package-menu-describe-package, package-menu-get-status)
21431 (package-menu--find-upgrades, package-menu-mark-upgrades)
21432 (package-menu-execute, package-menu--name-predicate):
21433 Adjust accordingly.
21434 * startup.el (package--description-file): New function.
21435 (command-line): Use it.
21436 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21437 Use package-desc-version.
21439 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
21440 (byte-compile-preprocess): Use it.
21441 (byte-compile-file-form-defalias): Try a bit harder to use macros we
21442 can't quite recognize.
21443 (byte-compile-add-to-list): Remove.
21444 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
21445 (cconv-closure-convert): Add assertion.
21447 * emacs-lisp/map-ynp.el: Use lexical-binding.
21448 (map-y-or-n-p): Remove unused vars `tail' and `object'.
21449 Factor out some repeated code.
21451 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
21453 * subr.el (with-eval-after-load): New macro.
21454 (eval-after-load): Allow form to be a function.
21455 take advantage of lexical-binding.
21456 (do-after-load-evaluation): Use dolist and adjust to new format.
21457 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
21459 2013-06-13 Juri Linkov <juri@jurta.org>
21461 * replace.el (perform-replace): Display "symbol " and other search
21462 modes from `isearch-message-prefix' in the *Help* buffer.
21464 * isearch.el (isearch-query-replace): Add " symbol" and other
21465 possible search modes from `isearch-message-prefix' to the prompt.
21466 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
21467 when reading a regexp to collect.
21469 2013-06-13 Juri Linkov <juri@jurta.org>
21471 * isearch.el (word-search-regexp): Match whitespace if the search
21472 string begins or ends in whitespace. The LAX arg is applied to
21473 both ends of the search string. Use `regexp-quote' and explicit
21474 \< and \> instead of \b. Use \` and \' instead of ^ and $.
21475 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
21476 boundaries are replaced with symbol boundaries, and characters
21477 between symbols match non-word non-symbol syntax. (Bug#14602)
21479 2013-06-13 Juri Linkov <juri@jurta.org>
21481 * isearch.el (isearch-del-char): Don't exceed the length of
21482 `isearch-string' by the prefix arg. (Bug#14563)
21484 2013-06-13 Juri Linkov <juri@jurta.org>
21486 * isearch.el (isearch-yank-word, isearch-yank-line)
21487 (isearch-char-by-name, isearch-quote-char)
21488 (isearch-printing-char, isearch-process-search-char):
21489 Add optional count prefix arg. (Bug#14563)
21491 * international/isearch-x.el
21492 (isearch-process-search-multibyte-characters):
21493 Add optional count prefix arg.
21495 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
21497 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
21498 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
21501 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
21503 * subr.el (set-temporary-overlay-map): Add on-exit argument.
21505 2013-06-13 Glenn Morris <rgm@gnu.org>
21507 * startup.el (tty-handle-args):
21508 Don't just discard "--" and anything after. (Bug#14608)
21510 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
21512 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
21514 Implement changes in Secret Service API. Make it backward compatible.
21515 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
21516 (secrets-create-item): Use it. Prefix properties with interface.
21518 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
21520 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
21521 (term-emulate-terminal): Respect term-suppress-hard-newline.
21523 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
21525 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
21526 Only remove a `thumb-file' overlay. (Bug#14548)
21528 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
21530 * mail/reporter.el (reporter-submit-bug-report):
21531 Handle missing package-name. (Bug#14600)
21533 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21535 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
21536 (reftex-citation-prompt, reftex-default-bibliography)
21537 (reftex-bib-or-thebib, reftex-get-bibfile-list)
21538 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
21539 (reftex-bib-sort-author, reftex-bib-sort-year)
21540 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
21541 (reftex-extract-bib-entries-from-thebibliography)
21542 (reftex-get-bibkey-default, reftex-get-bib-names)
21543 (reftex-parse-bibtex-entry, reftex-get-bib-field)
21544 (reftex-format-bib-entry, reftex-parse-bibitem)
21545 (reftex-format-bibitem, reftex-do-citation)
21546 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
21547 (reftex-restrict-bib-matches, reftex-extract-bib-file)
21548 (reftex-insert-bib-matches, reftex-format-citation)
21549 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
21550 (reftex-create-bibtex-file): Add docstrings, mostly by converting
21551 existing comments into docstrings.
21553 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
21555 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
21557 2013-06-12 Andreas Schwab <schwab@suse.de>
21559 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
21560 for auto-save files.
21562 2013-06-12 Glenn Morris <rgm@gnu.org>
21564 * ido.el (ido-delete-ignored-files): Remove.
21565 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
21566 Go back to calling ido-ignore-item-p directly.
21568 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
21570 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
21572 * ido.el (ido-delete-ignored-files): New function,
21573 split from ido-make-file-list-1.
21574 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
21575 (ido-make-file-list-1): Use ido-delete-ignored-files.
21577 2013-06-12 Leo Liu <sdl.web@gmail.com>
21579 * progmodes/octave.el (inferior-octave-startup)
21580 (inferior-octave-completion-table)
21581 (inferior-octave-track-window-width-change)
21582 (octave-eldoc-function-signatures, octave-help)
21583 (octave-find-definition): Use single quoted strings.
21584 (inferior-octave-startup-args): Change default value.
21585 (inferior-octave-startup): Do not hard code "-i" and
21586 "--no-line-editing".
21587 (inferior-octave-resync-dirs): Add optional arg NOERROR.
21588 (inferior-octave-directory-tracker): Use it.
21589 (octave-goto-function-definition): Robustify.
21590 (octave-help): Support highlighting operators in 'See also'.
21591 (octave-find-definition): Find subfunctions only in Octave mode.
21593 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
21595 * help-fns.el (help-fns--compiler-macro): If the handler function is
21596 named, then put a link to it.
21597 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
21598 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
21599 (cl-typep): Use it.
21600 (cl-eval-when): Simplify debug spec.
21601 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
21602 compiler-macro function instead of setting `compiler-macro-file'.
21604 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
21606 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
21607 * vc/vc-hooks.el (vc-stay-local): Doc fix.
21609 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
21610 Daniel Hackney <dan@haxney.org>
21612 First part of Daniel Hackney's patch to package.el.
21613 * emacs-lisp/package.el: Use defstruct.
21614 (package-desc): New, main struct.
21615 (package--bi-desc, package--ac-desc): New structs, used to describe the
21616 format in external files.
21617 (package-desc-vers): Replace with package-desc-version accessor.
21618 (package-desc-doc): Replace with package-desc-summary accessor.
21619 (package-activate-1): Remove `package' arg since the pkg-vec now
21621 (define-package): Use package-desc-from-define.
21622 (package-unpack-single): Change file-name arg to be a symbol.
21623 (package--add-to-archive-contents): Use package-desc-create and new
21624 accessor functions to package--ac-desc.
21625 (package-buffer-info, package-tar-file-info): Return a package-desc.
21626 (package-install-from-buffer): Remove `type' argument. Change pkg-info
21627 arg to be a package-desc.
21628 (package-install-file): Adjust accordingly. Use \' to match EOS.
21629 (package--from-builtin): New function.
21630 (describe-package-1, package-menu--generate): Use it.
21631 (package--make-autoloads-and-compile): Change name arg to be a symbol.
21632 (package-generate-autoloads): Idem and return the name of the file.
21633 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21634 Change pkg-info arg to be a package-desc.
21635 Use package-make-ac-desc.
21636 (package-upload-file): Use \' to match EOS.
21637 * finder.el (finder-compile-keywords): Use package-make-builtin.
21639 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
21641 * vc/vc.el (vc-deduce-fileset): Change error message.
21642 (vc-read-backend): New function.
21643 (vc-next-action): Use it.
21645 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
21647 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
21648 (prolog-font-lock-keywords): Use regexp-opt instead.
21649 Don't manually highlight strings.
21650 (prolog-mode-variables): Simplify comment-start-skip.
21651 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
21653 * emacs-lisp/generic.el (generic--normalise-comments)
21654 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
21655 (generic-mode-set-comments): Use them.
21656 (generic-bracket-support): Use setq-local.
21657 (generic-make-keywords-list): Declare obsolete.
21659 2013-06-11 Glenn Morris <rgm@gnu.org>
21661 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
21662 Prettify after setting font-lock-defaults. (Bug#14574)
21664 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
21666 * replace.el (query-replace, occur-read-regexp-defaults-function)
21668 * subr.el (declare-function, number-sequence, local-set-key)
21669 (substitute-key-definition, locate-user-emacs-file)
21670 (with-silent-modifications, split-string, eval-after-load):
21671 Fix typos, remove unneeded backslashes and reflow some docstrings.
21673 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
21675 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
21676 default for Elisp files.
21678 2013-06-11 Glenn Morris <rgm@gnu.org>
21680 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
21681 although define-derived-mode was doing this anyway. (Bug#14583)
21683 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
21685 * allout.el (allout-encryption-plaintext-sanitization-regexps):
21686 Fix make-variable-buffer-local call to refer to the correct variable.
21688 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
21690 * eshell/em-term.el (eshell-visual-commands)
21691 (eshell-visual-subcommands, eshell-visual-options):
21692 Add summary line to docstrings. Add cross-references.
21694 2013-06-10 Glenn Morris <rgm@gnu.org>
21696 * epa.el (epa-read-file-name): New function. (Bug#14510)
21697 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
21699 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
21701 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
21702 output redirection to be ignored with visual commands.
21704 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
21706 * eshell/em-term.el (eshell-visual-command-p): New function.
21707 (eshell-term-initialize): Move long lambda to separate function
21708 eshell-visual-command-p.
21709 * eshell/em-dirs.el (eshell-dirs-initialize):
21710 * eshell/em-script.el (eshell-script-initialize):
21711 Add missing #' to lambda.
21713 2013-06-08 Leo Liu <sdl.web@gmail.com>
21715 * progmodes/octave.el (octave-add-log-current-defun): New function.
21716 (octave-mode): Set add-log-current-defun-function.
21717 (octave-goto-function-definition): Do not move point if not found.
21718 (octave-find-definition): Enhance to try subfunctions first.
21720 2013-06-08 Glenn Morris <rgm@gnu.org>
21722 * emacs-lisp/bytecomp.el (byte-compile-char-before)
21723 (byte-compile-backward-char, byte-compile-backward-word):
21724 Improve previous change, to handle non-explicit nil.
21726 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
21728 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
21729 (smie--opener/closer-at-point): New function.
21730 (smie--matching-block-data): Use it. Don't match from right after an
21731 opener or right before a closer. Obey smie-blink-matching-inners.
21732 Don't signal a mismatch for repeated inners like "switch..case..case".
21734 2013-06-07 Leo Liu <sdl.web@gmail.com>
21736 * progmodes/octave.el (octave-mode): Set comment-use-global-state
21738 (octave-function-header-regexp): Fix. (Bug#14570)
21739 (octave-help-mode-finish-hook, octave-help-mode-finish):
21740 Remove. Just use temp-buffer-show-hook.
21742 * newcomment.el (comment-search-backward): Revert last change.
21745 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
21747 2013-06-07 Eli Zaretskii <eliz@gnu.org>
21749 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
21750 through xargs, to avoid failure due to MS-Windows limitations on
21751 command-line length.
21753 2013-06-06 Glenn Morris <rgm@gnu.org>
21755 * font-lock.el (lisp-font-lock-keywords-2):
21756 Treat user-error like error.
21758 * emacs-lisp/bytecomp.el (byte-compile-char-before)
21759 (byte-compile-backward-char, byte-compile-backward-word):
21760 Handle explicit nil arguments. (Bug#14565)
21762 2013-06-05 Alan Mackenzie <acm@muc.de>
21764 * isearch.el (isearch-allow-prefix): New user option.
21765 (isearch-other-meta-char): Don't exit isearch when a prefix
21766 argument is typed whilst `isearch-allow-prefix' is non-nil.
21769 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21771 * autorevert.el (auto-revert-notify-handler): Use memq.
21772 Hide assertion failure.
21774 * skeleton.el: Use cl-lib.
21775 (skeleton-further-elements): Use defvar-local.
21776 (skeleton-insert): Use cl-progv.
21778 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21780 * progmodes/prog-mode.el (prog-prettify-symbols)
21781 (prog-prettify-install): Update docstrings.
21783 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21785 * simple.el: Move all the prog-mode code to prog-mode.el.
21786 * progmodes/prog-mode.el: New file.
21787 * loadup.el: Add prog-mode.el.
21789 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21791 * simple.el (prog-prettify-symbols): Add version.
21792 (prog-prettify-install): Add convenience function to prettify symbols.
21794 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
21795 (perl--augmented-font-lock-keywords-1)
21796 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
21797 variables and use it.
21799 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
21800 (cfengine3-mode): Remove unneeded variable and use it.
21802 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
21803 (lisp--augmented-font-lock-keywords-1)
21804 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
21805 Remove unneeded variables and use it.
21807 2013-06-05 João Távora <joaotavora@gmail.com>
21809 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
21810 to point when opening the connection. (Bug#14380)
21812 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21814 * subr.el (load-history-regexp, load-history-filename-element)
21815 (eval-after-load, after-load-functions, do-after-load-evaluation)
21816 (eval-next-after-load, display-delayed-warnings)
21817 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
21818 definition of save-match-data.
21819 (overriding-local-map): Remove accidental obsolescence declaration.
21821 * emacs-lisp/edebug.el (edebug-result): Move before first use.
21823 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21825 Generalize symbol prettify support to prog-mode and implement it
21826 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
21827 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
21828 (prog--prettify-font-lock-compose-symbol)
21829 (prog-prettify-font-lock-symbols-keywords): New variables and
21830 functions to support symbol prettification.
21831 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
21832 (lisp--augmented-font-lock-keywords-1)
21833 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
21834 (lisp--prettify-symbols-alist): Implement prettify of lambda.
21835 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
21836 (cfengine3--prettify-symbols-alist, cfengine3-mode):
21837 Implement prettify of -> => :: strings.
21838 * progmodes/perl-mode.el (perl-prettify-symbols)
21839 (perl--font-lock-compose-symbol)
21840 (perl--font-lock-symbols-keywords): Move to prog-mode.
21841 (perl--prettify-symbols-alist): Prettify -> => :: strings.
21842 (perl-font-lock-keywords-1)
21843 (perl-font-lock-keywords-2): Remove explicit prettify support.
21844 (perl--augmented-font-lock-keywords)
21845 (perl--augmented-font-lock-keywords-1)
21846 (perl--augmented-font-lock-keywords-2, perl-mode):
21847 Implement prettify support.
21849 2013-06-05 Leo Liu <sdl.web@gmail.com>
21851 Re-implement SMIE matching block highlight using
21852 show-paren-data-function. (Bug#14395)
21853 * emacs-lisp/smie.el (smie-matching-block-highlight)
21854 (smie--highlight-matching-block-overlay)
21855 (smie--highlight-matching-block-lastpos)
21856 (smie-highlight-matching-block)
21857 (smie-highlight-matching-block-mode): Remove.
21858 (smie--matching-block-data-cache): New variable.
21859 (smie--matching-block-data): New function.
21860 (smie-setup): Use smie--matching-block-data for
21861 show-paren-data-function.
21863 * progmodes/octave.el (octave-mode-menu): Fix.
21864 (octave-find-definition): Skip garbage lines.
21866 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21868 Fix compilation error with simultaneous dynamic+lexical scoping.
21869 Add warning when a defvar appears after the first let-binding.
21870 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
21871 (byte-compile-close-variables): Initialize it.
21872 (byte-compile--declare-var): New function.
21873 (byte-compile-file-form-defvar)
21874 (byte-compile-file-form-define-abbrev-table)
21875 (byte-compile-file-form-custom-declare-variable): Use it.
21876 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
21877 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
21878 (byte-compile-bind): Handle dynamic bindings that shadow
21880 (byte-compile-unbind): Make arg non-optional.
21881 (byte-compile-let): Simplify.
21882 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
21883 (cconv--analyse-function, cconv-analyse-form): Populate it.
21884 Protect byte-compile-bound-variables to limit the scope of defvars.
21885 (cconv-analyse-form): Add missing rule for (defvar <foo>).
21886 Remove unneeded rule for `declare'.
21888 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
21889 so as to avoid depending on cl-adjoin at run-time.
21890 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
21892 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
21893 (macroexp--warn-and-return): Use it.
21895 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21897 * subr.el: Convert to lexical binding.
21898 (overriding-local-map): Make obsolete.
21899 (add-to-list): Doc fix. Add compiler macro.
21900 (read-key): Swap values of local maps.
21902 2013-06-05 Leo Liu <sdl.web@gmail.com>
21904 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
21906 2013-06-04 Leo Liu <sdl.web@gmail.com>
21908 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
21909 (compilation-auto-jump): Suppress the "Mark set" message to give
21910 way to exit message.
21912 2013-06-04 Alan Mackenzie <acm@muc.de>
21914 Remove faulty optimization from indentation calculation.
21915 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
21916 search limit based on 2000 characters back from indent-point.
21918 2013-06-03 Tassilo Horn <tsdh@gnu.org>
21920 * eshell/em-term.el (cl-lib): Require `cl-lib'.
21922 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
21924 * emacs-lisp/lisp.el: Use lexical-binding.
21925 (lisp--local-variables-1, lisp--local-variables): New functions.
21926 (lisp--local-variables-completion-table): New var.
21927 (lisp-completion-at-point): Use it complete let-bound vars.
21929 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
21930 eagerly (bug#14422).
21932 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
21934 * autorevert.el (auto-revert-notify-enabled)
21935 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
21936 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
21937 (auto-revert-notify-handler): Handle also gfilenotify.
21939 * subr.el (file-notify-handle-event): New defun. Replacing ...
21940 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
21943 2013-06-03 Juri Linkov <juri@jurta.org>
21945 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
21946 `M-s h .'. (Bug#14427)
21948 * hi-lock.el (highlight-symbol-at-point): New alias for the new
21949 command `hi-lock-face-symbol-at-point'.
21950 (hi-lock-face-symbol-at-point): New command.
21951 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
21952 (hi-lock-menu): Add `highlight-symbol-at-point'.
21953 (hi-lock-mode): Doc fix.
21955 * isearch.el (isearch-forward-symbol-at-point): New command.
21956 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
21957 (isearch-highlight-regexp): Add a regexp which matches
21958 words/symbols for word/symbol mode.
21960 * subr.el (find-tag-default-bounds): New function with the body
21961 mostly moved from `find-tag-default'.
21962 (find-tag-default): Move most code to `find-tag-default-bounds',
21963 call it and apply `buffer-substring-no-properties' afterwards.
21965 2013-06-03 Tassilo Horn <tsdh@gnu.org>
21967 * eshell/em-term.el (eshell-term-initialize):
21968 Use `cl-intersection' rather than `intersection'.
21970 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
21972 * vc/log-view.el: Doc fix.
21973 (log-view-mode-map): Copy keymap from `special-mode-map'.
21975 2013-06-02 Eric Ludlam <zappo@gnu.org>
21977 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
21978 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
21979 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
21980 (eieio-unbound, eieio-default-superclass)
21981 (eieio--define-field-accessors, method-static, method-before)
21982 (method-primary, method-after, method-num-lists)
21983 (method-generic-before, method-generic-primary)
21984 (method-generic-after, method-num-slots)
21985 (eieio-specialized-key-to-generic-key)
21986 (eieio--check-type, class-v, class-p)
21987 (eieio-class-name, define-obsolete-function-alias)
21988 (eieio-class-parents-fast, eieio-class-children-fast)
21989 (same-class-fast-p, class-constructor, generic-p)
21990 (generic-primary-only-p, generic-primary-only-one-p)
21991 (class-option-assoc, class-option, eieio-object-p)
21992 (class-abstract-p, class-method-invocation-order)
21993 (eieio-defclass-autoload-map, eieio-defclass-autoload)
21994 (eieio-class-un-autoload, eieio-defclass)
21995 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
21996 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
21997 (eieio--defgeneric-init-form, eieio-defgeneric-form)
21998 (eieio-defgeneric-reset-generic-form)
21999 (eieio-defgeneric-form-primary-only)
22000 (eieio-defgeneric-reset-generic-form-primary-only)
22001 (eieio-defgeneric-form-primary-only-one)
22002 (eieio-defgeneric-reset-generic-form-primary-only-one)
22003 (eieio-unbind-method-implementations)
22004 (eieio--defmethod, eieio--typep)
22005 (eieio-perform-slot-validation, eieio-validate-slot-value)
22006 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
22007 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
22008 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
22009 (eieio-slot-name-index, eieio-class-slot-name-index)
22010 (eieio-set-defaults, eieio-initarg-to-attribute)
22011 (eieio-attribute-to-initarg, eieio-c3-candidate)
22012 (eieio-c3-merge-lists, eieio-class-precedence-c3)
22013 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
22014 (eieio-class-precedence-list, eieio-generic-call-methodname)
22015 (eieio-generic-call-arglst, eieio-generic-call-key)
22016 (eieio-generic-call-next-method-list)
22017 (eieio-pre-method-execution-functions, eieio-generic-call)
22018 (eieio-generic-call-primary-only, eieiomt-method-list)
22019 (eieiomt-optimizing-obarray, eieiomt-install)
22020 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
22021 (eieio-generic-form, eieio-defmethod, make-obsolete)
22022 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
22023 (defclass): Remove `eval-and-compile' from macro.
22024 (call-next-method, shared-initialize): Instead of using
22025 `scoped-class' variable, use new eieio--scoped-class, and
22026 eieio--with-scoped-class.
22027 (initialize-instance): Rename local variable 'scoped-class' to
22028 'this-class' to remove ambiguitity from old global.
22030 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
22032 (eieio--scoped-class-stack): New variable.
22033 (eieio--scoped-class): New fcn.
22034 (eieio--with-scoped-class): New scoping macro.
22035 (eieio-defclass): Use pushnew instead of add-to-list.
22036 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
22037 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
22038 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
22039 `scoped-class' variable, use new eieio--scoped-class, and
22040 eieio--with-scoped-class.
22042 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
22044 2013-06-02 Tassilo Horn <tsdh@gnu.org>
22046 * eshell/esh-ext.el (eshell-external-command): Pass args to
22047 `eshell-find-interpreter'.
22048 (eshell-find-interpreter): Add new second parameter ARGS.
22050 * eshell/em-script.el (eshell-script-initialize): Add second arg
22051 to the function added as MATCH to `eshell-interpreter-alist'.
22053 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
22054 the function added as MATCH to `eshell-interpreter-alist'.
22056 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
22057 (eshell-visual-options): New defcustom.
22058 (eshell-escape-control-x): Adapt docstring.
22059 (eshell-term-initialize): Test `eshell-visual-subcommands' and
22060 `eshell-visual-options' in addition to `eshell-visual-commands'.
22061 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
22063 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
22065 * progmodes/python.el (python-indent-block-enders): Add break,
22066 continue and raise keywords.
22068 2013-06-01 Glenn Morris <rgm@gnu.org>
22070 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
22072 Plain (f)boundp silences compilation warnings since Emacs 22.1.
22073 * progmodes/cc-cmds.el (delete-forward-p):
22074 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
22075 * progmodes/cc-engine.el (buffer-syntactic-context):
22076 * progmodes/cc-fonts.el (face-property-instance):
22077 * progmodes/cc-mode.el (set-keymap-parents):
22078 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
22079 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
22080 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
22081 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
22082 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
22084 * progmodes/cc-vars.el (other): Emacs has this widget since
22085 at least 21.1, so don't (re)define it.
22087 * eshell/em-cmpl.el (eshell-cmpl-initialize):
22088 Replace the obsolete alias pcomplete-arg-quote-list.
22090 2013-06-01 Leo Liu <sdl.web@gmail.com>
22092 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
22093 punctuation syntax.
22094 (inferior-octave-minimal-columns)
22095 (inferior-octave-last-column-width): New variables.
22096 (inferior-octave-track-window-width-change): New function.
22097 (inferior-octave-mode): Adjust column width so that Octave output,
22098 for example from 'ls', can fit into the window nicely.
22100 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22102 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22103 Highlight expansions inside regexp literals.
22105 2013-05-31 Glenn Morris <rgm@gnu.org>
22107 * obsolete/sym-comp.el (symbol-complete):
22108 Replace obsolete completion-annotate-function.
22110 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
22112 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22114 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22115 New function, checks if point is inside a literal that allows
22116 expression expansion.
22117 (ruby-syntax-propertize-expansion): Use it.
22118 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
22121 2013-05-30 Juri Linkov <juri@jurta.org>
22123 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
22125 (isearch-invisible): New variable.
22126 (isearch-forward): Doc fix.
22127 (isearch-mode): Set `isearch-invisible'
22128 to the value of `search-invisible'.
22129 (isearch-toggle-case-fold): Doc fix.
22130 (isearch-toggle-invisible): New command.
22131 (isearch-query-replace): Let-bind `search-invisible'
22132 to the value of `isearch-invisible'.
22133 (isearch-search): Use `isearch-invisible' instead of
22134 `search-invisible'. Let-bind `search-invisible'
22135 to the value of `isearch-invisible'. (Bug#11378)
22137 2013-05-30 Juri Linkov <juri@jurta.org>
22139 * replace.el (perform-replace): Avoid `isearch-range-invisible'
22140 call when `query-flag' is nil and `search-invisible' is non-nil.
22143 2013-05-30 Glenn Morris <rgm@gnu.org>
22145 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
22147 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
22148 (cc-require): Suppress spurious "noruntime" warnings.
22149 (cc-require-when-compile): Use fboundp, for sake of compiler.
22151 * progmodes/cc-mode.el: Move load of cc-vars before that of
22152 cc-langs (which in turn loads cc-vars), to quieten compiler.
22154 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
22156 * paren.el: Simplify the code.
22157 (show-paren-mode): Always start the timer.
22158 (show-paren--idle-timer): Rename from show-paren-idle-timer.
22159 (show-paren--overlay, show-paren--overlay-1): Rename from
22160 show-paren-overlay and show-paren-overlay-1, and initialize to an
22161 overlay rather than to nil.
22162 (show-paren-function): Misc cleanup and simplifications.
22164 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
22166 * paren.el (show-paren-data-function): New hook.
22167 (show-paren--default): New function, extracted from show-paren-function.
22168 (show-paren-function): Use show-paren-data-function.
22170 2013-05-30 Glenn Morris <rgm@gnu.org>
22172 * ielm.el (ielm-map, ielm-complete-symbol):
22173 Use completion-at-point rather than obsolete functions.
22174 (inferior-emacs-lisp-mode): Doc fix.
22175 Set completion-at-point-functions, rather than
22176 comint-dynamic-complete-functions.
22178 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
22179 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
22180 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
22182 * image.el (image-animated-p): Tweak definition.
22184 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
22185 (rlogin-process-connection-type): Tweak default. Add set-after.
22186 (rlogin-host): Doc fix.
22187 (rlogin): Tweak prompt.
22188 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
22190 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
22191 * progmodes/tcl.el (inferior-tcl-mode-map):
22192 Use completion-at-point rather than obsolete alias.
22194 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
22196 * minibuffer.el (read-file-name-completion-ignore-case):
22197 Move before completion--in-region, for eager macro expansion.
22199 2013-05-29 Juri Linkov <juri@jurta.org>
22201 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
22202 for total count of matching lines. Add `global-matches' for total
22203 count of matches. Rename `matches' to `lines' for count of
22204 matching lines. Add `matches' for count of matches.
22205 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
22206 to `prev-line' for line number of prev match endpt.
22207 Increment `matches' for every match. Print the number of
22208 matching lines in the header.
22209 (occur-context-lines): Rename `lines' to `curr-line'.
22210 Rename `prev-lines' to `prev-line'. (Bug#14017)
22212 2013-05-29 Juri Linkov <juri@jurta.org>
22214 * replace.el (perform-replace): Add `skip-read-only-count',
22215 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
22216 Increment them for corresponding conditions and report the number
22217 of skipped occurrences in the final message. (Bug#11746)
22218 (query-replace, query-replace-regexp, query-replace-regexp-eval)
22219 (replace-string, replace-regexp): Doc fix.
22221 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
22223 * emacs-lisp/trace.el (trace--read-args): Provide a default.
22225 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
22226 prog-mode-map (bug#14504).
22228 2013-05-29 Leo Liu <sdl.web@gmail.com>
22230 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
22231 (octave-help): Small simplification.
22233 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
22234 off the highlight first.
22236 2013-05-29 Glenn Morris <rgm@gnu.org>
22238 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
22239 Handle idlwave-last-system-routine-info-cons-cell being nil.
22241 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
22242 (idlwave-write-paths): Simplify via with-temp-buffer.
22244 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
22245 * emulation/cua-rect.el: Also load cua-base at run time.
22247 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
22248 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
22249 (cperl-imenu-on-info): Require imenu.
22251 2013-05-28 Alan Mackenzie <acm@muc.de>
22253 Handle "capitalised keywords" correctly.
22254 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
22256 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
22258 * eshell/em-unix.el: Add -r option to cp.
22260 2013-05-28 Glenn Morris <rgm@gnu.org>
22262 * vc/vc-arch.el (vc-exec-after): Declare.
22263 (vc-switches): Autoload.
22264 * vc/vc-bzr.el: No need to require vc when compiling.
22265 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
22266 (vc-resynch-buffer, vc-dir-refresh): Declare.
22267 (vc-setup-buffer, vc-switches): Autoload.
22268 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
22269 (vc-resynch-buffer): Declare.
22270 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
22271 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
22272 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
22273 (grep-read-regexp, grep-read-files, grep-expand-template)
22274 (vc-dir-refresh): Declare.
22275 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
22276 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
22277 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
22278 * vc/vc-mtn.el (vc-exec-after): Declare.
22279 (vc-switches): Autoload.
22280 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
22281 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
22282 (vc-file-tree-walk): Declare.
22283 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
22284 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
22285 (vc-tag-precondition, vc-rename-master): Autoload.
22286 * vc/vc-svn.el (vc-exec-after): Declare.
22287 (vc-switches, vc-setup-buffer): Autoload.
22288 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
22290 (vc-resynch-buffer): Declare.
22292 * obsolete/fast-lock.el (byte-compile-warnings):
22293 Don't warn about obsolete features in this obsolete file.
22295 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
22296 Move definition before use.
22298 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
22299 (dun-unix-verbs): Remove dun-zippy.
22300 (dun-zippy): Remove function.
22302 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
22304 2013-05-27 Juri Linkov <juri@jurta.org>
22306 * replace.el (replace-search): New function with code moved out
22307 from `perform-replace'.
22308 (replace-highlight, replace-dehighlight): Move function definitions
22309 up closer to `replace-search'. (Bug#11746)
22311 2013-05-27 Juri Linkov <juri@jurta.org>
22313 * replace.el (perform-replace): Ignore invisible matches.
22314 In addition to checking `query-replace-skip-read-only', also
22315 filter out matches by calling `run-hook-with-args-until-failure'
22316 on `isearch-filter-predicates', and also check `search-invisible'
22317 for t or call `isearch-range-invisible'.
22318 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
22320 2013-05-27 Juri Linkov <juri@jurta.org>
22322 * isearch.el (isearch-filter-predicates): Rename from
22323 `isearch-filter-predicate'. Doc fix. (Bug#11378)
22324 (isearch-message-prefix): Display text from the property
22325 `isearch-message-prefix' of the currently active filters.
22326 (isearch-search): Don't compare `isearch-filter-predicate' with
22327 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
22328 on `isearch-filter-predicates'. Also check `search-invisible' for t
22329 or call `isearch-range-invisible'.
22330 (isearch-filter-visible): Make obsolete.
22331 (isearch-lazy-highlight-search):
22332 Call `run-hook-with-args-until-failure' on
22333 `isearch-filter-predicates' and use `isearch-range-invisible'.
22335 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
22336 `isearch-filter-predicates' instead of `funcall'ing
22337 `isearch-filter-predicate'.
22338 (Info-mode): Set `Info-isearch-filter' to
22339 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
22341 * dired-aux.el (dired-isearch-filter-predicate-orig):
22343 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
22344 (dired-isearch-filenames-end): Add and remove
22345 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
22346 instead of changing the value of `isearch-filter-predicate'.
22347 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
22348 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
22349 Put property `isearch-message-prefix' to "filename " on
22350 `dired-isearch-filter-filenames'.
22352 * wdired.el (wdired-change-to-wdired-mode):
22353 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
22354 locally instead of changing `isearch-filter-predicate'.
22355 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
22357 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
22359 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
22360 return the commit hash (Bug#14459). Also set the
22361 `vc-git-detached' property.
22362 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
22363 (vc-git-mode-line-string): Use the same help-echo format whether
22364 in detached mode or not, because we know the actual revision now.
22365 When in detached mode, shorten the revision to 7 chars.
22367 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
22369 * emacs-lisp/easy-mmode.el (define-minor-mode):
22370 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
22371 mode hook and provide a docstring.
22373 2013-05-27 Alan Mackenzie <acm@muc.de>
22375 Remove spurious syntax-table text properties inserted by C-y.
22376 * progmodes/cc-mode.el (c-after-change): Also clear hard
22377 syntax-table property with value nil.
22379 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
22381 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
22382 when reading the events; the buffer layout shall not be changed.
22384 2013-05-27 Leo Liu <sdl.web@gmail.com>
22386 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
22388 (inferior-octave-directory-tracker): Automatically re-sync
22390 (octave-help): Improve handling of 'See also'.
22392 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
22394 * doc-view.el: Minor naming convention tweaks.
22395 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
22397 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
22398 even if there's no `display' property yet (bug#14435).
22400 2013-05-25 Eli Zaretskii <eliz@gnu.org>
22402 * subr.el (unmsys--file-name): Rename from reveal-filename.
22404 * Makefile.in (custom-deps, finder-data, autoloads)
22405 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
22406 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
22407 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
22409 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
22411 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
22412 error-completion on the first 2 args of condition-case (bug#14446).
22415 2013-05-25 Leo Liu <sdl.web@gmail.com>
22417 * comint.el (comint-previous-matching-input): Do not flood the
22418 *Messages* buffer with trivial messages.
22420 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
22422 * progmodes/flymake.el (flymake-nop): Don't return a string.
22423 (flymake-set-at): Fix typo.
22425 * simple.el (read--expression): New function, extracted from
22426 eval-expression. Set completion-at-point-functions (bug#14465).
22427 (eval-expression, eval-minibuffer): Use it.
22429 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
22431 * progmodes/flymake.el (flymake-save-buffer-in-file)
22432 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
22433 (flymake-selected-frame, flymake-log, flymake-ins-after)
22434 (flymake-set-at, flymake-get-buildfile-from-cache)
22435 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
22436 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
22437 Refine the doc string.
22438 (flymake-get-file-name-mode-and-masks): Reformat.
22439 (flymake-get-real-file-name-function): Fix a minor bug.
22441 2013-05-24 Juri Linkov <juri@jurta.org>
22443 * progmodes/grep.el (grep-mode-font-lock-keywords):
22444 Support =linenumber= format used by git-grep for lines with
22445 function names. (Bug#13549)
22447 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
22449 * progmodes/octave.el (octave-smie-rules): Return nil rather than
22450 0 after a semi-colon; it works better for smie-auto-fill.
22451 (octave--indent-new-comment-line): New function.
22452 (octave-indent-new-comment-line): Use it (indirectly).
22453 (octave-mode): Don't disable smie-auto-fill. Use add-function to
22454 modify comment-line-break-function.
22456 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
22457 (smie-setup): Use add-function to set it.
22459 2013-05-24 Sam Steingold <sds@gnu.org>
22461 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
22462 argument (before the `interactive' argument).
22464 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
22466 * image-mode.el (image-mode-winprops): Add winprops to
22467 image-mode-winprops-alist before running
22468 image-mode-new-window-functions.
22469 * doc-view.el (doc-view-new-window-function): Don't delay
22470 doc-view-goto-page via timers (bug#14435).
22472 2013-05-24 Tassilo Horn <tsdh@gnu.org>
22474 * doc-view.el: Integrate with desktop.el. (Bug#14435)
22475 (doc-view-desktop-save-buffer): New function.
22476 (doc-view-restore-desktop-buffer): New function.
22477 (desktop-buffer-mode-handlers):
22478 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
22480 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
22481 `desktop-save-buffer' function.
22483 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
22485 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
22486 (tramp-gvfs-file-name-handler): Raise a user error when
22487 `tramp-gvfs-enabled' is nil.
22488 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
22489 Do not raise a user error when loading package. (Bug#14447)
22491 * net/xesam.el: Move to obsolete/.
22493 2013-05-24 Glenn Morris <rgm@gnu.org>
22495 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
22497 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
22499 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
22500 (Info-find-node, Man-getpage-in-background): Declare.
22502 * mail/unrmail.el (unrmail):
22503 Replace obsolete detect-coding-with-priority.
22505 * net/socks.el (socks-split-string): Use this rather than split-string.
22506 (socks-nslookup-host): Update for above change.
22507 (dynamic-choice, s5-dynamic-choice-match)
22508 (s5-dynamic-choice-match-inline, s5-widget-value-create):
22509 Comment out unused code.
22511 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
22512 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
22513 (gud-tooltip-echo-area): Make obsolete.
22514 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
22516 * progmodes/js.el (js--optimize-arglist): Declare.
22518 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
22520 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
22521 (ediff-window-C): Declare.
22523 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
22524 Tweak requires to silence compiler.
22526 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
22527 (he-search-string, he-tried-table, he-expand-list)
22528 (he-init-string, he-string-member, he-substitute-string)
22529 (he-reset-string): Declare.
22531 * obsolete/options.el (list-options): Use custom-variable-p,
22532 rather than obsolete alias.
22534 2013-05-23 Sam Steingold <sds@gnu.org>
22536 * simple.el (shell-command-on-region): Pass the `replace' argument
22537 down to `call-process-region' to comply with the doc as reported on
22538 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
22540 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
22542 * emacs-lisp/smie.el (smie-indent-forward-token)
22543 (smie-indent-backward-token): Handle string tokens (bug#14381).
22545 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
22547 * ielm.el (ielm-menu): New menu.
22548 (inferior-emacs-lisp-mode): Set comment-start.
22550 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
22552 * textmodes/reftex.el (reftex-ref-style-toggle):
22553 Fix deactivate action.
22555 * textmodes/reftex-vars.el (reftex-ref-style-alist):
22556 Add cleveref macros.
22558 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
22559 Accept options for bibliography commands.
22560 * textmodes/reftex-vars.el (reftex-bibliography-commands):
22561 Add addbibresource. Basic Biblatex support.
22563 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
22565 * net/tramp-gvfs.el (top):
22566 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
22567 when loading package. (Bug#14447)
22569 2013-05-23 Glenn Morris <rgm@gnu.org>
22571 * progmodes/js.el: No need to load comint when compiling.
22572 (ring-insert, comint-send-string, comint-send-input)
22573 (comint-last-input-end, ido-chop): Declare.
22575 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
22576 * vc/ediff-mult.el: Adjust requires.
22577 (ediff-directories-internal, ediff-directory-revisions-internal)
22578 (ediff-patch-file-internal): Declare.
22579 * vc/ediff-ptch.el: Adjust requires.
22580 (ediff-use-last-dir, ediff-buffers-internal): Declare.
22581 (ediff-find-file): Autoload.
22582 * vc/ediff-util.el: No need to load ediff when compiling.
22583 (ediff-regions-internal): Declare.
22584 * vc/ediff-wind.el: Adjust requires.
22585 (ediff-compute-toolbar-width): Define when compiling.
22586 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
22587 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
22588 (dired-get-filename, dired-get-marked-files)
22589 (ediff-last-dir-patch, ediff-patch-default-directory)
22590 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
22591 (ediff-patch-buffer-internal): Declare.
22593 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
22594 (ispell-process, ispell-buffer-local-words, lm-summary)
22595 (lm-section-start, lm-section-end): Declare.
22596 (checkdoc-ispell-init): Simplify.
22598 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
22599 (he-string-member, he-reset-string, he-substitute-string): Declare.
22601 * eshell/em-ls.el: Adjust requires.
22602 (eshell-glob-regexp): Declare.
22603 * eshell/em-tramp.el: Adjust requires.
22604 (eshell-parse-command): Autoload.
22605 * eshell/em-xtra.el: Adjust requires.
22606 (eshell-parse-command): Autoload.
22607 * eshell/esh-ext.el: Adjust requires.
22608 (eshell-parse-command, eshell-close-handles): Autoload.
22609 * eshell/esh-io.el: Adjust requires.
22610 (eshell-output-filter): Autoload.
22611 * eshell/esh-util.el: No need to load tramp when compiling.
22612 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
22614 (eshell-parse-ange-ls): Require ange-ftp and tramp.
22615 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
22616 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
22617 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
22618 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
22619 * eshell/esh-opt.el, eshell/esh-proc.el:
22620 * eshell/esh-var.el: Adjust requires.
22621 * eshell/eshell.el: Do not require esh-util twice.
22622 (eshell-add-input-to-history): Declare.
22623 (eshell-command): Check history module is active before using it.
22625 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
22627 2013-05-22 Leo Liu <sdl.web@gmail.com>
22629 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
22631 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
22633 * autorevert.el (auto-revert-notify-add-watch)
22634 (auto-revert-notify-handler): Add `attrib' for the inotify case,
22635 it indicates changes in file modification time.
22637 2013-05-22 Glenn Morris <rgm@gnu.org>
22639 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
22640 Always delete the autoloaded function from the noruntime and
22641 unresolved functions lists.
22643 * allout.el: No need to load epa, epg, overlay when compiling.
22644 (epg-context-set-passphrase-callback, epg-list-keys)
22645 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
22646 (epg-key-user-id-list): Declare.
22648 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
22649 (viper-set-parsing-style-toggling-macro)
22650 (viper-set-emacs-state-searchstyle-macros):
22651 Use called-interactively-p on Emacs.
22652 (viper-looking-back): Make it an obsolete alias. Update callers.
22653 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
22654 Use looking-back rather than viper-looking-back.
22655 (viper-tmp-insert-at-eob, viper-enlarge-region)
22656 (viper-read-string-with-history, viper-register-to-point)
22657 (viper-append-to-register, viper-change-state-to-vi)
22658 (viper-backward-char-carefully, viper-forward-char-carefully)
22659 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
22660 (viper-change-state-to-emacs): Declare.
22661 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
22662 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
22663 * emulation/viper-mous.el: Do not load viper-cmd.
22664 (viper-backward-char-carefully, viper-forward-char-carefully)
22665 (viper-forward-word, viper-adjust-window): Declare.
22667 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
22669 * progmodes/idlw-help.el (idlwave-help-fontify):
22670 Use called-interactively-p.
22672 * term/w32console.el (w32-get-console-codepage)
22673 (w32-get-console-output-codepage): Declare.
22675 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
22676 Remove unnecessary declarations.
22677 (dframe-message): Doc fix.
22679 * info.el (dframe-select-attached-frame, dframe-current-frame):
22682 * speedbar.el (speedbar-message): Make it an obsolete alias.
22683 Update all callers.
22684 (speedbar-with-attached-buffer)
22685 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
22686 (speedbar-with-writable): Use backquote.
22687 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
22688 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
22689 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
22690 rather than speedbar- aliases.
22691 * mail/rmail.el: Load dframe rather than speedbar when compiling.
22692 (speedbar-make-specialized-keymap, speedbar-insert-button)
22693 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
22694 (speedbar-do-function-pointer): Declare.
22695 (rmail-speedbar-button, rmail-speedbar-find-file)
22696 (rmail-speedbar-move-message):
22697 Use dframe-with-attached-buffer rather than speedbar- alias.
22698 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
22699 (dframe-message, speedbar-make-specialized-keymap)
22700 (speedbar-add-expansion-list, speedbar-mode-functions-list)
22701 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
22702 (speedbar-insert-button, dframe-select-attached-frame)
22703 (dframe-maybee-jump-to-attached-frame)
22704 (speedbar-change-initial-expansion-list)
22705 (speedbar-previously-used-expansion-list-name): Declare.
22706 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
22707 Use dframe-message, dframe-with-attached-buffer rather than
22709 (gud-sentinel): Silence compiler.
22710 * progmodes/vhdl-mode.el (speedbar-refresh)
22711 (speedbar-do-function-pointer, speedbar-add-supported-extension)
22712 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
22713 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
22714 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
22715 (speedbar-file-lists, speedbar-make-tag-line)
22716 (speedbar-line-directory, speedbar-goto-this-file)
22717 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
22718 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
22719 (speedbar-make-button, speedbar-reset-scanners)
22720 (speedbar-files-item-info, speedbar-line-text)
22721 (speedbar-find-file-in-frame, speedbar-set-timer)
22722 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
22723 (speedbar-with-writable): Do not (re)define it.
22724 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
22725 rather than speedbar- alias.
22727 2013-05-21 Leo Liu <sdl.web@gmail.com>
22729 * progmodes/octave.el (octave-mode-menu): Update and re-organize
22731 (octave-mode): Tweak fill-nobreak-predicate.
22732 (inferior-octave-startup): Check process to avoid infinite loop.
22733 (inferior-octave): Pop to buffer first to show abornmal process
22736 2013-05-21 Glenn Morris <rgm@gnu.org>
22738 * printing.el (pr-menu-bar): Define when compiling.
22740 2013-05-21 Leo Liu <sdl.web@gmail.com>
22742 * progmodes/octave.el (octave-auto-fill): Remove.
22743 (octave-indent-new-comment-line): Improve.
22744 (octave-mode): Use auto fill mode through
22745 comment-line-break-function and fill-nobreak-predicate.
22746 (octave-goto-function-definition): Support DEFUN_DLD.
22747 (octave-beginning-of-defun): Small tweak.
22748 (octave-help): Show parent directory.
22750 2013-05-21 Glenn Morris <rgm@gnu.org>
22752 * files.el (dired-unmark):
22753 * progmodes/gud.el (gdb-input): Update declarations.
22755 * calculator.el (electric, ehelp): No need to load when compiling.
22756 (Electric-command-loop, electric-describe-mode): Declare.
22758 * doc-view.el (doc-view-current-converter-processes): Move before use.
22760 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
22761 Move MODE-set-explicitly definition before use.
22763 * international/mule-diag.el (mule-diag):
22764 Don't use obsolete window-system-version.
22766 * mail/feedmail.el (smtpmail): No need to load when compiling.
22767 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
22769 * mail/mail-utils.el (rfc822): No need to load when compiling.
22770 (rfc822-addresses): Autoload it.
22771 (mail-strip-quoted-names): Trivial simplification.
22773 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
22774 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
22776 * net/snmp-mode.el (tempo): Don't duplicate requires.
22778 * progmodes/prolog.el (info): No need to load when compiling.
22779 (comint): Require before shell requires it.
22780 (Info-goto-node): Autoload it.
22781 (Info-follow-nearest-node): Declare.
22782 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
22784 * textmodes/artist.el (picture-mode-exit): Declare.
22786 * textmodes/reftex-parse.el (reftex-parse-from-file):
22787 Trivial rewrite so the compiler can parse it better.
22789 2013-05-20 Leo Liu <sdl.web@gmail.com>
22791 * progmodes/octave.el (octave-help-mode-map)
22792 (octave-help-mode-finish-hook): New variables.
22793 (octave-help-mode, octave-help-mode-finish): New functions.
22794 (octave-help): Use octave-help-mode.
22796 2013-05-20 Glenn Morris <rgm@gnu.org>
22798 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
22800 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
22802 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
22803 start at point, so that expansion starting right after opening
22804 slash in a regexp is recognized.
22805 (ruby-syntax-before-regexp-re): New defvar, extracted from
22806 ruby-syntax-propertize-function. Since the value of this regexp
22807 is looked up at runtime now, we should be able to turn
22808 `ruby-syntax-methods-before-regexp' into a defcustom later.
22809 (ruby-syntax-propertize-function): Split regexp matching into two
22810 parts, for opening and closing slashes. That allows us to skip
22811 over string interpolations and support multiline regexps.
22812 Don't call `ruby-syntax-propertize-expansions', instead use another rule
22813 for them, which calls `ruby-syntax-propertize-expansion'.
22814 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
22815 call to `ruby-syntax-propertize-function'.
22816 (ruby-syntax-propertize-expansion): Extracted from
22817 `ruby-syntax-propertize-expansions'. Handles one expansion.
22818 (ruby-syntax-propertize-percent-literal): Leave point right after
22819 the percent symbol, so that the expression expansion rule can
22820 propertize the contents.
22821 (ruby-syntax-propertize-heredoc): Leave point at bol following the
22823 (ruby-syntax-propertize-expansions): Remove.
22825 2013-05-18 Juri Linkov <juri@jurta.org>
22827 * man.el (Man-default-man-entry): Remove `-' from the end
22828 of the default value. (Bug#14400)
22830 2013-05-18 Glenn Morris <rgm@gnu.org>
22832 * comint.el (comint-password-prompt-regexp):
22833 Allow "password for XXX" where XXX contains colons (eg https://...).
22835 2013-05-18 Leo Liu <sdl.web@gmail.com>
22837 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
22838 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
22839 (octave-source-directories): Don't check process.
22840 (octave-source-directories, octave-find-definition): Doc fix.
22842 2013-05-18 Glenn Morris <rgm@gnu.org>
22844 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
22845 Remove backspace/delete bindings. (Bug#14392)
22847 * cus-dep.el (custom-make-dependencies): Sort the output.
22848 (custom-versions-load-alist): Convert comment to doc.
22850 2013-05-17 Leo Liu <sdl.web@gmail.com>
22852 * newcomment.el (comment-search-backward): Stricter in finding
22853 comment start. (Bug#14303)
22855 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
22856 (octave-comment-start-skip): Properly anchored.
22858 2013-05-17 Leo Liu <sdl.web@gmail.com>
22860 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
22861 Clean up when turned off. (Bug#14395)
22862 (smie--highlight-matching-block-overlay): No longer buffer-local.
22863 (smie-highlight-matching-block): Adjust.
22865 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
22867 Doc string fix for "nanoseconds" (Bug#14406).
22868 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
22869 Fix doc string typo that had "nanoseconds" instead of "microseconds".
22871 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
22873 * calc/calc-units.el (math-extract-units): Preserve powers
22876 2013-05-17 Leo Liu <sdl.web@gmail.com>
22878 * subr.el (delete-consecutive-dups): New function.
22879 * ido.el (ido-set-matches-1): Use it.
22880 * progmodes/octave.el (inferior-octave-completion-table): Use it.
22881 * ido.el (ido-remove-consecutive-dups): Remove.
22883 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
22885 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
22886 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
22887 regexp-opt's `words'.
22889 2013-05-16 Leo Liu <sdl.web@gmail.com>
22891 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
22892 (smie--highlight-matching-block-overlay)
22893 (smie--highlight-matching-block-lastpos)
22894 (smie--highlight-matching-block-timer): New variables.
22895 (smie-highlight-matching-block): New function.
22896 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
22897 (smie-setup): Conditionally enable smie-blink-matching-open.
22899 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
22901 Sync with upstream verilog-mode r840.
22902 * progmodes/verilog-mode.el (verilog-mode-version)
22903 (verilog-mode-release-date): Update.
22904 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
22905 (verilog-sig-tieoff): Fix string error on
22906 AUTORESET with colon define, bug594. Reported by Andrew Hou.
22907 (verilog-read-decls): Fix parameters confusing
22908 AUTOINST interfaces, bug565. Reported by Leith Johnson.
22910 2013-05-16 Eli Zaretskii <eliz@gnu.org>
22912 * subr.el (reveal-filename): New function.
22914 * loadup.el: Compute Emacs executable versions on MS-Windows,
22915 where executables have the .exe extension. Add a hard link
22916 emacs-XX.YY.ZZ.exe on MS-Windows.
22918 * Makefile.in (XARGS_LIMIT): New variable.
22919 (custom-deps, finder-data, autoloads)
22920 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
22921 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
22922 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
22923 (compile-main): Limit xargs according to $(XARGS_LIMIT).
22925 2013-05-16 Leo Liu <sdl.web@gmail.com>
22927 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
22928 (octave-mode-menu, octave-mode-map): Remove its uses.
22930 2013-05-16 Reto Zimmermann <reto@gnu.org>
22932 Sync with upstream vhdl mode v3.34.2.
22933 * progmodes/vhdl-mode.el: Use `push' throughout.
22934 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
22935 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
22936 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
22937 (vhdl-actual-generic-name): New option to derive actual generic name.
22938 (vhdl-port-paste-signals): Replace formal by actual generics.
22939 (vhdl-beautify): New name for old group vhdl-align. Update users.
22940 (vhdl-beautify-options): New option.
22941 (vhdl-last-input-event): New compat alias. Use throughout.
22942 (vhdl-goto-line): Replace user level function `goto-line'.
22943 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
22944 vhdl-fix-statement-buffer.
22945 (vhdl-create-mode-menu): Add some entries.
22946 (vhdl-align-region-groups): Respect vhdl-beautify-options.
22947 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
22948 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
22949 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
22950 to force statements on one line.
22951 (vhdl-remove-trailing-spaces-region):
22952 New, split from vhdl-remove-trailing-spaces.
22953 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
22954 Respect vhdl-beautify-options.
22955 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
22956 (vhdl-update-sensitivity-list): Not add with index if exists without.
22957 Not include array index with signal. Ignore keywords in comments.
22958 (vhdl-get-visible-signals): Regexp tweaks.
22959 (vhdl-template-component-inst): Handle empty library.
22960 (vhdl-template-type): Add template for 'enum' type.
22961 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
22962 Use vhdl-replace-string.
22963 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
22964 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
22965 (vhdl-speedbar-initialize): Update for above name change.
22966 (vhdl-compose-wire-components): Fix in handling of constants.
22967 (vhdl-error-regexp-emacs-alist): New variable.
22968 (vhdl-error-regexp-add-emacs): New function;
22969 adds support for new compile.el (Emacs 22+)
22970 (vhdl-generate-makefile-1): Change target order for single lib. units.
22971 Allow use of absolute file names.
22973 2013-05-16 Leo Liu <sdl.web@gmail.com>
22975 * simple.el (prog-indent-sexp): Indent enclosing defun.
22977 2013-05-15 Glenn Morris <rgm@gnu.org>
22979 * cus-start.el (show-trailing-whitespace): Move to editing basics.
22980 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
22981 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
22982 (whitespace-highlight): Move to whitespace group.
22984 * comint.el (comint-source):
22985 * pcmpl-linux.el (pcmpl-linux):
22986 * shell.el (shell-faces):
22987 * eshell/esh-opt.el (eshell-opt):
22988 * international/ccl.el (ccl): Remove empty custom groups.
22990 * completion.el (dynamic-completion-mode):
22991 * jit-lock.el (jit-lock-debug-mode):
22992 * minibuffer.el (completion-in-region-mode):
22993 * type-break.el (type-break-mode-line-message-mode)
22994 (type-break-query-mode):
22995 * emulation/tpu-edt.el (tpu-edt-mode):
22996 * progmodes/subword.el (global-subword-mode, global-superword-mode):
22997 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
22998 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
23000 * term/xterm.el (xterm): Change parent group to terminals.
23002 * master.el (master): Remove empty custom group.
23003 (master-mode): Remove unused :group argument.
23004 * textmodes/refill.el (refill): Remove empty custom group.
23005 (refill-mode): Remove unused :group argument.
23007 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
23009 * cus-dep.el: Provide a feature.
23010 (custom-make-dependencies): Ignore dotfiles (dir-locals).
23011 Don't mistakenly ignore files whose basenames match a basename
23012 from preloaded-file-list (eg cedet/ede/simple.el).
23013 Add a fallback method for getting :group.
23015 2013-05-15 Juri Linkov <juri@jurta.org>
23017 * isearch.el (isearch-char-by-name): Rename from
23018 `isearch-insert-char-by-name'. Doc fix.
23019 (isearch-forward): Mention `isearch-char-by-name' in
23020 the docstring. (Bug#13348)
23022 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
23023 `exit-minibuffer' instead of
23024 `isearch-nonincremental-exit-minibuffer'.
23025 (isearch-edit-string): Remove mention of
23026 `isearch-nonincremental-exit-minibuffer' from docstring.
23027 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
23028 (isearch-forward-exit-minibuffer)
23029 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
23031 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23033 * loadup.el: Just use unversioned DOC.
23035 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
23036 literals as extending to EOB.
23037 (nxml-last-fontify-end): Remove unused variable.
23038 (nxml-after-change1): Use with-silent-modifications.
23039 (nxml-extend-after-change-region): Simplify.
23040 (nxml-extend-after-change-region1): Remove function.
23041 (nxml-after-change1): Don't adjust for dependent regions.
23042 (nxml-fontify-matcher): Simplify.
23043 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
23044 (xmltok-add-dependent): Remove function.
23045 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
23046 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
23047 (xmltok-scan-prolog-after-processing-instruction-open): Treat
23048 unclosed <[[, <?, comment, and other literals as extending to EOB.
23049 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
23050 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
23052 (rng-do-some-validation-1): Don't mark dependent regions.
23053 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
23054 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
23055 (nxml-clear-dependent-regions): Remove functions.
23056 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
23057 (nxml-ensure-scan-up-to-date):
23058 Don't clear&mark dependent regions.
23060 2013-05-15 Leo Liu <sdl.web@gmail.com>
23062 * progmodes/octave.el (octave-goto-function-definition):
23063 Improve and fix callers.
23065 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23067 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
23068 the setter (bug#14387).
23070 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
23071 surrounding group (bug#14402).
23073 2013-05-14 Juri Linkov <juri@jurta.org>
23075 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
23078 2013-05-14 Glenn Morris <rgm@gnu.org>
23080 * progmodes/f90.el (f90-imenu-generic-expression):
23081 Fix typo in 2013-05-08 change. (Bug#14402)
23083 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23085 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
23086 Remove signals for which replies are never received.
23088 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23090 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
23091 (gdb-handler-alist, gdb-handler-number): Remove variables.
23092 (gdb-handler-list): New variable.
23093 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
23094 (gdb-pending-handler-p, gdb-handle-reply)
23095 (gdb-remove-all-pending-triggers): New functions.
23096 (gdb-discard-unordered-replies): New defcustom.
23097 (gdb-handler): New defstruct.
23098 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
23099 instead of gdb-pending-triggers. Update docstring.
23100 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
23101 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
23102 (gdb-var-update-handler, def-gdb-auto-update-trigger)
23103 (def-gdb-auto-update-handler, gdb-get-changed-registers)
23104 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
23105 (gdb-frame-handler): Pending triggers are now automatically managed.
23106 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
23108 (gdb-input): Automatically handles pending triggers. Update docstring.
23109 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
23110 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
23112 (gdb-done-or-error): Now use gdb-handle-reply.
23114 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23116 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
23119 2013-05-14 Glenn Morris <rgm@gnu.org>
23121 * subr.el (user-emacs-directory-warning): New option.
23122 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
23124 2013-05-14 Leo Liu <sdl.web@gmail.com>
23126 * progmodes/octave.el (octave-font-lock-keywords): Fix error
23128 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
23129 (octave-font-lock-texinfo-comment): Fix invalid search bound
23130 error: wrong side of point.
23132 2013-05-14 Glenn Morris <rgm@gnu.org>
23134 * progmodes/flymake.el (flymake-xml-program): New option.
23135 (flymake-xml-init): Use it.
23137 * term/xterm.el: Provide a feature.
23139 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
23141 2013-05-13 Glenn Morris <rgm@gnu.org>
23143 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
23144 Add compat aliases as a hack workaround. (Bug#14384)
23146 2013-05-13 Leo Liu <sdl.web@gmail.com>
23148 * progmodes/octave.el (octave-indent-comment): Fix indentation for
23150 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
23152 (octave-comment-start-skip): Include %!.
23153 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
23155 2013-05-12 Leo Liu <sdl.web@gmail.com>
23157 * progmodes/octave.el (inferior-octave-startup): Store the value
23158 of __octave_srcdir__ for octave-source-directories.
23159 (inferior-octave-check-process): New function refactored out of
23160 inferior-octave-send-list-and-digest.
23161 (octave-source-directories)
23162 (octave-find-definition-filename-function): New variables.
23163 (octave-source-directories)
23164 (octave-find-definition-default-filename): New functions.
23165 (octave-find-definition): Improve to find functions implemented in C++.
23167 2013-05-12 Glenn Morris <rgm@gnu.org>
23169 * calendar/diary-lib.el (diary-outlook-format-1):
23170 Don't include dayname in the output. (Bug#14349)
23172 2013-05-11 Glenn Morris <rgm@gnu.org>
23174 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
23176 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
23177 Treat cc-provide like provide.
23179 2013-05-11 Kevin Ryde <user42@zip.com.au>
23181 * cus-dep.el (custom-make-dependencies):
23182 Use generated-autoload-load-name for the sake of files such
23183 such cedet/semantic/bovine/c.el, where the base file name
23184 is not in load-path. (Bug#5277)
23186 2013-05-11 Glenn Morris <rgm@gnu.org>
23188 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
23191 2013-05-11 Leo Liu <sdl.web@gmail.com>
23193 * progmodes/octave.el (octave-indent-comment): Improve.
23194 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
23195 (octave-eldoc-function-signatures, octave-eldoc-function):
23197 (octave-mode, inferior-octave-mode): Add eldoc support.
23199 2013-05-11 Richard Stallman <rms@gnu.org>
23201 * epa.el (epa-decrypt-file): Take output file name as argument
23202 and read it using `interactive'.
23204 2013-05-11 Leo Liu <sdl.web@gmail.com>
23206 * progmodes/octave.el (octave-beginning-of-line)
23207 (octave-end-of-line): Check before using up-list because it jumps
23208 out of more syntactic contructs since moving to smie.
23209 (octave-indent-comment): New function.
23210 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
23211 (octave-begin-keywords, octave-end-keywords)
23212 (octave-reserved-words, octave-smie-bnf-table)
23213 (octave-smie-rules): Add new keywords from Octave 3.6.4.
23215 2013-05-11 Glenn Morris <rgm@gnu.org>
23217 * faces.el (internal-face-x-get-resource):
23218 * frame.el (ns-display-monitor-attributes-list):
23219 * calc/calc-aent.el (math-to-radians-2):
23220 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
23223 * calc/calc-menu.el: Make it loadable in isolation.
23225 * net/eudcb-bbdb.el: Make it loadable without bbdb.
23226 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
23227 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
23228 (eudc-bbdb-query-internal): Require 'bbdb.
23230 * lpr.el (lpr-headers-switches):
23231 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
23233 * progmodes/sql.el (sql-login-params): Fix and improve :type.
23235 * emulation/edt-mapper.el: In batch mode, error rather than hang.
23237 * term.el (term-set-escape-char): Make it idempotent.
23239 2013-05-10 Leo Liu <sdl.web@gmail.com>
23241 * progmodes/octave.el (inferior-octave-completion-table):
23242 No longer a function and all uses changed. Use cache to speed up
23243 completion due to bug#11906.
23244 (octave-beginning-of-defun): Re-write to be more general.
23246 2013-05-10 Glenn Morris <rgm@gnu.org>
23248 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
23250 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
23252 * comint.el (comint-redirect-send-command-to-process): Use :around
23253 rather than :override for comint-redirect-filter.
23254 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
23255 Call it instead of comint-redirect-original-filter-function (which
23256 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
23258 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
23260 * frame.el (display-monitor-attributes-list): Add NS case.
23261 (ns-display-monitor-attributes-list): Declare.
23263 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
23265 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
23267 2013-05-09 Glenn Morris <rgm@gnu.org>
23269 * international/fontset.el (vertical-centering-font-regexp):
23270 Set standard-value.
23272 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
23274 * bookmark.el (bookmark-search-delay):
23275 * cus-start.el (vertical-centering-font-regexp):
23276 * ps-mule.el (ps-mule-font-info-database-default):
23277 * ps-print.el (ps-default-fg, ps-default-bg):
23278 * type-break.el (type-break-good-break-interval):
23279 * whitespace.el (whitespace-indentation-regexp)
23280 (whitespace-space-after-tab-regexp):
23281 * emacs-lisp/testcover.el (testcover-1value-functions)
23282 (testcover-noreturn-functions, testcover-progn-functions)
23283 (testcover-prog1-functions):
23284 * emulation/viper-init.el (viper-emacs-state-cursor-color):
23285 * eshell/em-glob.el (eshell-glob-translate-alist):
23286 * play/tetris.el (tetris-tty-colors):
23287 * progmodes/cpp.el (cpp-face-default-list):
23288 * progmodes/flymake.el (flymake-allowed-file-name-masks):
23289 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
23290 (idlwave-help-browser-generic-args):
23291 * progmodes/make-mode.el (makefile-special-targets-list):
23292 * progmodes/python.el (python-shell-virtualenv-path):
23293 * progmodes/verilog-mode.el (verilog-active-low-regexp)
23294 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
23295 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
23296 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
23297 * textmodes/reftex-vars.el (reftex-format-label-function):
23298 * textmodes/remember.el (remember-diary-file): Fix custom types.
23300 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
23303 2013-05-09 Leo Liu <sdl.web@gmail.com>
23305 * progmodes/octave.el (inferior-octave-completion-at-point):
23306 Restore file completion. (Bug#14300)
23307 (inferior-octave-startup): Fix incorrect highlighting for the
23310 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
23312 * progmodes/ruby-mode.el: First cut at SMIE support.
23313 (ruby-use-smie): New var.
23314 (ruby-smie-grammar): New constant.
23315 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
23316 (ruby-smie--forward-token, ruby-smie--backward-token)
23317 (ruby-smie-rules): New functions.
23318 (ruby-mode-variables): Setup SMIE if applicable.
23320 2013-05-08 Eli Zaretskii <eliz@gnu.org>
23322 * simple.el (line-move-visual): Signal beginning/end of buffer
23323 only if vertical-motion moved less than it was requested. Avoids
23324 silly incorrect error messages when there are display strings with
23325 multiple newlines at EOL.
23327 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
23329 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
23330 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
23331 (prolog-char-quote-workaround):
23332 * progmodes/cperl-mode.el (cperl-under-as-char):
23333 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
23335 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
23337 (vhdl-mode-syntax-table-init): Remove.
23339 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
23342 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
23344 (ld-script-font-lock-keywords):
23345 Change regexps to use things like \_< and \_>.
23347 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
23348 Change all regexps to use things like \_< and \_>.
23350 * progmodes/autoconf.el (autoconf-definition-regexp)
23351 (autoconf-font-lock-keywords, autoconf-current-defun-function):
23352 Handle a _ with symbol syntax.
23353 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
23355 * progmodes/ada-mode.el (ada-mode-abbrev-table):
23356 Consolidate declaration.
23357 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
23359 (ada-create-syntax-table): Remove.
23360 (ada-capitalize-word): Don't mess with the syntax of "_" since it
23361 already has the right syntax nowadays.
23362 (ada-goto-next-word): Don't change the syntax of "_".
23364 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
23367 2013-05-08 Sam Steingold <sds@gnu.org>
23369 * thingatpt.el (thing-at-point): Accept optional second argument
23370 NO-PROPERTIES to strip the text properties from the return value.
23371 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
23372 to `thing-at-point' instead of stripping the properties ourselves.
23373 Also, when `thing-at-point' fails to find a url, prepend "http://"
23374 to the filename at point on the assumption that the user is
23375 pointing at something like gnu.org/gnu.
23377 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
23379 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
23380 * faces.el (crm-separator):
23381 Silence byte-compiler.
23383 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
23384 (tool-bar-map): Remove unneeded defvars.
23386 2013-05-08 Leo Liu <sdl.web@gmail.com>
23388 Re-work a fix for bug#10994 based on Le Wang's patch.
23389 * ido.el (ido-remove-consecutive-dups): New helper.
23390 (ido-completing-read): Use it.
23391 (ido-chop): Revert fix for bug#10994.
23393 2013-05-08 Adam Spiers <emacs@adamspiers.org>
23395 * cus-edit.el (custom-save-variables):
23396 Pretty-print long values. (Bug#14187)
23398 2013-05-08 Glenn Morris <rgm@gnu.org>
23400 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
23401 (m4-mode-syntax-table): Init in the defvar.
23402 (m4-mode-abbrev-table): Let define-derived-mode define it.
23404 2013-05-08 Tom Tromey <tromey@redhat.com>
23406 * progmodes/m4-mode.el (m4-mode-syntax-table):
23407 Do not treat "_" as word constituent. (Bug#14167)
23409 2013-05-07 Glenn Morris <rgm@gnu.org>
23411 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
23412 Remove explicit eshell-isearch-cancel-map.
23414 * progmodes/f90.el (f90-smart-end-names): New option.
23415 (f90-smart-end): Doc fix.
23416 (f90-end-block-optional-name): New constant.
23417 (f90-block-match): Respect f90-smart-end-names.
23419 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
23421 * progmodes/octave.el (octave-smie-forward-token): Be more careful
23422 about implicit semi-colons (bug#14218).
23424 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
23426 * frame.el (display-monitor-attributes-list)
23427 (frame-monitor-attributes): New functions.
23429 2013-05-06 Leo Liu <sdl.web@gmail.com>
23431 * progmodes/octave.el (octave-syntax-propertize-function): Change
23432 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
23433 (octave-font-lock-keywords): Use octave-operator-regexp.
23434 (octave-completion-at-point): Rename from
23435 octave-completion-at-point-function.
23436 (inferior-octave-directory-tracker): Robustify.
23437 (octave-text-functions): Remove and fix its uses. No such things
23440 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
23442 * emacs-lisp/trace.el (trace--display-buffer): New function.
23443 (trace-make-advice): Use it.
23445 2013-05-06 Juri Linkov <juri@jurta.org>
23447 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
23448 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
23450 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
23451 in the help string. (Bug#12985)
23453 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
23455 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
23457 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
23459 * progmodes/perl-mode.el: Add support for here documents.
23460 (perl-syntax-propertize-function): Match here-doc markers.
23461 (perl-syntax-propertize-special-constructs): Find their end.
23462 (perl-imenu-generic-expression): Use [:alnum:].
23464 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
23465 (advice--add-function): Refresh the advice if already present
23468 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
23470 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
23472 2013-05-06 Glenn Morris <rgm@gnu.org>
23474 * w32-fns.el (w32-charset-info-alist): Declare.
23476 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
23477 of its defcustom properties.
23478 (eshell-cmpl-initialize): No need to load pcomplete.
23480 * generic-x.el: No need to require comint when compiling.
23482 * net/eudc-export.el: Make it loadable without bbdb.
23483 (top-level): Use require rather than load-library.
23484 (eudc-create-bbdb-record, eudc-bbdbify-phone)
23485 (eudc-batch-export-records-to-bbdb)
23486 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
23489 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
23491 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
23492 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
23493 some tweaks, instead.
23495 2013-05-05 Leo Liu <sdl.web@gmail.com>
23497 * progmodes/octave.el (octave-font-lock-keywords)
23498 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
23499 (inferior-octave-send-list-and-digest): Improve error message.
23500 (octave-mode, inferior-octave-mode): Use setq-local.
23501 (octave-help): Set info-lookup-mode.
23503 2013-05-05 Richard Stallman <rms@gnu.org>
23505 * vc/compare-w.el (compare-windows-whitespace):
23506 Treat no-break space as whitespace.
23508 * mail/rmailsum.el (rmail-summary-rmail-update):
23509 Detect empty summary and don't change selected message.
23510 (rmail-summary-goto-msg): Likewise.
23512 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
23513 Doc fixes, rename args.
23515 2013-05-05 Alan Mackenzie <acm@muc.de>
23517 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
23519 2013-05-05 Juri Linkov <juri@jurta.org>
23521 * info.el (Info-read-subfile): Use (point-min) instead of (point)
23522 to not add the length of the summary segment to the return value.
23525 2013-05-05 Leo Liu <sdl.web@gmail.com>
23527 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
23528 (inferior-octave-output-filter): Remove.
23529 (octave-send-region, inferior-octave-startup): Fix callers.
23530 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
23531 (octave-binary-file-extensions): New user variable.
23532 (octave-find-definition): Confirm if opening binary files.
23533 (octave-help-file): Use octave-find-definition to get the binary
23535 (octave-help): Adjust for octave-help-file change.
23537 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
23539 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
23540 Merge the two entries that handle function definitions.
23541 (pascal--syntax-propertize): New const.
23542 (pascal-mode): Use it. Use setq-local.
23544 2013-05-04 Glenn Morris <rgm@gnu.org>
23546 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
23547 (diary-from-outlook): Respect diary-from-outlook-function.
23549 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
23551 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
23552 Move the declaration from C.
23553 (read-minibuffer, eval-minibuffer): Move from C.
23554 (completion-setup-function): Avoid minibuffer-completion-contents.
23556 2013-05-03 Leo Liu <sdl.web@gmail.com>
23558 * progmodes/octave.el (octave-font-lock-keywords): Do not
23559 dehighlight 'end' in comments or strings.
23560 (octave-completing-read, octave-goto-function-definition):
23562 (octave-help-buffer): New user variable.
23563 (octave-help-file, octave-help-function): New button types.
23564 (octave-help): New command and bind it to C-h ;.
23565 (octave-find-definition): New command and bind it to M-.
23566 (user-error): Alias to error if not defined.
23568 2013-05-02 Leo Liu <sdl.web@gmail.com>
23570 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
23572 (octave-font-lock-keywords): Include [ and {.
23574 2013-05-02 Leo Liu <sdl.web@gmail.com>
23576 * progmodes/octave.el (inferior-octave-startup-file): Change default.
23577 (inferior-octave): Remove calling comint-mode and return the buffer.
23578 (inferior-octave-startup): Cosmetic changes.
23580 2013-05-02 Leo Liu <sdl.web@gmail.com>
23582 * progmodes/octave.el (octave-syntax-propertize-function):
23583 Include the case when ' is at line beginning. (Bug#14336)
23585 2013-05-02 Glenn Morris <rgm@gnu.org>
23587 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
23588 * desktop.el (vc-dir-mode): Just autoload it here.
23590 2013-05-02 Alan Mackenzie <acm@muc.de>
23592 Eliminate variable c-standard-font-lock-fontify-region-function.
23593 * progmodes/cc-mode.el
23594 (c-standard-font-lock-fontify-region-function): Remove.
23595 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
23597 2013-05-01 Leo Liu <sdl.web@gmail.com>
23599 * progmodes/octave.el: Compatible with older emacs-24 releases.
23600 (inferior-octave-has-built-in-variables): Remove. Built-in
23601 variables were removed from Octave in 2007.
23602 (inferior-octave-startup): Fix uses.
23603 (comint-line-beginning-position): Remove compatibility code for
23606 2013-05-01 Juri Linkov <juri@jurta.org>
23608 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
23610 2013-05-01 Juri Linkov <juri@jurta.org>
23612 * comint.el (comint-previous-matching-input): Don't print message
23613 "History item: %d" when `isearch-mode' is active.
23614 (comint-history-isearch-message): Print message "History item: %d"
23615 when `comint-input-ring-index' is not empty and this function is
23616 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
23618 2013-05-01 Leo Liu <sdl.web@gmail.com>
23620 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
23621 definitions. Use completion-at-point to insert keywords.
23622 (octave-abbrev-start): Remove.
23623 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
23625 2013-04-30 Leo Liu <sdl.web@gmail.com>
23627 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
23630 2013-04-30 Alan Mackenzie <acm@muc.de>
23632 Handle arbitrarily long C++ member initialisation lists.
23633 * progmodes/cc-engine.el (c-back-over-member-initializers):
23635 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
23636 (most) member init lists.
23638 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23640 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
23643 2013-04-30 Leo Liu <sdl.web@gmail.com>
23645 * progmodes/octave.el (octave-variables): Remove. No builtin
23646 variables any more. All converted to functions.
23647 (octave-font-lock-keywords, octave-completion-at-point-function):
23649 (octave-font-lock-texinfo-comment): New user variable.
23650 (octave-texinfo-font-lock-keywords): New variable for texinfo
23652 (octave-function-comment-block): New face.
23653 (octave-font-lock-texinfo-comment): New function.
23654 (octave-mode): Font lock texinfo comment block.
23656 2013-04-29 Leo Liu <sdl.web@gmail.com>
23658 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
23659 indexing expression.
23660 (octave-continuation-string): Do not use \.
23661 (inferior-octave-complete-impossible): Remove.
23662 (inferior-octave-completion-table)
23663 (inferior-octave-completion-at-point): Remove its uses.
23664 (inferior-octave-startup): completion_matches was introduced to
23665 Octave in 1996 so safe to assume it.
23666 (octave-function-file-comment): Improve to follow how Octave does it.
23667 (octave-update-function-file-comment): Tweak.
23669 2013-04-29 Leo Liu <sdl.web@gmail.com>
23671 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
23672 (inferior-octave-startup): Remove inferior-octave-startup-hook.
23673 (octave-function-file-comment): Fix typo.
23674 (octave-sync-function-file-names): Use read-char-choice.
23676 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
23678 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
23679 to t for the less important warnings.
23681 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
23683 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
23685 2013-04-27 Glenn Morris <rgm@gnu.org>
23687 * vc/log-view.el (log-view-current-entry):
23688 Treat "---" separator lines as part of the following rev. (Bug#14169)
23690 2013-04-27 Juri Linkov <juri@jurta.org>
23692 * subr.el (read-number): Doc fix about using it by interactive
23693 code letter `n'. (Bug#14254)
23695 2013-04-27 Juri Linkov <juri@jurta.org>
23697 * desktop.el (desktop-auto-save-timeout): New option.
23698 (desktop-file-checksum): New variable.
23699 (desktop-save): Add optional arg `auto-save' and don't auto-save
23700 if nothing changed.
23701 (desktop-auto-save-timer): New variable.
23702 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
23703 (after-init-hook): Call `desktop-auto-save-set-timer'.
23704 Suggested by Reuben Thomas <rrt@sc3d.org> in
23705 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
23707 2013-04-27 Leo Liu <sdl.web@gmail.com>
23709 * progmodes/octave.el (octave-function-file-p)
23710 (octave-skip-comment-forward, octave-function-file-comment)
23711 (octave-update-function-file-comment): New functions.
23712 (octave-mode-map): Bind C-c ; to
23713 octave-update-function-file-comment.
23714 (octave-mode-menu): Add octave-update-function-file-comment.
23715 (octave-mode, inferior-octave-mode): Fix doc-string.
23716 (octave-insert-defun): Conform to Octave's coding convention.
23719 * files.el (basic-save-buffer): Don't let errors in
23720 before-save-hook prevent saving buffer.
23722 2013-04-20 Roland Winkler <winkler@gnu.org>
23724 * faces.el (read-face-name): Use completing-read if arg multiple
23727 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
23729 * ls-lisp.el (ls-lisp-insert-directory): If no files are
23730 displayed, move point to after the totals line.
23731 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
23734 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
23736 * emacs-lisp/package.el (package-autoload-ensure-default-file):
23737 Add current dir to the load-path.
23738 (package-generate-autoloads): Don't rely on
23739 autoload-ensure-default-file.
23741 2013-04-26 Reuben Thomas <rrt@sc3d.org>
23743 * textmodes/remember.el (remember-store-in-files): Document that
23744 the file name format is passed to `format-time-string'.
23746 2013-04-26 Leo Liu <sdl.web@gmail.com>
23748 * progmodes/octave.el (octave-sync-function-file-names): New function.
23749 (octave-mode): Use it in before-save-hook.
23751 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
23753 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
23756 * progmodes/octave.el (octave-smie-forward-token): Properly skip
23757 \n and comment, even if it's not an implicit ; (bug#14218).
23759 2013-04-26 Glenn Morris <rgm@gnu.org>
23761 * subr.el (read-number): Once more use `read' rather than
23762 `string-to-number', to trap non-numeric input. (Bug#14254)
23764 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
23766 * emacs-lisp/syntax.el (syntax-propertize-multiline):
23767 Use `syntax-multiline' text property consistently instead of
23768 `font-lock-multiline'. (Bug#14237)
23770 2013-04-26 Glenn Morris <rgm@gnu.org>
23772 * emacs-lisp/shadow.el (list-load-path-shadows):
23773 No longer necessary to check for duplicate simple.el, since
23774 2012-07-07 change to init_lread to not include installation lisp
23775 directories in load-path when running uninstalled. (Bug#14270)
23777 2013-04-26 Leo Liu <sdl.web@gmail.com>
23779 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
23780 (octave-mode, inferior-octave-mode): Use setq-local.
23781 (octave-not-in-string-or-comment-p): Rename to
23782 octave-in-string-or-comment-p.
23783 (octave-in-comment-p, octave-in-string-p)
23784 (octave-in-string-or-comment-p): Replace defsubst with defun.
23786 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
23788 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
23790 2013-04-25 Bastien Guerry <bzg@gnu.org>
23792 * textmodes/remember.el (remember-data-directory)
23793 (remember-directory-file-name-format): Fix custom types.
23795 2013-04-25 Leo Liu <sdl.web@gmail.com>
23797 * progmodes/octave.el (octave-completion-at-point-function):
23798 Make use of inferior octave process.
23799 (octave-initialize-completions): Remove.
23800 (inferior-octave-completion-table): New function.
23801 (inferior-octave-completion-at-point): Use it.
23802 (octave-completion-alist): Remove.
23804 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
23806 * progmodes/opascal.el: Use font-lock and syntax-propertize.
23807 (opascal-mode-syntax-table): New var.
23808 (opascal-literal-kind, opascal-is-literal-end)
23809 (opascal-literal-token-at): Rewrite.
23810 (opascal--literal-start-re, opascal-font-lock-keywords)
23811 (opascal--syntax-propertize): New constants.
23812 (opascal-font-lock-defaults): Adjust.
23813 (opascal-mode): Use them. Set comment-<foo> variables as well.
23814 (delphi-comment-face, opascal-comment-face, delphi-string-face)
23815 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
23816 (delphi-other-face, opascal-other-face): Remove face variables.
23817 (opascal-save-state): Remove macro.
23818 (opascal-fontifying-progress-step): Remove constant.
23819 (opascal--ignore-changes): Remove var.
23820 (opascal-set-token-property, opascal-parse-next-literal)
23821 (opascal-is-stable-literal, opascal-complete-literal)
23822 (opascal-is-literal-start, opascal-face-of)
23823 (opascal-parse-region, opascal-parse-region-until-stable)
23824 (opascal-fontify-region, opascal-after-change)
23825 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
23826 (opascal-debug-parse-region, opascal-debug-parse-window)
23827 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
23828 (opascal-debug-fontify-buffer): Remove.
23829 (opascal-debug-mode-map): Adjust accordingly.
23831 2013-04-25 Leo Liu <sdl.web@gmail.com>
23833 Merge octave-mod.el and octave-inf.el into octave.el with some
23835 * progmodes/octave.el: New file renamed from octave-mod.el.
23836 * progmodes/octave-inf.el: Merged into octave.el.
23837 * progmodes/octave-mod.el: Renamed to octave.el.
23839 2013-04-25 Tassilo Horn <tsdh@gnu.org>
23841 * textmodes/reftex-vars.el
23842 (reftex-label-ignored-macros-and-environments): New defcustom.
23844 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
23846 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
23848 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
23849 (smie-indent-keyword): Improve the check to ensure that the next
23850 comment is really on the same line.
23851 (smie-indent-comment): Don't align with a subsequent closer (or eob).
23853 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
23854 semi-colons if the line is not otherwise empty (bug#14218).
23856 2013-04-25 Glenn Morris <rgm@gnu.org>
23858 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
23860 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
23862 * progmodes/opascal.el (opascal-set-token-property): Rename from
23863 opascal-set-text-properties and only set `token' (bug#14134).
23864 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
23865 (opascal-literal-text-properties): Remove.
23866 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
23869 2013-04-24 Reuben Thomas <rrt@sc3d.org>
23871 * textmodes/remember.el (remember-handler-functions): Add an
23872 option for a new handler `remember-store-in-files'.
23873 (remember-data-directory, remember-directory-file-name-format):
23875 (remember-store-in-files): New function to store remember notes
23876 as separate files within a directory.
23878 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
23880 * progmodes/compile.el (compilation-next-error-function):
23881 Pass "formats" to compilation-find-file (bug#11777).
23883 2013-04-24 Glenn Morris <rgm@gnu.org>
23885 * vc/vc-bzr.el (vc-bzr-print-log):
23886 * vc/vc-hg.el (vc-hg-print-log):
23887 * vc/vc-svn.el (vc-svn-print-log):
23888 Fix START-REVISION with LIMIT != 1. (Bug#14168)
23890 * vc/vc-bzr.el (vc-bzr-print-log):
23891 * vc/vc-cvs.el (vc-cvs-print-log):
23892 * vc/vc-git.el (vc-git-print-log):
23893 * vc/vc-hg.el (vc-hg-print-log):
23894 * vc/vc-mtn.el (vc-mtn-print-log):
23895 * vc/vc-rcs.el (vc-rcs-print-log):
23896 * vc/vc-sccs.el (vc-sccs-print-log):
23897 * vc/vc-svn.el (vc-svn-print-log):
23898 * vc/vc.el (vc-print-log-internal): Doc fixes.
23900 2013-04-23 Glenn Morris <rgm@gnu.org>
23902 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
23903 Remove venerable code attempting to avoid substitute-command-keys.
23905 2013-04-23 Tassilo Horn <tsdh@gnu.org>
23907 * textmodes/reftex-vars.el (reftex-label-regexps):
23908 Call `reftex-compile-variables' after changes to this variable.
23910 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
23912 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
23913 Use lexical-binding.
23914 (jit-lock-force-redisplay): Use markers, check buffer's continued
23915 existence and beware narrowed buffers.
23916 (jit-lock-fontify-now): Adjust call accordingly.
23918 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
23920 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
23921 to avoid misleading the user.
23923 2013-04-22 Leo Liu <sdl.web@gmail.com>
23925 * info-look.el: Prefer latex2e.info. (Bug#14240)
23927 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
23929 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
23931 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
23932 * net/tramp.el (tramp-call-process): ... here.
23933 (tramp-set-completion-function, tramp-parse-putty):
23934 * net/tramp-adb.el (tramp-adb-execute-adb-command):
23935 * net/tramp-gvfs.el (tramp-gvfs-send-command):
23936 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
23937 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
23938 (tramp-call-local-coding-command): Use `tramp-call-process'
23939 instead of `tramp-compat-call-process'.
23941 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
23942 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
23943 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
23944 (tramp-find-inline-compress): Improve traces.
23945 (tramp-maybe-send-script): Check for Perl binary.
23946 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
23948 2013-04-22 Daiki Ueno <ueno@gnu.org>
23950 * epg.el (epg-context-pinentry-mode): New function.
23951 (epg-context-set-pinentry-mode): New function.
23952 (epg--start): Pass --pinentry-mode option to gpg command.
23954 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
23956 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
23957 `comint-dynamic-complete' is obsolete since 24.1, replaced by
23958 `completion-at-point'. (Bug#13774)
23960 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
23961 default key binding for `describe-distribution' has been moved to
23962 `C-h C-o'. (Bug#13970)
23964 2013-04-21 Glenn Morris <rgm@gnu.org>
23966 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
23968 (vc-print-log): Clarify interactive prompt.
23970 2013-04-20 Glenn Morris <rgm@gnu.org>
23972 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
23973 No longer include timestamp etc information.
23975 2013-04-20 Roland Winkler <winkler@gnu.org>
23977 * faces.el (read-face-name): Bug fix, return just one face if arg
23978 multiple is nil. (Bug#14209)
23980 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
23982 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
23983 (remove-function): Autoload.
23985 * comint.el (comint-redirect-original-filter-function): Remove.
23986 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
23987 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
23988 (vc-cvs-annotate-command):
23989 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
23990 * progmodes/prolog.el (prolog-consult-compile):
23991 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
23992 Use add/remove-function instead.
23993 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
23994 (gud-tooltip-process-output, gud-tooltip-tips):
23995 Use add/remove-function instead.
23996 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
23997 (scheme-interaction-mode, exit-scheme-interaction-mode):
23998 Use add/remove-function instead.
24000 * vc/vc-dispatcher.el: Use lexical-binding.
24001 (vc--process-sentinel): Rename from vc-process-sentinel.
24002 Change last arg to be the code to run. Don't use vc-previous-sentinel
24003 and vc-sentinel-commands any more.
24004 (vc-exec-after): Allow code to be a function. Use add/remove-function.
24005 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
24007 2013-04-19 Masatake YAMATO <yamato@redhat.com>
24009 * progmodes/sh-script.el (sh-imenu-generic-expression):
24010 Handle function names with a single character. (Bug#14111)
24012 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
24014 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
24015 for subroutines defined in an eval (bug#14182).
24017 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
24019 * bookmark.el (bookmark-completing-read): Improve handling of empty
24020 string (bug#14176).
24022 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
24024 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
24026 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
24028 New faster Imenu implementation (bug#14058).
24029 * progmodes/python.el (python-imenu-prev-index-position)
24030 (python-imenu-format-item-label-function)
24031 (python-imenu-format-parent-item-label-function)
24032 (python-imenu-format-parent-item-jump-label-function):
24034 (python-imenu-format-item-label)
24035 (python-imenu-format-parent-item-label)
24036 (python-imenu-format-parent-item-jump-label)
24037 (python-imenu--put-parent, python-imenu--build-tree)
24038 (python-imenu-create-index, python-imenu-create-flat-index)
24039 (python-util-popn): New functions.
24040 (python-mode): Set imenu-create-index-function to
24041 python-imenu-create-index.
24043 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24045 * winner.el (winner-active-region): Use region-active-p, activate-mark
24046 and deactivate-mark (bug#14225).
24048 * simple.el (deactivate-mark): Don't inline it.
24050 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
24052 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
24054 2013-04-18 Tassilo Horn <tsdh@gnu.org>
24056 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
24057 file extensions from the archive-mode entry in order to prefer
24058 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
24060 2013-04-18 Leo Liu <sdl.web@gmail.com>
24062 * bindings.el (help-event-list): Add ?\?.
24064 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24066 * subr.el (with-wrapper-hook): Declare obsolete.
24067 * simple.el (filter-buffer-substring-function): New hook.
24068 (filter-buffer-substring): Use it.
24069 (filter-buffer-substring-functions): Mark obsolete.
24070 * minibuffer.el (completion-in-region-function): New hook.
24071 (completion-in-region): Use it.
24072 (completion-in-region-functions): Mark obsolete.
24073 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
24074 * abbrev.el (abbrev-expand-function): New hook.
24075 (expand-abbrev): Use it.
24076 (abbrev-expand-functions): Mark obsolete.
24077 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
24078 and :filter-return.
24080 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24082 * progmodes/python.el (python-nav--syntactically): Fix cornercases
24083 and do not care about match data.
24085 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24087 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
24088 completion tables when completing error conditions and
24089 `declare' arguments.
24090 (lisp-complete-symbol, field-complete): Mark as obsolete.
24091 (check-parens): Unmatched parens are user errors.
24092 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
24094 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
24096 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
24097 command changed buffer (ie. `flyspell-pre-buffer' is not current
24098 buffer), which prevents making decisions based on invalid value of
24099 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
24100 cause an error when `flyspell-pre-point' was nil after switching
24102 (flyspell-post-command-hook): No longer needs to change buffers when
24103 checking pre-word. While at it remove unnecessary progn.
24105 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
24107 * textmodes/ispell.el (ispell-add-per-file-word-list):
24108 Fix `flyspell-correct-word-before-point' error when accepting
24109 words and `coment-padding' is an integer by using
24110 `comment-normalize-vars' (Bug #14214).
24112 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24114 New defun movement commands.
24115 * progmodes/python.el (python-nav--syntactically)
24116 (python-nav--forward-defun, python-nav-backward-defun)
24117 (python-nav-forward-defun): New functions.
24119 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24121 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
24122 (python-syntax-context): Use named compiler-macro for backwards
24123 compatibility with Emacs 24.x.
24125 2013-04-17 Leo Liu <sdl.web@gmail.com>
24127 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
24128 octave-hide-process-buffer.
24130 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24132 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
24135 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
24137 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
24138 Fix adjustment of offset when receiving incomplete responses from GDB
24141 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
24143 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
24144 python-mode-abbrev-table.
24145 (python-skeleton-define): Adjust accordingly.
24146 (python-mode-abbrev-table): New table that inherits from it so that
24147 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
24149 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
24150 (abbrev-symbol): Use it.
24151 (abbrev--before-point): Use it since we already handle inheritance.
24153 2013-04-16 Leo Liu <sdl.web@gmail.com>
24155 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
24156 binding to info-lookup-symbol.
24158 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
24160 * minibuffer.el (completion--twq-all):
24161 * term/ns-win.el (ns-initialize-window-system):
24162 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
24164 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
24166 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
24169 * doc-view.el (doc-view-start-process): Handle url-handler directories.
24171 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
24173 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
24174 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
24176 (ruby-end-of-defun): Remove the unused arg, change the docstring
24177 to reflect that this function is only used as the value of
24178 `end-of-defun-function'.
24179 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
24180 to reflect an earlier change that beginning/end-of-defun functions
24181 jump between methods in a class definition, as well as top-level
24184 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
24186 * minibuffer.el (minibuffer-complete): Don't just scroll
24187 a *Completions* that's been iconified.
24188 (minibuffer-force-complete): Make sure repetitions do cycle when going
24189 through completion-in-region -> minibuffer-complete.
24191 2013-04-15 Alan Mackenzie <acm@muc.de>
24193 Correct the placement of c-cpp-delimiters when there're #s not at
24196 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
24197 place a submatch around the #.
24198 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
24199 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
24202 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
24204 * emacs-lisp/nadvice.el: Properly test names when adding advice.
24205 (advice--member-p): New arg `name'.
24206 (advice--add-function, advice-member-p): Use it (bug#14202).
24208 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
24210 Reformulate java imenu-generic-expression.
24211 The old expression contained ill formed regexps.
24213 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
24214 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
24215 (cc-imenu-java-method-arg-regexp): New defconsts.
24216 (cc-imenu-java-build-type-args-regex): New defun.
24217 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
24218 handling of spaces in the regexp.
24220 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
24222 * textmodes/ispell.el (ispell-command-loop): Remove
24223 flyspell highlight of a word when ispell accepts it (bug #14178).
24225 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
24227 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
24228 uses code from the previous `ange-ftp-run-real-handler'.
24229 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
24230 only in case that function exist. This is needed for proper
24231 unloading of Tramp.
24233 2013-04-15 Tassilo Horn <tsdh@gnu.org>
24235 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
24237 * textmodes/reftex.el (reftex-compile-variables): Use it.
24239 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
24241 * files.el (normal-mode): Only use default major-mode if no other mode
24244 * emacs-lisp/trace.el (trace-values): New function.
24246 * files.el: Allow : in local variables (bug#14089).
24247 (hack-local-variable-regexp): New var.
24248 (hack-local-variables-prop-line, hack-local-variables): Use it.
24250 2013-04-13 Roland Winkler <winkler@gnu.org>
24252 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
24253 data before it gets modified by bibtex-beginning-of-entry.
24255 2013-04-13 Roland Winkler <winkler@gnu.org>
24257 * textmodes/bibtex.el (bibtex-url): Doc fix.
24259 2013-04-13 Roland Winkler <winkler@gnu.org>
24261 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
24262 does not visit a BibTeX file, exclude it from the list of buffers
24263 returned by bibtex-initialize.
24265 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
24267 * window.el (split-window): Remove interactive form, since as a
24268 command this function is a special case of split-window-below.
24269 Correct doc string.
24271 2013-04-12 Roland Winkler <winkler@gnu.org>
24273 * faces.el (read-face-name): Do not override value of arg default.
24274 Allow single faces and strings as default values. Remove those
24275 elements from return value that are not faces.
24276 (describe-face): Simplify.
24277 (face-at-point): New optional args thing and multiple so that this
24278 function can provide the same functionality previously provided by
24280 (make-face-bold, make-face-unbold, make-face-italic)
24281 (make-face-unitalic, make-face-bold-italic, invert-face)
24282 (modify-face, read-face-and-attribute): Use face-at-point.
24284 * cus-edit.el (customize-face, customize-face-other-window)
24285 * cus-theme.el (custom-theme-add-face)
24286 * face-remap.el (buffer-face-set)
24287 * facemenu.el (facemenu-set-face): Use face-at-point.
24289 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
24291 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
24293 2013-04-10 Tassilo Horn <tsdh@gnu.org>
24295 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
24296 off leading { and trailing } from field values.
24298 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
24300 * emacs-lisp/timer.el (timer--check): New function.
24301 (timer--time, timer-set-function, timer-event-handler): Use it.
24302 (timer-set-idle-time): Simplify.
24303 (timer--activate): CSE.
24304 (timer-event-handler): Give more info in error message.
24305 (internal-timer-start-idle): New function, moved from C.
24307 * mpc.el (mpc-proc): Add `restart' argument.
24308 (mpc-proc-cmd): Use it.
24309 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
24310 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
24313 2013-04-10 Masatake YAMATO <yamato@redhat.com>
24315 * progmodes/sh-script.el: Implement `sh-mode' own
24316 `add-log-current-defun-function' (bug#14112).
24317 (sh-current-defun-name): New function.
24318 (sh-mode): Use the function.
24320 2013-04-09 Bastien Guerry <bzg@gnu.org>
24322 * simple.el (choose-completion-string): Fix docstring (bug#14163).
24324 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
24326 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
24328 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
24331 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
24333 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
24336 2013-04-07 Leo Liu <sdl.web@gmail.com>
24338 * pcmpl-x.el: New file.
24340 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
24342 Do not set x-display-name until X connection is established.
24343 This is needed to prevent from weird situation described at
24344 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
24345 * frame.el (make-frame): Set x-display-name after call to
24346 window system initialization function, not before.
24347 * term/x-win.el (x-initialize-window-system): Add optional
24348 display argument and use it.
24349 * term/w32-win.el (w32-initialize-window-system):
24350 * term/ns-win.el (ns-initialize-window-system):
24351 * term/pc-win.el (msdos-initialize-window-system):
24352 Add compatible optional display argument.
24354 2013-04-06 Eli Zaretskii <eliz@gnu.org>
24356 * files.el (normal-backup-enable-predicate): On MS-Windows and
24357 MS-DOS compare truenames of temporary-file-directory and of the
24358 file, so that 8+3 aliases (usually found in $TEMP on Windows)
24359 don't fail comparison by compare-strings. Also, compare file
24360 names case-insensitively on MS-Windows and MS-DOS.
24362 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
24364 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
24365 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
24367 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
24369 * whitespace.el (whitespace-color-on, whitespace-color-off):
24370 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
24372 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
24374 * ispell.el (ispell-set-spellchecker-params):
24375 Really set `ispell-args' for all equivs.
24377 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
24379 * ido.el (ido-completions): Use extra elements of ido-decorations
24381 (ido-decorations): Update docstring.
24383 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
24385 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
24386 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
24387 nil during initialization, in order not to miss changes since the
24388 file was opened. (Bug#14140)
24390 2013-04-05 Leo Liu <sdl.web@gmail.com>
24392 * kmacro.el (kmacro-call-macro): Fix bug#14135.
24394 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
24396 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
24398 2013-04-04 Glenn Morris <rgm@gnu.org>
24400 * electric.el (electric-pair-inhibit-predicate): Add :version.
24402 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
24404 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
24405 when a package is required several times (bug#14082).
24407 2013-04-04 Roland Winkler <winkler@gnu.org>
24409 * faces.el (read-face-name): Behave as promised by the docstring.
24410 Assume that arg default is a list of faces.
24411 (describe-face): Call read-face-name with list of default faces.
24413 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
24415 * bookmark.el: Fix deletion of bookmarks (bug#13972).
24416 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
24417 (bookmark-bmenu-execute-deletions): Only skip first line if it's
24419 (bookmark-exit-hook-internal): Save even if list is empty.
24421 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
24423 * emacs-lisp/package.el (package-pinned-packages): New var.
24424 (package--add-to-archive-contents): Obey it (bug#14118).
24426 2013-04-03 Alan Mackenzie <acm@muc.de>
24428 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
24429 Also adapt to the new values of element 7 of a parse state.
24431 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
24432 parameter `not-in-delimiter'. Handle being inside comment opener.
24433 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
24434 character in case we're typing a '*' after a '/'.
24435 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
24436 instead by passing the parameter to c-state-pp-to-literal.
24438 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
24439 for elt. 7 of a parse state.
24441 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
24443 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
24444 * international/latin1-disp.el, international/mule-util.el:
24445 * language/cyril-util.el, language/european.el, language/ind-util.el:
24446 * language/lao-util.el, language/thai.el, language/tibet-util.el:
24447 * language/tibetan.el, language/viet-util.el:
24448 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
24450 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
24452 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
24453 (electric-pair-post-self-insert-function): Use it.
24454 (electric-pair-default-inhibit): New function, extracted from
24455 electric-pair-post-self-insert-function.
24457 2013-03-31 Roland Winkler <winkler@gnu.org>
24459 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
24461 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
24463 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
24465 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
24467 Un-indent after "pass" and "return" statements (Bug#13888)
24468 * progmodes/python.el (python-indent-block-enders): New var.
24469 (python-indent-calculate-indentation): Use it.
24471 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
24473 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
24474 defun. Defining it as defalias could introduce too eager
24475 byte-compiler optimization. (Bug#14030)
24477 2013-03-30 Chong Yidong <cyd@gnu.org>
24479 * iswitchb.el (iswitchb-read-buffer): Fix typo.
24481 2013-03-30 Leo Liu <sdl.web@gmail.com>
24483 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
24484 (kmacro-execute-from-register): Pass the keyboard macro to
24485 kmacro-call-macro or repeating won't work correctly.
24487 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
24489 * progmodes/subword.el: Back to using `forward-symbol'.
24491 * subr.el (forward-whitespace, forward-symbol)
24492 (forward-same-syntax): Move from thingatpt.el.
24494 2013-03-29 Leo Liu <sdl.web@gmail.com>
24496 * kmacro.el (kmacro-to-register): New command.
24497 (kmacro-execute-from-register): New function.
24498 (kmacro-keymap): Bind to 'x'. (Bug#14071)
24500 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
24502 * mpc.el: Use defvar-local and setq-local.
24503 (mpc--proc-connect): Connection failures are not bugs.
24504 (mpc-mode-map): `follow-link' only applies to the buffer's content.
24505 (mpc-volume-map): Bind to the up-events.
24507 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
24509 * progmodes/subword.el (superword-mode): Use `forward-sexp'
24510 instead of `forward-symbol'.
24512 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
24514 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
24515 (edebug--recursive-edit): Use it.
24516 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
24517 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
24519 2013-03-28 Leo Liu <sdl.web@gmail.com>
24521 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
24523 2013-03-27 Eli Zaretskii <eliz@gnu.org>
24525 * facemenu.el (list-colors-callback): New defvar.
24526 (list-colors-redisplay): New function.
24527 (list-colors-display): Install list-colors-redisplay as the
24528 revert-buffer-function. (Bug#14063)
24530 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
24532 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
24533 and suffixes don't overlap (bug#14061).
24535 * case-table.el: Use lexical-binding.
24536 (case-table-get-table): New function.
24537 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
24539 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
24541 * progmodes/subword.el: Add `superword-mode' to do word motion
24542 over symbol_words (parallels and leverages `subword-mode' which
24543 does word motion inside MixedCaseWords).
24545 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
24547 * eshell/em-unix.el: Move su and sudo to...
24548 * eshell/em-tramp.el: ...Eshell tramp module.
24550 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
24552 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
24553 Change return value to be a sexp. Delay `get-buffer' to after
24554 restoring the desktop (bug#13951).
24556 2013-03-26 Leo Liu <sdl.web@gmail.com>
24558 * register.el: Move semantic tag handling back to
24559 cedet/semantic/senator.el. (Bug#14052)
24561 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
24563 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
24564 into the prompt either (bug#13963).
24566 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
24568 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
24569 part of "(error-foo)".
24571 2013-03-24 Juri Linkov <juri@jurta.org>
24573 * replace.el (list-matching-lines-prefix-face): New defcustom.
24574 (occur-1): Pass `list-matching-lines-prefix-face' to the function
24575 `occur-engine' if `face-differs-from-default-p' returns t.
24576 (occur-engine): Add `,' inside backquote construct to evaluate
24577 `prefix-face'. Propertize the prefix with the `prefix-face' face.
24578 Pass `prefix-face' to the functions `occur-context-lines' and
24579 `occur-engine-add-prefix'.
24580 (occur-engine-add-prefix, occur-context-lines): Add optional arg
24581 `prefix-face' and propertize the prefix with `prefix-face'.
24584 2013-03-24 Leo Liu <sdl.web@gmail.com>
24586 * nxml/rng-valid.el (rng-validate-while-idle)
24587 (rng-validate-quick-while-idle): Guard against deleted buffer.
24590 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
24591 is the last entry in kill-buffer-hook.
24593 * files.el (kill-buffer-hook): Doc fix.
24595 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
24597 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
24598 Make it safe-local.
24600 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
24602 2013-03-23 Leo Liu <sdl.web@gmail.com>
24604 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
24607 * nxml/rng-valid.el (rng-validate-mode)
24608 (rng-after-change-function, rng-do-some-validation):
24609 * nxml/rng-maint.el (rng-validate-buffer):
24610 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
24611 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
24612 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
24613 (nxml-extend-after-change-region): Use with-silent-modifications.
24615 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
24618 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
24619 (rng-next-error-1, rng-previous-error-1): Do not let-bind
24620 timer-idle-list. (Bug#13999)
24622 2013-03-23 Juri Linkov <juri@jurta.org>
24624 * info.el (info-index-match): New face.
24625 (Info-index, Info-apropos-matches): Add a nested subgroup to the
24626 main pattern and add text properties with the new face to matches
24627 in index entries relative to the beginning of the index entry.
24630 2013-03-21 Eric Ludlam <zappo@gnu.org>
24632 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
24633 Inhibit read only while inserting objects.
24635 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
24637 * progmodes/cfengine.el: Update docs to mention
24638 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
24639 symbol motion. Remove "_" from the word syntax.
24641 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
24643 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
24644 syntax for both `cfengine2-mode' and `cfengine3-mode'.
24646 2013-03-20 Juri Linkov <juri@jurta.org>
24648 * info.el (Info-next-reference-or-link)
24649 (Info-prev-reference-or-link): New functions.
24650 (Info-next-reference, Info-prev-reference): Use them.
24651 (Info-try-follow-nearest-node): Handle footnote navigation.
24652 (Info-fontify-node): Fontify footnotes. (Bug#13989)
24654 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
24656 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
24657 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
24659 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
24661 Suppress unnecessary non-ASCII chatter during build process.
24662 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
24663 (batch-skkdic-convert): Suppress most of the chatter.
24664 It's not needed so much now that machines are faster,
24665 and its non-ASCII component was confusing; see Dmitry Gutov in
24666 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
24668 2013-03-20 Leo Liu <sdl.web@gmail.com>
24670 * ido.el (ido-chop): Fix bug#10994.
24672 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
24674 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
24676 (whitespace-color-on, whitespace-color-off):
24677 Use `font-lock-fontify-buffer' (Bug#13817).
24679 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
24681 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
24682 remapping in mode-line.
24683 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
24685 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
24687 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
24688 value for `whitespace-line' face (Bug#13875).
24689 (whitespace-font-lock-keywords): Change description.
24690 (whitespace-color-on): Don't save `font-lock-keywords' value, save
24691 the constructed keywords instead.
24692 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
24694 2013-03-19 Leo Liu <sdl.web@gmail.com>
24696 * progmodes/compile.el (compilation-display-error): New command.
24697 (compilation-mode-map, compilation-minor-mode-map): Bind it to
24700 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
24702 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
24704 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
24706 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
24708 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
24710 * net/tramp-compat.el (tramp-compat-user-error): New defun.
24712 * net/tramp-adb.el (tramp-adb-handle-shell-command):
24713 * net/tramp-gvfs.el (top):
24714 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
24715 (tramp-handle-shell-command): Use it.
24716 (tramp-dissect-file-name): Raise an error when hostname is a
24717 method name, and neither method nor user is specified.
24719 * net/trampver.el: Update release number.
24721 2013-03-18 Leo Liu <sdl.web@gmail.com>
24723 Make sure eldoc can be turned off properly.
24724 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
24726 (eldoc-display-message-p): Revert last change.
24727 (eldoc-display-message-no-interference-p)
24728 (eldoc-print-current-symbol-info): Tweak.
24730 2013-03-18 Tassilo Horn <tsdh@gnu.org>
24732 * doc-view.el (doc-view-new-window-function): Check the new window
24733 overlay's display property instead the char property of the
24734 buffer's first char. Use `with-selected-window' instead of
24735 `save-window-excursion' with `select-window'.
24736 (doc-view-document->bitmap): Check the current doc-view overlay's
24737 display property instead the char property of the buffer's first char.
24739 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
24741 Automate the build of ja-dic.el (Bug#13984).
24742 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
24743 from the input, rather than assume that it's been done for us by the
24744 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
24745 the current date into a ja-dic.el comment, as that complicates
24746 regression testing.
24748 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
24750 * whitespace.el: Fix double evaluation.
24751 (whitespace-space, whitespace-hspace, whitespace-tab)
24752 (whitespace-newline, whitespace-trailing, whitespace-line)
24753 (whitespace-space-before-tab, whitespace-indentation)
24754 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
24756 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
24757 (whitespace-color-on): Use a single font-lock-add-keywords call.
24758 Fix double-evaluation of face variables.
24760 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
24762 * net/tramp-adb.el (tramp-adb-parse-device-names):
24763 Use `start-process' instead of `call-process'. Otherwise, the
24764 function might be blocked under MS Windows. (Bug#13299)
24766 2013-03-17 Leo Liu <sdl.web@gmail.com>
24768 Extend eldoc to display info in the mode-line. (Bug#13978)
24769 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
24770 (eldoc-mode-line-string): New variable.
24771 (eldoc-minibuffer-message): New function.
24772 (eldoc-message-function): New variable.
24773 (eldoc-message): Use it.
24774 (eldoc-display-message-p)
24775 (eldoc-display-message-no-interference-p):
24776 Support eldoc-post-insert-mode.
24778 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
24779 (eval-expression): Run it.
24781 2013-03-17 Roland Winkler <winkler@gnu.org>
24783 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
24784 strings in the list of return values.
24786 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
24788 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
24789 radix before checking for HMS forms.
24791 2013-03-16 Leo Liu <sdl.web@gmail.com>
24793 * progmodes/scheme.el: Add indentation and font-locking for λ.
24796 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
24798 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
24799 token before point (bug#13942).
24801 2013-03-16 Leo Liu <sdl.web@gmail.com>
24803 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
24805 2013-03-16 Eli Zaretskii <eliz@gnu.org>
24807 * startup.el (command-line-normalize-file-name): Fix handling of
24808 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
24809 <xfq.free@gmail.com> in
24810 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
24812 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
24814 Sync with Tramp 2.2.7.
24816 * net/trampver.el: Update release number.
24818 2013-03-14 Tassilo Horn <tsdh@gnu.org>
24820 * doc-view.el: Fix bug#13887.
24821 (doc-view-insert-image): Don't modify overlay associated to
24822 non-live windows, and implement horizontal centering of image in
24823 case it's smaller than the window.
24824 (doc-view-new-window-function): Force redisplay of new windows on
24827 2013-03-13 Karl Fogel <kfogel@red-bean.com>
24829 * saveplace.el (save-place-alist-to-file): Don't sort
24830 `save-place-alist', just pretty-print it (bug#13882).
24832 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
24834 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
24835 Check whether `default-file-name-coding-system' is bound.
24836 It isn't in XEmacs.
24838 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
24840 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
24841 backquotes for `obsolete' (bug#13929).
24843 * international/mule.el (find-auto-coding): Include file name in
24844 obsolescence warning (bug#13922).
24846 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
24848 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
24849 for CFEngine 3-specific indentation.
24850 (cfengine3-indent-line): Use it. Fix up category regex.
24851 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
24853 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
24855 * type-break.el (type-break-file-name):
24856 * textmodes/remember.el (remember-data-file):
24857 * strokes.el (strokes-file):
24858 * shadowfile.el (shadow-initialize):
24859 * saveplace.el (save-place-file):
24860 * ps-bdf.el (bdf-cache-file):
24861 * progmodes/idlwave.el (idlwave-config-directory):
24862 * net/quickurl.el (quickurl-url-file):
24863 * international/kkc.el (kkc-init-file-name):
24864 * ido.el (ido-save-directory-list-file):
24865 * emulation/viper.el (viper-custom-file-name):
24866 * emulation/vip.el (vip-startup-file):
24867 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
24868 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
24870 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
24872 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
24873 * language/thai-word.el: Switch to UTF-8.
24875 See ChangeLog.16 for earlier changes.
24877 ;; Local Variables:
24881 Copyright (C) 2011-2015 Free Software Foundation, Inc.
24883 This file is part of GNU Emacs.
24885 GNU Emacs is free software: you can redistribute it and/or modify
24886 it under the terms of the GNU General Public License as published by
24887 the Free Software Foundation, either version 3 of the License, or
24888 (at your option) any later version.
24890 GNU Emacs is distributed in the hope that it will be useful,
24891 but WITHOUT ANY WARRANTY; without even the implied warranty of
24892 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
24893 GNU General Public License for more details.
24895 You should have received a copy of the GNU General Public License
24896 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.